JPH10125626A - 半導体ウエハ上に膜を構築するためのチャンバ - Google Patents

半導体ウエハ上に膜を構築するためのチャンバ

Info

Publication number
JPH10125626A
JPH10125626A JP9218887A JP21888797A JPH10125626A JP H10125626 A JPH10125626 A JP H10125626A JP 9218887 A JP9218887 A JP 9218887A JP 21888797 A JP21888797 A JP 21888797A JP H10125626 A JPH10125626 A JP H10125626A
Authority
JP
Japan
Prior art keywords
wafer
chamber
film
semiconductor wafer
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9218887A
Other languages
English (en)
Inventor
Michal Danek
ダネック マイケル
Marvin Liao
リャオ マーヴィン
Eric Englhardt
エー. エングルハート エリック
Mai Chang
チャン メイ
Yeh-Jen Kao
カウ イー−ジャン
Dale R Dubois
アール. ドゥボワ デール
Alan F Morrison
エフ. モリソン アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/677,185 external-priority patent/US6155198A/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10125626A publication Critical patent/JPH10125626A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(57)【要約】 【課題】 抵抗率が改善された、共形性の高い拡散バリ
アの、インシチュウによる構築を遂行する。 【解決手段】 本発明の一態様では、処理チャンバと、
シャワーヘッドと、ウエハ支持体と、RFシグナル手段
とを有していてもよい。シャワーヘッドを具備すること
により、処理チャンバ内にガスを供給する。ウエハ支持
体は、処理チャンバ内でウエハを支持するために具備さ
れる。シャワーヘッドに第1のRFシグナルを供給しま
たウエハ支持体に第2のRFシグナルを供給するため
に、RFシグナル手段がシャワーヘッドとウエハ支持体
の両方に結合していてもよい。あるいは、RFシグナル
手段は、ウエハ支持体にRFシグナルを供給するために
ウエハ支持体だけに結合していてもよい。本発明の態様
を実施することによりアルミニウムや銅等のコンタクト
メタルの拡散を防止する拡散バリアの能力を、向上させ
ることができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路製造の分
野を指向するものである。
【0002】
【従来の技術】集積回路を製造する場合、堆積プロセス
を用いてウエハの上に絶縁材料や導電材料の薄い層を堆
積させる。堆積は、化学気相堆積(CVD)や物理気相
堆積(PVDやスパッタリング)等の様々な既知のプロ
セスによって行われる。
【0003】CVDプロセスでは、化学気相堆積チャン
バの中にウエハが搬入される。従来からのCVDプロセ
スでは、反応性のガスをウエハ表面に供給し、そこで
は、熱誘起化学反応が生じ、被処理ウエハの表面の上に
薄膜層が形成される。CVDの用途には、メタロ有機化
合物を含有するプロセスガスから、窒化チタン等のチタ
ン含有化合物をウエハの上に堆積させることが挙げられ
る。このメタル有機化合物の1つに、以下の構造式を有
するテトラキス(ジアルキルアミド)チタン(Ti(N
24)がある。
【0004】
【化1】 ここで、Rは、それぞれが別々のものであるが、アルキ
ル基であり、例えば、炭素原子1〜5のアルキル基であ
る。例えば、式Ti(N(CH324を有するテトラ
キス(ジメチルアミド)チタン(TDMAT)が普通に
用いられる。
【0005】ヘリウム、アルゴン、窒素又は水素等の不
活性ガスがチャンバ内に係る化合物を随伴し、エネルギ
ーが与えられるようにする。このエネルギーは、熱CV
Dの場合は熱源により、プラズマ励起CVDの場合は高
周波(RF)シグナル源により、発生させることができ
る。エネルギーが与えられた気相化合物は、ウエハ表面
と反応して、物質の薄い層をウエハの上に生成する。T
DMATの気相化合物を用いる場合は、ウエハ表面に窒
化チタン膜が生成する。
【0006】スパッタリングプロセスでは、物理気相堆
積(PVD)チャンバ内にウエハを配置させ、アルゴン
等のガスでチャンバを満たす。チャンバ内に電界を発生
することにより、正荷電イオンを有するプラズマがこの
ガスから生成する。正荷電イオンは加速されて、チャン
バ内に設置されているターゲットに衝突する。これによ
り、ターゲットからターゲット材料の原子が分離してウ
エハに堆積し、ウエハ表面にターゲット材料の層を形成
する。
【0007】従来からのスパッタリングプロセスでは、
正荷電イオンによるターゲット材料の衝突は、ターゲッ
ト材料に負のバイアスを与えることにより増強される。
これは、ターゲット材料を支持している電極に高周波シ
グナルを与えることにより実現される。
【0008】高密度プラズマPVDチャンバ内に正荷電
イオンを発生させるため、別個のRFシグナルをチャン
バに誘導結合させてもよい。高密度プラズマPVDチャ
ンバは、ターゲット材料のウエハへの誘引を向上するた
め、ウエハ支持体に結合した別のRFシグナルを有して
いてもよい。
【0009】CVDチャンバやPVDチャンバ等の堆積
チャンバを用いて、集積回路に拡散バリアを堆積させて
もよい。拡散バリアは、アルミニウムや銅等のコンタク
トメタルが、シリコン基板の上に構築した半導体デバイ
スのアクティブ領域に拡散することを防止する。これに
より、基板内へのコンタクトメタルの相互拡散が防止さ
れる。材料の絶縁層とは異なり、拡散バリアは、電流を
流すことができる導電性の経路を成す。例えば、拡散バ
リアを用いて、コンタクトホールのベースにおいてシリ
コン基板の上を覆うとこもできる。
【0010】集積回路の温度が450℃を越えれば、コ
ンタクトメタルとシリコン基板の間にひどい相互拡散の
発生が開始することがある。相互拡散を発生させるまま
にすれば、コンタクトメタルがシリコン基板内に浸透し
てしまう。これにより、集積回路内にオープンコンタク
トが発生し、集積回路が欠陥を有するようになる。
【0011】集積回路の製造においては、450℃を越
える高温で操作がなされるアルミニウム及び銅のメタラ
イゼーションプロセスを用いることが多かった。従っ
て、拡散バリアは、アルミニウムや銅等のコンタクトメ
タルの拡散を防止する強力な能力を有していることが望
ましい。
【0012】従来では、この要望をかなえるため、拡散
バリアをより厚くしていた。しかし、集積回路の製造で
は、幾何関係をより小さくするようになってきた。幾何
関係を小さくすることにより、コンタクトホールの寸法
も小さくなり、そのため、核酸バリアはより薄く且つよ
り共形になることが望ましくなってきた。
【0013】図1は、シリコン基板101の導電領域1
05とコンタクトプラグ102との間にある拡散バリア
100を例示する。基板101の上にある二酸化珪素等
の材料の絶縁層104に、コンタクトホール103が形
成されている。拡散バリア100を理想的に形成するた
めには、これを薄く、且つ、コンタクトホール103の
表面の等高線に実質的に共形となるようにする。
【0014】
【発明が解決しようとする課題】拡散バリア100が薄
く且つ共形度が高い場合は、コンタクトメタル102
は、シリコン基板の導電領域105と十分な導電性を有
するオーミックコンタクトを形成することができる。拡
散バリア100が厚すぎる場合又は図2に示されるよう
にうまく形成されない場合は、コンタクトメタル102
が基板領域105と十分な導電性を有するオーミックコ
ンタクトを形成できなくなるだろう。
【0015】図2では、うまく形成されない拡散バリア
100がコンタクトホール103の開口をひどく狭めて
しまう。開口が狭くなることにより、コンタクトメタル
102が形成される際、コンタクトホール103のベー
スに到達できなくなる。その結果、ボイド106が発生
する。
【0016】コンタクトメタル102と基板領域105
の間に良好なオーミックコンタクトを確保するため、拡
散バリア100の抵抗を最小にすることが望ましい。代
表的には、抵抗率の値は、1000μΩ−cm以下が許
容される。拡散バリアとして用いることに成功した材料
の1つは、窒化チタン(TiN)である。
【0017】しかし、例えばTDMAT等、堆積プロセ
スによっては、抵抗率の高い不安定なバリア層を与えて
しまう。TDMATの場合では、堆積したバリア材料の
大きな部分が炭素から構成されている(炭化水素、カー
バイド等)ことが、その原因の一部である。更に、チタ
ンは、化学反応性のメタルであるが、膜と完全に反応し
きってしまうわけではない。このようなバリア材料の層
を堆積後処理してやることにより、抵抗率を下げ安定化
させることが望ましい。
【0018】集積回路の製造においては、堆積と堆積後
処理、のように、連続する製造プロセスのステップを同
じチャンバ内で行う(「インシチュウ」)ことが望まし
い。インシチュウ操作により、ウエハが別々の製造装置
間を移送することが必要な回数を減らすことにより、ウ
エハをさらす汚染の量を減らす。また、インシチュウ操
作により、半導体製造者が購入し保守をする必要がある
高価な製造装置の数を減らすことにもつながる。
【0019】従って、アルミニウムや銅等のコンタクト
メタルの拡散を抑止する能力を高めた、共形性が高く薄
い拡散バリアを構築することが望ましい。更に、この拡
散バリアが、電流の導通のための良好な経路を成すよう
な抵抗を有するようにすることが望ましい。また、この
拡散バリアをインシチュウで構築することが望ましい。
【0020】
【課題を解決するための手段】本発明に従った装置及び
方法は、抵抗率が改善された、共形性の高い拡散バリア
の、インシチュウによる構築を遂行することを提供す
る。本発明の態様を実施することにより、アルミニウム
や銅等のコンタクトメタルの拡散を防止する拡散バリア
の能力を、向上させることができる。このように拡散バ
リアの向上を行っても、その厚さや抵抗率は、許容限度
を越えて大きくなることはない。
【0021】本発明の具体例を実施することができる半
導体処理装置は、処理チャンバと、シャワーヘッドと、
ウエハ支持体と、RFシグナル手段とを有していてもよ
い。本発明の具体例の1つでは、半導体ウエハ処理装置
は化学気相堆積を行うことができるものである。
【0022】シャワーヘッドを具備することにより、処
理チャンバ内にガスを供給する。ウエハ支持体は、処理
チャンバ内でウエハを支持するために具備される。シャ
ワーヘッドに第1のRFシグナルを供給しまたウエハ支
持体に第2のRFシグナルを供給するために、RFシグ
ナル手段がシャワーヘッドとウエハ支持体の両方に結合
していてもよい。あるいは、RFシグナル手段は、ウエ
ハ支持体にRFシグナルを供給するためにウエハ支持体
だけに結合していてもよい。
【0023】ウエハ支持体は、支持アームによって、処
理チャンバ内に支持されている。支持アームは、RFシ
グナル手段をウエハ支持体に結合させる。また、ウエハ
支持体の温度を測定するため、支持アームは、ウエハ支
持体内に収容された熱電対を温度測定装置に結合させ
る。熱電対は、RFシグナル手段から電気的に絶縁され
る。
【0024】本発明の態様を実施する場合は、ウエハ上
に膜を構築してもよい。第1に、材料の層をウエハの上
に堆積させる。この材料は、2種原子の窒化メタル(M
xy)又は3種原子の窒化珪化メタル(MxSiyz
であってもよい(Mは、チタンTi、ジルコニウムZ
r、ハフニウムHf、タンタルTa、モリブデンMo、
タングステンW又はその他のメタルであってもよ
い。)。この材料の堆積操作は、化学気相堆積や物理気
相堆積等、様々な手段で遂行することができる。
【0025】材料の堆積後、この材料の層の抵抗率を低
減するため、材料をプラズマアニールする。このプラズ
マアニールは、イオンを有する環境下にこの材料を曝露
する工程と、この材料の層に電気的バイアスを与えて、
イオンがこの材料に衝撃を与えるようにする工程とを有
していてもよい。
【0026】あるいは、アニールは、異なるガスを用い
て連続的に行う多数のアニールステップから成っていて
もよい。例えば、第1のアニールのステップには、窒素
と水素の混合ガスを用い、また、次のアニールのステッ
プでは、窒素とヘリウムの混合ガスを用いてもよい。こ
の後の方のアニールのステップでは、材料から水素分子
を除去して、抵抗率を低減する。
【0027】アニールが完了すれば、材料の層を酸化し
てもよい。この酸化により、アルミニウム等のコンタク
トメタルの拡散をこの材料が抑止する能力が高められ
る。あるいは、アニールを施した材料の層をシランガス
に曝露することにより、銅等の等のコンタクトメタルの
拡散をこの材料が抑止する能力が高められる。
【0028】本発明に従い、堆積、アニール及び酸化若
しくはシラン曝露の全てを、これら3つ全ての操作が完
了する前にウエハをチャンバから取り出すことなく、1
つのチャンバ内で行うことができる。従って、材料に対
しての堆積、アニール及び酸化若しくはシラン曝露を、
インシチュウで行うことができる。
【0029】
【発明の実施の形態】
(A.ウエハ処理のためのチャンバ) (1.概説)図3(a)及び3(b)を組み合わせて、
従来のCVDチャンバ10が示される。CVDチャンバ
10は、処理チャンバ12を有しており、この中でサセ
プタ等のウエハ支持体16によりウエハ14が支持され
る。ウエハ支持体16は円形のディスク18により支持
され、このディスクは典型的には、アルミナセラミック
等の材料でできている。ディスク18は、支持アーム2
2の自由端20の上に載っている。支持アーム22はス
テム26に取り付けられているその固定端24とともに
カンチレバーをなす。ステム26は、変位機構28の動
きの下で、垂直変位が可能である。変位機構28を動作
させて、支持アーム20を処理チャンバ12内で垂直に
運動させる。
【0030】ウエハ14の処理の間、シャワーヘッド3
6を介してガスを処理チャンバ12内に注入する。シャ
ワーヘッド36は、典型的な態様ではウエハ14の真上
に載置されている。
【0031】動作の際は、CVDチャンバ10の下に設
置されている1組の赤外ランプ30により処理チャンバ
12の内部が加熱される。ランプ30は、クオーツウィ
ンドウ32を介して処理チャンバの内部を照射するが、
このウィンドウ32はランプ30と処理チャンバ12の
内部との間に配置される。ランプ30は、処理チャンバ
12とウエハ支持体16の両方を加熱する働きをする。
その結果、ウエハ支持体16上のウエハ14も加熱され
る。
【0032】ウエハ支持体16の加熱を高めるため、図
3(b)に示されるように、セラミック支持板18に
は、数多くのホール(穴)34が貫通して形成される。
図3(b)に示されるホール34の典型的な配置によ
り、何故このプレート18がしばしば「スイスチーズ」
プレートと呼ばれるかが明らかになる。
【0033】熱CVDウエハ処理は、ウエハ温度に非常
に敏感である。ウエハが適切な温度に維持されることを
確保するため、ウエハ温度が熱電対38により測定され
る。熱電対38は支持アーム22の自由端20で支持さ
れ、ウエハ支持体16の本体の中に設置される。導電ケ
ーブル42によって熱電対38が、処理チャンバ12の
外側に設置される温度測定装置40につなげられる。ケ
ーブル42は典型的には、支持アーム22の中心内部に
形成される孔に沿って走っている。
【0034】図4は、集積回路を含むウエハの製造を遂
行するために適したマルチチャンバ真空システムを示
す。チャンバAは、集積回路回路を形成しようとする基
板のプレクリーニングのためのものである。プレクリー
ニングの後、基板の上に膜を堆積させることができるよ
う、基板はCVDチャンバBに移送される。そして、基
板は、堆積膜の品質向上のため、堆積後処理チャンバC
に移送される。
【0035】拡散バリアのように膜動作を向上させる物
質を膜に「詰める(スタッフする)」ことが望ましい場
合は、基板を、この「詰め(スタッフィング)」を行う
ことができるチャンバDに移送してもよい。例えば、膜
は窒化チタン材料の層であってもよく、これには酸素が
詰められ、膜のアルミニウムに対する拡散性が低減され
る。窒化チタンバリア層に酸素を詰める事は、 Ngan ら
の米国特許第5,378,660号、標題「バリア層及
びアルミニウムコンタクト」に開示されている。
【0036】上述のシステムのいずれを用いても、本発
明の態様を実施することができる。しかし、これらいず
れのシステムも、ウエハ上への材料の堆積と材料への堆
積後処理を行って膜を形成することを、1つのチャンバ
内で行う能力を与えるものではない。この堆積後処理に
は、アニール、酸化、珪素への曝露又はこれらの組み合
わせが含まれていることもある。
【0037】(2.インシチュウ操作のためのチャン
バ)図5は、本発明に従った半導体ウエハ処理チャンバ
110Aを例示する。ウエハ処理チャンバ110Aは、
半導体ウエハ114に対して、一連の堆積のステップと
堆積後処理のステップとをインシチュウで行うことを提
供する。本発明に従い、図5に示されたチャンバ110
Aは、米国特許出願08/567,461号及び08/
677,185号に詳細が記載されるような化学気相堆
積チャンバであってもよい。
【0038】ウエハ処理チャンバ110Aは本発明に従
い、材料の堆積及び処理を行うために多数のチャンバを
用いる必要を排除する。例えば、ウエハ処理チャンバ1
10Aを用い、ウエハの上に材料を堆積させこの堆積材
料をアニールして安定化させ抵抗を低減することによ
り、ウエハの上に膜を形成してもよい。その結果、膜の
形成中に、チャンバ110Aの外部にあるダメージを与
えるような不純物にウエハがさらされることはない。
【0039】図5に示されるように、半導体ウエハ処理
チャンバ110Aは、アースにつながっている処理チャ
ンバを有している。処理チャンバ112内では、半導体
ウエハ114は、ウエハ支持体116の上に支持されて
いてもよく、これは、図3(a)及び3(b)に示され
るようなウエハ支持体16と同じであってもよい。ウエ
ハ支持体116は、サセプタ、ペデスタル、抵抗ヒー
タ、又はその他のウエハ114を支持するに適した手段
であってもよい。
【0040】図5では、ウエハ支持体116はサセプタ
であり、これは、ランプを用いてウエハ支持体116を
照射する場合にしばしば用いられるタイプのウエハ支持
体である。このサセプタは、陽極酸化アルミニウム製で
あり、図3(b)の支持プレート18と同様の、従来か
らのアルミナセラミック支持プレート118である。
【0041】支持プレート118とウエハ支持体116
とウエハ114とは一緒に、カンチレバーのアルミナ支
持アーム122の自由端120の上に支持されている。
支持アーム122の固定端124は、略垂直に運動可能
であるステム126に載置され、このステムはアイソレ
ータ160により処理チャンバから電気的に絶縁されて
いる。この垂直方向に可動であるステム126は、変位
機構128の動きの下で、垂直に変位することができ
る。
【0042】処理チャンバ112及びその内容物は、従
来形のランプ130によって加熱され、このランプは、
従来形のクオーツウィンドウ132を介してウエハ支持
体116に照射する。半導体ウエハ処理チャンバ110
Aは更に、温度測定装置140を有している。温度測定
装置はウエハ支持体116につながっており、ウエハ支
持体116の温度を感知する。圧力制御ユニット157
には、真空ポンプ、圧力ゲージ及び圧力調整弁の全てが
含まれている。圧力制御ユニット157は、処理チャン
バ112内の圧力を調整し、処理チャンバ112からキ
ャリアガスと反応副生成物の両方を排出する。
【0043】処理チャンバ112内のウエハ支持体11
6の上方には、シャワーヘッド136が配置され、これ
はアイソレータ159によってチャンバから電気的に絶
縁されている。シャワーヘッド136には、ガスパネル
52からプロセスガスが供給される。ガスパネル52
は、コンピュータの形態のガスパネルコントローラ50
によって制御される。
【0044】堆積後アニールを行うため、半導体ウエハ
処理チャンバ110Aは、RFソース142を有してい
る。RFソース142はRF電力を、第1の電極として
機能するシャワーヘッド136と、第2の電極として機
能するウエハ支持体116に印加する。RFソース14
2は、1MHz未満の周波数を有するシグナルを与える
能力を有し、好ましくは周波数350kHzであるシグ
ナルを与える能力を有している。RFシグナルを2つの
電極136及び116に与えることにより、他の従来形
の半導体ウエハ処理チャンバ、例えばPVDチャンバ等
において2つの電極にRFシグナルを与える場合には存
在しないような難問を克服する。
【0045】本発明の具体例では、シャワーヘッド13
6への過剰な負バイアスの印加を防止するこことが可能
である。シャワーヘッド136に過剰な負バイアスが与
えられれば、シャワーヘッド136のイオン衝突が増大
し、その結果、汚染粒子が発生するようになる。
【0046】従来形のPVDチャンバでは、ターゲット
電極のイオン衝突の量が大きいことが望ましい。従来形
のPVDチャンバでは、ターゲット電極が、堆積しよう
とする材料のターゲットを支持している。このターゲッ
ト電極は大きな負バイアスが与えられているため、イオ
ンはターゲット材料と直ちに衝突し、ターゲット材料を
堆積させる。
【0047】更に、従来からのスパッタリングプロセス
において、ウエハ支持体に負バイアスを与える事と、ウ
エハ温度を制御する事は、典型的な場合ではさほど重要
ではない。このことは、本発明の具体例においては真実
ではない。ウエハ支持体116への負バイアスを制御す
ることは、ウエハ114へ向かうイオン流束を最適レベ
ルとするために望ましい。ウエハ114の温度を正確に
設定することは、堆積と、堆積材料の堆積後処理の両方
を行うために望ましい。
【0048】従って、ウエハ支持体116は、RFソー
ス142につながっている機能と、熱電対温度感知機構
(図示せず)を収容する機能の、2つの機能を与える。
RFソース142は、ウエハ支持体116への負バイア
ス供給を制御し、熱電対はウエハ114の温度をモニタ
する。
【0049】正確なウエハ温度読み出しを得るため、熱
電対シグナルからRFソースシグナルを絶縁するように
ウエハ支持体116及び支持アーム122が設計され
る。この絶縁により、熱電対シグナルとRFソースシグ
ナルの両方とも、チャンバ110Aの中で適正に伝達さ
れるため、ウエハ114には適正にバイアスが与えら
れ、且つ適正に加熱される。ウエハ支持アーム122の
詳細は、図6〜14を参照して以下に説明される。
【0050】(3.ウエハ支持アーム)図6〜9(b)
を概略的に参照すれば、ウエハ114がウエハ支持体1
16の上に支持されており、このウエハ支持体自体は、
従来からの「スイスチーズ」アルミナセラミック支持板
118に支持されている。薄いクオーツプレート119
が、支持板118とウエハ支持体116の間に配置され
ている。クオーツは、支持板116とウエハ処理チャン
バ110A内の他の部品との間のアーク発生を防止す
る。クオーツプレート119は、ランプ130によって
与えられるエネルギーを放射するため、透明である。こ
れにより、ランプ130はウエハ支持体116を速く加
熱できるようになる。
【0051】ウエハ支持体116は、クオーツシールド
150によって取り囲まれている。クオーツシールド1
50は、アルミナ支持板118の上に置かれており(図
7に部分的に示される)、ウエハ支持体116の上方に
伸び、ウエハ支持体116とウエハ114の両方がその
中に存在するウエハ受容ポケットを画成する。ウエハ1
14をウエハ支持体116から出し入れする際にウエハ
114を容易に受容できるよう、クオーツシールド15
0の上エッジは外側に向かう方に面取りがされている。
クオーツシールド150は、ウエハ支持体116のエッ
ジがアーク誘発する事を防止する重要な機能を有してい
る。
【0052】処理においては、ウエハ支持体116内に
設置した熱電対152によりウエハ支持体116の温度
を測定する。熱電対152は、ウエハ支持体116の本
体の中にぴったりとフィットするアルミナ窒化物シース
154の中に設置される。シース154は、熱電対15
2とウエハ支持体116の本体との間を電気的に絶縁す
る。シース154は、電気抵抗が高いが、良好な熱伝導
体である。シース154は熱容量が低いため、熱的慣性
が低く、このため、熱電対152と共に用いることが適
している。更に、シース154は、処理チャンバ112
の処理環境の中で化学的に安定である。
【0053】熱電対152は、導電ケーブル156によ
り温度測定装置140に接続される。以下に述べていく
ように、ケーブル156は、支持アーム122の中心部
分に沿って通過し、処理チャンバ112の中であらゆる
高周波エネルギーから電気的に絶縁される。
【0054】熱電対152は、導電ケーブル156にク
リンプしたニッケル小球158によって適所に配置され
る。球158は、キー状のセラミック保持部品162に
形成されたスロット160の中に保持される。キー状保
持部品162は、ウエハ支持体116の下側の中央突起
スタブ166に形成されたグルーブ164の中に鍵状に
嵌合する。この構成により、ウエハ支持体116が支持
アーム122から離されれば、熱電対152を比較的容
易に取り出し交換することができることが確保される。
上述の構成により、熱電対をウエハ支持体116の本体
の中に適所にしっかりと保持しつつ、ウエハ支持体11
6と熱電対152の間の電気絶縁を維持することが、確
保される。
【0055】ウエハ支持体116は、中央スタブ166
内にねじ止めされる1対のボルト168により支持アー
ム122に固定される。図8は、支持アーム120が主
に、逆U字形セラミック部分170により構成されるこ
とを示している。このU字形部分170の水平部を貫く
ホールのそれぞれの中をボルト168が通っている。ボ
ルトがU字形部分170の水平部上に過剰な負荷を与え
ることを防止するため、各ヘッドはベルベディアばねワ
ッシャ174によって水平部分から間隔がおかれてい
る。ボルト168のヘッドがセラミックU字形部分17
0へ過剰な負荷を与える事を防止することは重要であ
り、何故なら、セラミック、特に薄い部分のセラミック
は比較的脆いからである。過剰な負荷の力は、U字形部
分170を破壊してしまうことがある。
【0056】RF導通ストリップ180が、支持アーム
122に沿って通っている。ストリップ180は、ウエ
ハ支持体116の下側のスタブ166のところに電気的
に接続する。RF導通ストリップ180は、高温でエラ
ストマー的な性質の誘電材料、ポリイミド等、例えばデ
ュポンエレクトリック社から Pyralin の商品名で入手
可能な材料等、によりコーティングされている。
【0057】このポリイミドコーティングが、RF導通
ストリップ180に電気的絶縁を与える。 加えて、R
F導通ストリップ180は、セラミックアイソレータ1
82により導電ケーブル156から電気的に絶縁され
る。セラミックアイソレータの詳細は、図10(a)及
び10(b)を参照して以下に説明する。更に、RF導
通ストリップ180は、逆U字形部分170の「脚」に
より、またアイソレータ184により、処理チャンバ1
12の内部から絶縁される。アイソレータの詳細は、図
11(a)及び11(b)を参照して下記に説明する。
【0058】組立中に、熱電対152及びこれに係るシ
ース154をウエハ支持体116内に挿入する。次い
で、熱電対のリードケーブル156をU字形部分170
の中に供給する。ボルト168を用いて、ウエハ支持体
116をU字形部分170の上に留める。導電ケーブル
156の上にアイソレータを配置して、導電ケーブルを
RFストリップ180から絶縁する。そして、RF導通
ストリップ180はアイソレータ182の上に導かれ、
また、アイソレータ184はRF導通ストリップ180
の上に配置される。
【0059】その後、U字形部分170の「脚」の自由
端に近接して形成されたグルーブ188の中に平坦なセ
ラミックリテーナ186を挿入する。リテーナ186
は、U字形部分170の本体の中に配置された様々な部
品全てに対してのリテーナとして機能する。リテーナ1
86の詳細は、図12に示される。
【0060】図9(a)及び9(b)に示されるよう
に、支持アーム122は、比較的細身の部分に、その自
由端120及び固定端122において大きくなった部分
を有する構成を有している。支持アーム122の自由端
120は2つのボルト穴172を有し、これらは、自由
端120の上面に形成されたスロット190のそれぞれ
いずれかの側に形成されている。このスロット190
は、ウエハ支持体116の底部のスタブ166から下向
きにウエハ支持体116まで伸びるキー状構成物192
を受容する。このキー状構成物192は、スロット19
0に嵌合し、ウエハ支持体116が支持アーム122上
に配置されたときにこれを更に安定にする。キー状構成
物192の詳細は、図8及び14に示される。支持アー
ム122の固定端124は、垂直可動ステム194に固
定されるが、その詳細は図13を参照して説明する。
【0061】図10(a)及び10(b)から、アイソ
レータ182がU字形チャンネルの形態でありその中に
導電ケーブル156が入っていることがわかる。このU
字形チャンネルは、大きくなった部分196を一方の端
部に有している。この大きくなった部分196は、支持
アーム122の固定端124でRF導通ストリップ18
0をカバーする。
【0062】図11(a)及び11(b)に示されるよ
うに、アイソレータ184は大きくなった部分198を
有し、この部分は、支持アーム122の自由端120の
中に比較的しっかりとフィットしている。大きくなった
部分198はその中にチャンネル200を有している。
装置を組み立てるときは、RF導通ストリップ180が
アイソレータ184の上面202の上に置かれている。
また、RF導通ストリップ180は、チャンネル200
の内側の等高線に沿うように変形する。この構成は図7
に示されており、RF導通ストリップ180を接続ボル
ト168から分離させる。図7から理解されるように、
適切なスペーサー部品204がチャンネル200の中に
フィットするように具備され、RF導通ストリップ18
0とボルト168の間に電気的絶縁を与える。
【0063】リテーナ186の詳細は、図12に例示さ
れる。リテーナ186は、略スプーン状であり、支持ア
ーム122の自由端120に形成されたグルーブの中に
受容されるようなサイズを有する大きくなった部分20
6を有している。組立中は、リテーナ186は、支持ア
ーム122の自由端120からスロット188の中に挿
入される。
【0064】支持アーム122の固定端124は、図1
3に例示されるように、ステム194に接続される。ス
テム194は、中空のチューブであり、その上端で広が
ってフランジ210を画し、このフランジに、支持アー
ム122の固定端124がボルト212によりボルト留
めされる。ボルト212とセラミック固定端124の間
に過剰な負荷力が働くのを防止するため、各ボルト21
2と支持アーム122の固定端124の間に皿ばねワッ
シャ214が与えられる。
【0065】ステンレス鋼のベローズ216が、フラン
ジ210と処理チャンバ112の下壁の間に配置され
る。ベローズ216により、支持アーム122が垂直上
下に動くことができつつ、同時に、これが処理チャンバ
112の壁218を貫いて通過するときにステム194
の周りにシールを与えることが可能となる。
【0066】前に指摘したように、ステム194は中空
のチューブの形態である。ステム194を形成するチュ
ーブの内側に、非導電チューブ220が配置される。非
導電チューブ220は、典型的には、ポリイミド製であ
り、処理チャンバ112と中空RF導通チューブ222
との間を電気的に絶縁する。RF導通チューブ220
は、RFソース142とRF導通ストリップ180とに
接続される。熱電対152と温度測定装置140の間を
連通させる導電ケーブルが、RF導通チューブ222に
形成された中心孔を下に通過する。
【0067】図14は、図13と共に、RF導通ストリ
ップ180とRF導通チューブ222との間をどのよう
に接続するかを例示する。図13に示されるように、R
F導通チューブ222はその上端で広がり、円形のフラ
ンジ224を画する。RF導通ストリップ180は、図
14に例示されているが、円形の導電フープ226のと
ころで終了している。支持アーム122が組み立てられ
るとき、フープ226はRF導通チューブ222の円形
フランジ224の上に置かれている。
【0068】これにより、ウエハ支持体116につなが
っているRF導通ストリップ180へのRF導通接続が
与えられる。この接続によって、支持アーム122の組
立及び分解が容易になる。また、この接続により、支持
アーム122の固定端124がステム194のフランジ
210の上に配置される場合に、決まった量の(ステム
194の縦のアクセスの上方の)回転の自由度が与えら
れる。
【0069】(4.整合回路網)本発明に従い、RFソ
ースは、ウエハ支持体116とシャワーヘッド136の
両方に、整合回路網145を介して結合する。整合回路
網145は、抵抗器/インダクタ/キャパシタの回路網
である。整合回路網145は、与えられた周波数におい
てソースにより与えられる電力を最大にするため、負荷
インピーダンスをソースインピーダンスにマッチングさ
せる。また、整合回路網145は、RF電力をウエハ支
持体116とシャワーヘッド136に分け、シャワーヘ
ッド136とウエハ支持体116に与えられるRFシグ
ナルの位相シフトを設定する。
【0070】本発明の一具体例で用いられる整合回路網
145が、図15(a)に例示される。図15(a)に
示される整合回路網145は、負荷整合トランス70
と、2つのインダクタ80,82と2つのキャパシタ7
2,74とを有している。負荷整合トランス70は、一
端でRFソース142とアースに、他方の端でインダク
タ80及び82に結合している。インダクタ80はキャ
パシタ72を介してシャワーヘッド136に、インダク
タ82はキャパシタ74を介してウエハ支持体116
に、それぞれ結合する。
【0071】負荷整合トランス70は、1次巻き線と2
次巻き線の比が1:1〜1:4であってもよく、1:
1.22が典型的である。本発明に従い、負荷整合トラ
ンス70の1次コイルは18巻きであってもよく、ま
た、負荷整合トランス70の2次巻き線は47巻きであ
ってもよい。インダクタ80及び82はそれぞれ、イン
ダクタンスが50μHであってもよく、キャパシタ72
及び74はそれぞれ、キャパシタンスが0.01μFで
あってもよい。
【0072】負荷整合トランス70の巻き線比を変える
ことにより、シャワーヘッド136とウエハ支持体11
6との間のRFシグナルの分解及び移動シフトを変える
ことができる。あるいは、図15(b)に示されるよう
に、負荷整合トランス71が選択可能接地タップ78を
有していてもよい。この選択可能接地タップ78によ
り、接地タップの位置を変えて選択して、シャワーヘッ
ド136とウエハ支持体116との間のRFシグナルの
分解及び移動シフトを変えることができるようになる。
【0073】整合回路網145のまた別の具体例が図1
5(c)に示される。キャパシタ72とシャワーヘッド
136は両方とも、誘導チョーク83を介してアースに
つながっている。キャパシタ74とウエハ支持体116
は両方とも、誘導チョーク84を介してアースにつなが
っている。誘導チョーク83及び誘導チョーク84はそ
れぞれ、500μHである。この具体例を用いる場合
は、シャワーヘッド136とウエハ支持体116にはD
Cバイアスが与えられなくなる。
【0074】プラズマアニール及び/又は酸化のために
処理チャンバ112を用いる場合には、整合回路網14
5を介してシャワーヘッド136とウエハ支持体116
をRFソース142に結合することは有利である。シャ
ワーヘッド136とウエハ支持体116におけるRFシ
グナルの位相シフトを設定して、堆積後処理中に生成す
るプラズマの均一性を向上させる。シャワーヘッド13
6のシグナルとウエハ支持体116のシグナルの間の位
相の関係がずれれば、プラズマ中のイオンが、接地され
ている処理チャンバ112よりもウエハ支持体116の
方へと誘引される。また、この位相のずれは、シャワー
ヘッド136とウエハ支持体116の間ので電圧ポテン
シャルを上げるため、ウエハ114へ向かうイオン流束
の均一性を向上させる。
【0075】シャワーヘッド136とウエハ支持体11
6へのシグナルの電力分割を調節することにより、ウエ
ハ114とシャワーヘッド136のイオン衝突の強度を
制御することが可能となる。プラズマ生成中にウエハ支
持体116に負のバイアスを与えれば、一般に、ウエハ
114へ向かうイオンの加速度が上がる。ウエハ支持体
116に過剰な負バイアスを与えれば、ウエハ114に
ダメージを与えるようなエネルギーでイオンがウエハ1
14に衝突するようになる。プラズマ生成中にシャワー
ヘッド136に過剰な負のバイアスを与えれば、一般
に、イオンがシャワーヘッド136に衝突するようにな
り、汚染粒子が発生する。
【0076】本発明の具体例においては、RFソース1
45のシグナルの電力分割の選択は、チャンバ110A
のオペレータにより行うことができる。シャワーヘッド
136とウエハ支持体116の負バイアスが前述の汚染
及びウエハにダメージを与えるイオン衝突を生じる可能
性を最小にするように、この電力分割を設定することが
できる。
【0077】本発明に従い、ウエハ支持体116とシャ
ワーヘッド136とに供給されるシグナルが同じ電力及
び周波数を有するが位相は180度ずれるように、整合
回路網145の構成を与えてもよい。これにより、処理
チャンバ112内のガスをプラズマへ変換するため、R
F電力を効率よくシャワーヘッド136とウエハ支持体
116に結合させる。
【0078】RF分割電力の構成の具体例は、 Sugiyam
a らへの米国特許第5,314,603号、標題「チャ
ンバ内の電極において実際のRF電力を検出し制御する
ことが可能なプラズマ処理装置」や、Ogle らへの米国
特許第4,871,421号、標題「プラズマエッチン
グシステムのための分割位相ドライバ」を参照して理解
することができる。
【0079】(5.チャンバ動作)堆積プロセス中は、
ガスパネルコントローラ50により、ガスパネル52が
TDMAT等のCVDプロセスガスをシャワーヘッド1
36へ供給するようになる。シャワーヘッド136を介
してプロセスガスが処理チャンバ112内に導入され、
加熱されているウエハ114へと輸送される。その結
果、材料の薄い層がウエハ114の上面に堆積する。T
DMATを用いる場合は、形成される材料の薄膜は窒化
チタン TiN である。
【0080】半導体処理チャンバ110A内で行われる
堆積後処理の間、下記のように、アニール、酸化又は珪
素への曝露を行ってもよい。プラズマアニールプロセス
中は、ガスパネルコントローラ50の制御の下、ガスパ
ネル52により、窒素、水素、アルゴン又はこれらの混
合物等のプラズマガスをシャワーヘッド136に供給す
る。堆積後の酸化プロセスにおいては、ガスパネルコン
トローラ50の制御の下、ガスパネル52により、O2
又はN2/O2の混合物等の酸素ベースのガスをシャワー
ヘッド136に供給する。珪素曝露プロセス中は、ガス
パネルコントローラ50の制御の下、ガスパネル52に
より、シラン(SiH4)等の珪素ベースのガスをシャ
ワーヘッド136に供給する。
【0081】プラズマアニールプロセスと酸化プロセス
では共に、シャワーヘッド136により供給されるガス
は、ウエハ114と反応する正荷電イオンを含むプラズ
マへと変換される。珪素曝露プロセスでは、ウエハ11
4及びウエハ支持体116の加熱によって、ガスにエネ
ルギーが与えられる。堆積や堆積後処理の何れにおいて
用いられるいかなるキャリアガスも、堆積や堆積後処理
の副生成物と共に、圧力制御ユニット157によって処
理チャンバ112から排出される。
【0082】(6.代替的なチャンバの構成)図16
は、本発明に従ってプロセスを遂行するための本発明の
代替的な具体例を内包する半導体ウエハ処理チャンバ1
10Bを例示する。半導体ウエハ処理チャンバ110B
は、シャワーヘッド136がRFソースと結合していな
い点を除いて、図5に示されるチャンバ110Aと同じ
である。RFソース62は、整合回路網63を介してウ
エハ支持体116に結合し、また、シャワーヘッド13
6は接地されている。
【0083】整合回路網63は、ウエハ支持体116の
負荷インピーダンスをRFソース62のインピーダンス
に整合させるための従来からの手段を用いている。この
整合により、RFソース62によって与えられる電力
が、所与の周波数において最大になる。本発明に従っ
て、ウエハ114に過剰な負バイアスを与えることなく
プラズマアニールや酸化を行うために十分なRFエネル
ギーを提供するよう、整合回路網63及びRFソース6
2がRFシグナルをウエハ支持体116に提供できるよ
うに構成されてもよい。
【0084】図17は、本発明の代替的な具体例を内包
し本発明に従ってプロセスを遂行することができる半導
体ウエハ処理チャンバ110Cを例示する。半導体ウエ
ハ処理チャンバ110Cは、シャワーヘッド136がR
Fソース143に、ウエハ支持体116がRFシグナル
ソース144にそれぞれ別々に結合している点を除い
て、図5に示されるチャンバ110Aと同じである。R
Fソース143は、整合回路網146を介してシャワー
ヘッド136に結合し、RFソース144は、整合回路
網147を介してウエハ支持体116に結合している。
【0085】整合回路網146はシャワーヘッド136
を、整合回路網147はウエハ支持体116を、それぞ
れソースインピーダンスに整合させるための、従来から
の手段をそれぞれ用いている。この整合により、各ソー
スによって与えられる電力が、所与の周波数において最
大になる。好ましくは、シャワーヘッド136に与えら
れるRFシグナルとウエハ支持体116に与えられるR
Fシグナルの間の位相シフトと電力分割を制御できるよ
う、RFソース143と144とはつながれている(図
示せず)。本発明に従い、ウエハ支持体116へのRF
シグナルとシャワーヘッド136へのRFシグナルを、
同じ電力及び周波数で且つ位相が180度ずれるように
供給できるよう、整合回路網146及び147とRFソ
ース143及び144を構成してもよい。
【0086】本発明のまた別の具体例では、図5、図1
6又は図17の何れのウエハ支持体116も、抵抗ヒー
タを有していてもよい。この抵抗ヒータはウエハ114
を支持し且つウエハ114加熱のため抵抗コイルを内包
する。
【0087】図5、図16及び図17に示される半導体
ウエハ処理チャンバを用いて、数多くのプロセスを行っ
てもよい。本発明の更なる特徴においては、拡散バリア
を形成するためのプロセスが与えられる。本発明のプロ
セスは前述の諸装置において行うことが有利であること
が認識される。しかし、ここに開示する方法は、適切な
チャンバを何個でも利用して行うことができることが、
更に認識される。
【0088】(B.膜の構築) (1.概説)本発明の具体例は、集積回路において改良
された抵抗値を有する膜を構築するものである。ここで
構築できる膜の1つは、拡散バリアである。しかし、ア
ルミニウムや銅等のコンタクトメタルの拡散を抑止する
目的で、本発明の具体例を用いて他の膜を構築すること
もできる。
【0089】本発明に従って、半導体ウエハ等の基板上
に、材料の層が堆積される。次いで、この材料にはプラ
ズマアニールが施され、この堆積材料の抵抗率が低減さ
れる。続いて、この堆積した層の上に、材料の新たな層
が堆積する。この材料をまたアニールして、材料の抵抗
率を低減させる。材料の堆積及びアニールを数回繰り返
して、ウエハの上面に配置される膜を形成してもよい。
【0090】本発明の別の具体例では、分子で詰められ
る(スタッフされる)べきウエハ上の材料をアニールす
る。このスタッフィングを行うことにより、アルミニウ
ムや銅等のコンタクトメタルの拡散を抑止する材料の能
力が向上する。アルミニウムに対するバリアとしての膜
の機能を向上させるため、アニールを施した材料に酸化
を施すことによりスタッフィングを行ってもよい。銅に
対するバリアとしての膜の機能を向上させるため、アニ
ールを施した材料をシラン(SiH4)に曝露すること
によりスタッフィングを行ってもよい。あるいは、3元
素の窒化珪化メタルである材料を堆積させることによ
り、銅の拡散を低減してもよい。
【0091】本発明のまた別の特徴は、ウエハ上の膜の
堆積、アニール及びスタッフィングに関して、インシチ
ュウで行ってもよいことである。
【0092】(2.膜抵抗率の低下のためのアニール)
本発明に従い、その抵抗率を下げるように、ウエハ上に
材料の層を堆積させこの材料の層をプラズマアニールす
ることにより、ウエハ上に膜を形成してもよい。
【0093】ウエハ上への材料の膜の堆積は、従来のC
VDを行うことができるチャンバ、例えば図3(a)の
チャンバ10、図5のチャンバ110A、図16のチャ
ンバ110Bや図17のチャンバ110C等において、
行うことができる。窒化チタン材料の堆積は、メタロ有
機チタン化合物、好ましくはテトラキス(ジアルキルア
ミド)チタン(Ti(NR24)を用いて実現すること
ができる。
【0094】キャリアガス、例えばヘリウム、アルゴ
ン、窒素又は水素が、チタン化合物をチャンバ内に同伴
させる。チャンバ内では、チタン化合物は、別の場所で
生成した反応性種、例えばハロゲンラジカル、アンモニ
ウムラジカルや水素ラジカル等と反応する。窒化チタン
の堆積を促進するため、ウエハ温度を約200〜600
℃に設定し、処理チャンバの圧力を約0.1〜100ト
ールに設定する。
【0095】堆積した窒化チタンは、少なからぬ量の炭
素を含有しているため、窒化チタン膜は化学反応性を有
することとなる。従って、この膜を空気やその他の酸素
含有ガスに曝露すれば、膜に酸素が吸収される。この酸
素吸収は調節できないため、膜の安定性は損なわれ、膜
の抵抗率は悪化上昇する。このことにより、ウエハ上に
形成するデバイスの信頼性が低くなることがある。
【0096】空気への曝露後、窒化チタン膜のシート抵
抗率は、約10,000μΩ−cm/sqから約10
0,000μΩ−cm/sqの値まで上昇する。これ
は、堆積した窒化チタンが導電性のコンタクト及びバイ
アに対するバリアとして機能している場合に、甚だ望ま
しくない。バリア層に対しては、抵抗率は、約1,00
0μΩ−cm/sq未満のオーダーであることが望まし
い。
【0097】本発明に従い、高エネルギーイオンを含む
不活性プラズマによって、堆積窒化チタン膜をプラズマ
アニールする。DCバイアス電圧をウエハに印加するこ
とにより、これらイオンが得られる。このDCバイアス
電圧のウエハへの印加は、ウエハ支持体に結合して前駆
体ガスからプラズマを生成するに十分な電力を与える低
電力のRFソースによって行われてもよい。ウエハへの
電圧の印加は、約100〜1,000ボルトであれば十
分である。例えば、100ワットのRF電力を有する4
00ボルトを印加してプラズマを生成してもよい。これ
は高エネルギーイオンの生成に十分であり、また、経時
的に安定であるように窒化チタン膜をパッシベーション
又は密度化させるに十分である。
【0098】本発明に従ってアニールを施した窒化チタ
ン膜を、空気、酸素又は水蒸気に曝露した場合、酸素は
は吸収されないか、あるいは、ウエハにバイアス電圧を
印加しない場合に較べて著しく少ない量しか吸収されな
い。本発明に従って堆積しアニールを施した窒化チタン
膜は、メタロ有機チタン化合物の従来からの熱CVDに
よって生成した窒化チタン膜に較べて、結晶性が高く、
窒素をより多く含み、酸素及び炭素の含有量が低い。本
発明に従ってアニールを施した堆積窒化チタン膜は、低
く且つ安定なシート抵抗率も有している。
【0099】本発明の厳密なメカニズムは知られるとこ
ろではない。しかし、バイアスが与えられている基板上
の堆積材料に高エネルギーイオンが衝突することによ
り、膜の密度が高められると考えられる。
【0100】
【実施例】
(a.窒素プラズマ)本発明の1つの具体例では、堆積
窒化チタンをアニールするためのプラズマの生成に用い
るガスは、いかなるガスであってもよいが、酸素及び炭
素を含有しないガス、例えば、窒素、アンモニアやアル
ゴン等であることが好ましい。窒素は、窒化チタン材料
のパッシベーションに最も有効である。あるいは、イオ
ンソース等の非ガス種から生成したイオンを、堆積材料
に衝突させてもよい。堆積窒化チタンのプラズマ処理
は、堆積材料の粒子の性能、ステップカバレージ、堆積
速度やバリア性能に悪影響を与えない。
【0101】従来からの真空化学気相堆積チャンバ10
において、以下の条件の下に、窒化チタンをシリコンウ
エハ上に堆積した。処理チャンバ12内の圧力は0.4
5トールであり、ウエハ支持体16の温度を420℃に
設定した。ヘリウム流量は、Ti(NR24)のバブラ
を介して40sccmを採用し、窒素希釈の流量は10
0sccmに設定した。窒化チタンの堆積に続いて、ア
ルゴンパージガスをチャンバ内に200sccmで流入
させた。窒化チタンを堆積させるための従来からのCV
Dプロセスは、 Sandhu らに発行の米国特許第5,24
6,881号に開示されている。開示されている。
【0102】この結果、毎分約425オングストローム
の堆積速度で窒化チタンが堆積した。この結果堆積した
窒化チタン膜は、厚さが非常に均一であり、4枚のウエ
ハの厚さ変動は3.03%であった。しかし、シート抵
抗率(ウエハ4枚の平均)は、11,360μΩ−cm
/sqと高かった。また、抵抗率は不安定であった。
【0103】図18は、堆積窒化チタンのシート抵抗率
(Ω/sq)の時間(hour)に対するグラフであ
る。四角(□)で示される測定値は、所望の膜厚が得ら
れた後堆積チャンバから取り出した膜から得られた値で
ある。丸(○)で示される測定値は、堆積チャンバから
取り出す前に温度150℃に冷却した膜から得られた値
である。丸で示される膜のシート抵抗率は四角で示され
る膜のそれよりも低いが、両方の膜ともに安定性は低
く、シート抵抗率は経時的に上昇している。このような
特性は、拡散バリアには望ましくない。
【0104】堆積窒化チタン膜にラザフォード後方散乱
測定を行った。得られたスペクトルは図19に与えられ
る。炭素のピークC、窒素のピークN及び酸素のピーク
Oが、珪素界面のものとしてスペクトル中に示される。
窒化チタン中の様々な物質の含有量は、以下の通りであ
る。炭素含有量は約30%、窒素含有量は約24%、酸
素含有量は約25%、チタン含有量は約23%である。
これは、堆積窒化チタン膜が比較的高いレベルの炭素及
び酸素の不純物を含んでいることを示すものである。
【0105】窒化チタンのシート抵抗率を低減するため
の試みとして、堆積の操作中に様々なガスを添加するこ
とにより、窒化チタンの堆積方法を変えた。その結果は
図20の表Iに当てられる。表Iの「コントロール」欄
のチタンは、この直前で述べた方法を用いて堆積したも
のである。窒化チタンのシート抵抗率を下げるために最
も成功した試行は、堆積中にNF3(7sccm)の流
入を含めた試行である。これにより、シート抵抗率が
2,200μΩ−cmに下げられた。しかし、NF3
理材料のラザフォード後方散乱スペクトル(図23参
照)では、膜中に弗素が不純物として包含されているこ
とが示されている。弗素の包含は望ましいことではな
い。
【0106】次に、堆積前及び堆積後のガス流入及びプ
ラズマ処理を用いて、このような処理が堆積窒化チタン
のシート抵抗率に影響するか否かを求めた。2つのケー
スでは、窒化チタンの化学気相堆積の前後にプラズマを
点火した。プラズマの生成は、100ワットの低電力で
行われ、窒化チタン堆積を受ける基板シリコンウエハへ
バイアスを与えなかった。その結果を、図21に示され
る表IIにまとめた。堆積前処理及び堆積後処理のいず
れも、堆積窒化チタンのシート抵抗率にさほど影響を与
えなかった。このように、プラズマ中でウエハにバイア
ス電圧を印加することにより、シート抵抗率を下げ且つ
これを経時的に安定させることは、甚だ予測困難であ
る。
【0107】本発明の特徴を、以下の実施例によって更
に説明するが、本発明はここに記載される詳細事項に制
限されると解されるものではない。窒化チタン層を有す
るシリコンウエハ基板にバイアス電圧400ボルトを印
加する一連のテストを行った。窒化チタン層のウエハ上
への堆積は、図16のチャンバ110Bで行い、約10
0ワットのRF電力を印加してプラズマによりアニール
を行った。堆積とバイアス印加を連続的にサイクルさせ
た。これら2つのステップを〜5回サイクルさせた。堆
積物の厚さ、サイクルの回数及び経時的に得られる抵抗
率を、図22の表IIIに与える。「コントロール」
は、停止せずに5ステップで堆積させたものであり、堆
積と他の間にはプラズマ中のアニール行わなかったもの
である。
【0108】表IIIのデータによれば、窒化チタンの
堆積後アニールを行うことにより、窒化チタンの抵抗率
が著しく低減し安定性が劇的に向上した事を示してい
る。表IIIの各実施例において、「コントロール」の
ケースに較べて抵抗率及び抵抗率の経時変化が向上して
いる。アニールを施した窒化チタンの初期抵抗率は低
く、経時的に抵抗率はほとんど上昇しない。
【0109】図24は、実施例1の窒化チタン膜のオー
ジェ分析のグラフである。このグラフは、膜のスパッタ
エッジ深さ(オングストローム)に対する膜中の元素の
原子濃度を表している。窒化チタンにバイアスが30秒
間2回与えられた(表III参照)。図24に示される
ように、チタン濃度は安定に維持されるが、このグラフ
によれば、炭素及び窒素が低いままで膜表面の窒素濃度
が高いことが明らかに示される。この炭素及び酸素の不
純物レベルの低減は、深さ約100オングストロームに
対して維持される。深さ400オングストロームでは、
膜が先ず高エネルギーイオンでアニールを受ければ、窒
素濃度が上昇し、他方炭素及び酸素の濃度は低下する。
図24のグラフは、本発明に従ったアニール後の膜の元
素組成における変化も示している。深さに関する元素分
析は、図25の表IVに示される。
【0110】バリア層には、厚さ100オングストロー
ムの窒化チタン層が適しているため、当該堆積後アニー
ルは、窒化チタンバリア層の安定性を高め抵抗率を下げ
るのに理想的である。堆積後アニールを行った実施例7
の窒化チタンに存在する表面元素を示すオージェスペク
トルが、図26に示される。このスペクトルには、堆積
した膜のバルクは窒化チタンであり少量のチタンが存在
していることが示される。表面には炭素及び酸素が不純
物として存在している。
【0111】しかし、図27に示されるように、実施例
7の膜のオージェスパッタリング分析では、膜のバルク
において酸素濃度が低いレベルへと著しく低下している
ことが示される。酸素以外の主な不純物は炭素だけであ
るが、これは当該アニールプロセスによって影響を受け
ないままである。深さ200オングストロームにおいて
は、膜中の元素の濃度(原子パーセント)は、酸素2.
8%、炭素20.9%、チタン38.8%、窒素37.
5%である。珪素は存在しなかった。
【0112】比較のため、コントロール膜の表面オージ
ェ分析を図28に示し、コントロル膜のスパッタオージ
ェ分析を図29に示す。コントロール膜の酸素濃度は著
しく高い。深さ200オングストロームにおいては、コ
ントロール膜の元素の濃度(原子パーセント)は、酸素
10.8%、炭素20.7%、チタン41.0%、窒素
27.5%である。珪素は存在しなかった。
【0113】実施例8の窒化チタン膜の表面オージェ分
析を図30に示し、深さ(オングストローム)に対する
スパッタオージェ分析を図31に示す。この膜の酸素濃
度は低かった。深さ43オングストロームにおいては、
元素の濃度(原子パーセント)は、酸素3.1%、炭素
13.7%、チタン40.0%、窒素43.2%であ
る。珪素は存在しなかった。
【0114】ラザフォード後方散乱を用いて、コントロ
ール窒化チタン堆積膜と実施例の窒化チタン堆積膜の濃
度(原子/cm3)を求めた。これらのデータを図32
の表Vにまとめた。表Vのデータからわかるように、高
エネルギーイオンによる堆積窒化チタンの衝突を含んだ
プラズマアニールにより、窒化チタン膜の濃度が、コン
トロール膜に較べて増加する。
【0115】本発明は、窒化チタンバリア膜に限定され
るものではない。本発明は、アルミニウム、銅、タンタ
ル、五酸化タンタル、珪化物、その他窒化物等の他の物
質の性能を向上させ化学組成を向上させるものでもあ
る。例えば、二元素の窒化メタル MXY 及び三元素の
窒化珪化メタル MXSiYZ の性質及び化学組成(M
はTi、Zr、Hf、Ta、Mo、W及びその他のメタ
ル)は、本発明の特徴を実行することにより向上させる
ことができる。ステンレス鋼、メタル、酸化物、ガラス
や珪化物等、シリコンウエハ以外の基板を用いることも
できる。
【0116】堆積とプラズマアニールは、チャンバ11
0A、110B及び110C等の前駆体ガス及びプラズ
マの機能を有するCVDチャンバ1つの中で行うことが
できる。チャンバ110A、110B又は110Cを用
いる場合は、窒化チタンを堆積した後同じチャンバでア
ニールを行うことができる。あるいは、図3(a)に示
されるような装置を本発明の実施に用いる場合は、チャ
ンバ1つ以上を使用してもよい。チャンバ1つ以上を用
いる場合は、基板をCVDチャンバ10からからアニー
ルチャンバへ移送させる間、真空状態を維持することが
好ましい。
【0117】堆積窒化チタンのプラズマアニールをチャ
ンバ110Bで行う場合は、以下の手順をその後に行う
ことができる。ウエハ114はウエハ支持体116上に
置かれ、シャワーヘッド136から約0.3〜0.8イ
ンチ、好ましくは0.6〜0.7インチの間隔が置かれ
ている。約350kHz、電力100〜500ワットで
RFシグナルソースから基板にエネルギーを印加するこ
とにより、エネルギーが与えられたイオンが得られる。
これは言い換えれば、ウエハ114の表面積の平方セン
チメートル(cm2)当たり約0.3〜1.6ワットと
いうことである。
【0118】ウエハ支持体116及びシャワーヘッド1
36に負の電力が与えられ、チャンバ壁が接地されて、
50〜1,000ボルトのDC自己バイアス電圧が誘起
される。ウエハ114と接地の間には、自己バイアス電
圧が200〜800ボルトであることが好ましい。これ
は、イオンを誘引してウエハ114表面に高いエネルギ
ーで衝撃を与えるに十分である。その結果、堆積窒化チ
タンは、パッシベーション又は密度化が施され、経時的
に安定を維持するようになる。
【0119】図33は、本発明に従って形成した窒化チ
タンの2つの異なる層に対して、空気曝露時間に対する
原子酸素濃度のグラフである。これら両方の窒化チタン
膜は、同じチャンバ内で堆積しプラズマアニールが施さ
れた。このチャンバは、上述のチャンバ110Bと同様
のものである。
【0120】各膜について、堆積とアニールのサイクル
を繰り返すことにより、厚さ200オングストロームの
窒化チタン膜が形成された。これを行うには、100オ
ングストロームの層を堆積し、次いで、アニールを行
い、この後、第2の100オングストロームの層を堆積
しアニールする。アニールは、N2プラズマを用いて行
った。原子酸素のパーセンテージは、2つの膜に対し
て、24時間の期間繰り返し測定され、これはプロット
312に反映されている。
【0121】プロット312からわかるように、酸素の
濃度は最初は約2%であった。24時間後の濃度は2.
5%未満であり、堆積膜が非常に安定であったことが示
された。これに較べ、プロット314はアニールを行わ
ない従来からのCVDを用いて堆積した窒化チタン膜に
ついて測定した酸素濃度測定を例示する。これらの膜
は、最初から高い酸素濃度(15%)を有していただけ
でなく、高い速度で酸素を吸収していた。また、従来技
術により形成した膜は安定性が低く、抵抗率は経時的に
著しく上昇した。比較のため、図34における点316
は、物理気相堆積により堆積した窒化チタン膜の典型的
な酸素濃度(約1%)を例示する。
【0122】図34(a)〜(c)は、それぞれ別の膜
についてのXPSスペクトルのグラフである。図34
(a)は、200オングストロームの非アニール膜のス
ペクトルを表し、316において有機結合炭素のレベル
が比較的高いことを示している。これとは対称的に、図
34(b)及び34(c)の作成に用いた200オング
ストローム膜の測定結果は、それぞれ317,318に
おいて、有機結合炭素のレベルが下がったことを示して
いる。図34(b)のために用いた膜の形成は、100
オングストロームの窒化チタン層を堆積し、本発明に従
ってプラズマアニールし、その後第2の窒化チタンの1
00オングストローム層を堆積しアニールすることによ
り行われたことを、注記すべきである。図34(c)
は、窒化チタンの50オングストローム厚の層4つを連
続的に堆積及びアニールしたものである。
【0123】図35(a)及び(b)は、本発明による
向上を更に例示するものである。図35(a)は、堆積
しN2でプラズマアニールしたCVD窒化チタン膜を用
いたバイアの抵抗率を示す。これらバイアは、先ずCV
D窒化チタン接着層によってラインが形成され、その
後、CVDタングステンプラグにより充填された。図3
5(a)は、膜堆積厚に対するバイア抵抗率のグラフで
ある。このグラフは、アスペクト比が約2.5の0.5
μmバイアについて作成されたものである。図示のよう
に、プラズマアニール膜についてバイア抵抗率(プロッ
ト320)は、非アニールの従来法で堆積した膜(プロ
ット322)よりも実質的に低い。比較のため、PVD
堆積窒化チタン膜が矢印324によって例示される。
【0124】窒化チタン厚さに対するサリサイドコンタ
クト抵抗を表す図35(b)のグラフにより、同様の向
上が例示される。このグラフは、アスペクト比が約2.
5の0.5μmバイアについてプロットしたものであ
る。プロット330は、本発明に従ってN2プラズマ処
理により作ったコンタクトの抵抗を示す。プロット33
0は、従来からのCVD堆積によって得られらたコンタ
クト抵抗を表すプロット332で例示される抵抗よりも
実質的に低い抵抗を例示する。比較のため、PVDチタ
ンコントロールコンタクト抵抗が、矢印334によって
与えられる。
【0125】図36は、所望の厚さの膜1つを形成する
ために用いる堆積及びアニールのサイクルの数の効果を
例示する。図36では、総厚みが200オングストロー
ムの窒化チタン膜が化学気相堆積によって堆積されN2
プラズマでアニールされた。プロット340で例示され
る第1のケースでは、プロセスは4サイクルで行い、こ
の4層のそれぞれにおいては、厚さ50オングストロー
ムの層を堆積させプラズマアニールを次の層の堆積の前
に行った。プロット342で例示される第2のケースで
は、100オングストロームの2層を堆積し別々にアニ
ールした。
【0126】プロット340で示されるケースでは、曲
線342で与えられるケース(700〜800μΩ−c
m)よりも低い抵抗率(500〜600μΩ−cm)が
示される。しかし、プロット340及び342の両方で
示される膜の抵抗率は、上限である1000μΩ−cm
よりも低い。また、各ケースにおいて8日後の抵抗率の
上昇は、両ケースにおいてほぼ同じであり5%未満であ
った。
【0127】プラズマ処理プロセスの圧力が膜の抵抗率
とDCバイアス電圧に及ぼす影響を調べるため、更にテ
ストを行った。このテストの結果は、図37に例示され
る。図37は、印加電力が約20ワットでプラズマ中で
60秒処理を行った200オングストロームの窒化チタ
ン堆積物に対して作成したものである。
【0128】プロット350に示されるように、本発明
のプロセスにより生成した膜によって示される向上した
抵抗率は、プロセス圧力には概略的には依存しない。し
かし、プロセス圧力が200ミリトールよりも低い場合
では、低い抵抗率が実現されなかった。
【0129】プロット352に例示されるように、プロ
セス圧力が約200ミリトールから1000ミリトール
へと上昇するにつれて、プラズマ全体で誘起されるDC
バイアスは実質的に下がった。その後、約150ボルト
で比較的一定に維持された。
【0130】図38(a)は、処理時間及び周波数の膜
抵抗率への影響を例示する。総膜圧が400オングスト
ロームの4つの異なる膜の比較を行った。プロット36
0で示される膜は、最初に50オングストロームの層を
1層堆積及びアニールし、その後、25オングストロー
ムの層を6層堆積及びアニールして、形成した。これら
の層それぞれは、堆積させた後に次の層の他の前にアニ
ールを施したものである。プロット362で示される第
2の膜は、50オングストロームの層を4層それぞれ堆
積及びアニールして形成したものである。プロット36
4で示される第3の膜は、100オングストロームの層
を2層それぞれ堆積及びアニールして形成したものであ
る。プロット366で示される最後の膜は、200オン
グストロームの層1層を堆積させた後、本発明に従って
アニールして形成したものである。
【0131】図38(a)のこれらのプロットから、数
多くの観測が可能である。最終的な層を作るための個々
の層の数が多くなれば、抵抗率が低くなるだろう。ま
た、個々の層が薄くなれば、プラズマ処理の時間が抵抗
率に与える影響が小さくなる。図38(b)は、プラズ
マ処理時間の膜抵抗率に及ぼす影響についての別の例を
例示する。
【0132】抵抗の低減及び膜の安定性の上昇に加え
て、本発明の方法を他の目的に用いることができるだろ
う。N2プラズマを用いてアニールを施した膜の分析
は、膜の表面近くの窒素の量が上昇することを示した。
これは、窒素イオンの一部が膜中に埋め込まれ膜と反応
したと思われる。従って、プラズマからのイオン/分子
を膜中に増やすために、このアニールプロセスを用いる
ことができるだろう。更に、このプロセスを用いて、膜
から不要な分子/イオンを排除ないし交代させることが
できるだろう。図34(b)〜(c)は、膜に衝突した
イオンが炭素原子を追い出すことを示している。
【0133】(b.窒素/水素プラズマ)本発明の別の
具体例では、窒素と水素の混合ガスを用いて、ウエハ1
14上に堆積した膜のプラズマアニール中にプラズマを
生成する。第1のステップとして、従来からの熱CVD
処理を用いて、ウエハ114上に窒化チタン膜を堆積さ
せる。その後、窒素と水素の混合ガスを有するガスから
生成したプラズマを用いて、堆積材料をアニールする。
【0134】チャンバ110A、110B又は110C
の何れを用いてこれらのステップを行う場合は、CVD
処理及びアニールは同じチャンバ内で行ってもよい。あ
るいは、1つのチャンバで窒化チタンをウエハ114上
に堆積させ、堆積後プラズマアニールのためにウエハ1
14を別のチャンバ内に移送してもよい。
【0135】チャンバ110Aを用いる場合は、ウエハ
114をウエハ支持体116上に配置させ、シャワーヘ
ッド136から約0.3〜0.8インチ、好ましくは
0.6〜0.7インチ間隔をとる。上述の如く、窒化チ
タン膜の層をウエハ114上に堆積させてもよい。最初
に堆積させる窒化チタン層は、厚さ50〜200オング
ストロームであってもよい。
【0136】堆積が完了した後、堆積材料のプラズマア
ニールを開始させる。シャワーヘッド136を介して、
窒素と水素が3:1の混合ガスを備えるガスを処理チャ
ンバ112内に導入する。窒素と水素の混合ガスは、窒
素の流量が約30sccmで導入させる。次いで、RF
ソース142は、整合回路網145を介して、350ワ
ットのRF電力を350kHzで供給し、RFシグナル
をウエハ支持体116及びシャワーヘッド136に発生
させる。シャワーヘッド136のRFシグナルとウエハ
支持体116のRFシグナルは、位相が180度ずれて
いることが好ましい。
【0137】上述の混合ガスは窒素と水素の比が3:1
であるが、3:1〜2:1の間であれば何れの比を用い
ることができる。一般的には、混合ガス中の水素の割合
が多いほど、膜が長期間の安定性を有するようになる。
しかし、プラズマ中の水素が多すぎる場合は、水素が膜
中の炭素と結合してポリマーを生成し、膜の抵抗率を上
昇させる。
【0138】シャワーヘッド136及びウエハ支持体1
16に供給されるRF電力の影響下で、プラズマに含有
される正荷電の窒素及び水素イオンが生成する。プラズ
マは典型的には、10〜30秒間維持される。上述の如
く、処理チャンバ112は接地されている。シャワーヘ
ッド136は、−100〜−400ボルト、典型的には
−200ボルトの負バイアスを得る。ウエハ114は自
己バイアスにより、−100〜−400ボルト、典型的
には−300ボルトの負バイアスを得る。この負バイア
ス電圧は、衝突の時間中はおよそ一定に維持される。
【0139】衝突の時間中は、プラズマからの正荷電イ
オンは、ウエハ114の表面における電圧勾配により加
速される。これにより、イオンがウエハ表面に衝突して
50〜100オングストロームの深さまで浸透するよう
になる。また、エネルギーを受けた中性の原子粒子がウ
エハ114に衝突することもある。
【0140】イオン衝突の結果、堆積材料の圧縮が生
じ、その厚さが20〜50%減少することがある。この
減少は、ウエハ温度とプラズマ処理の時間及びエネルギ
ーに依存する。所望により、続けて、窒化チタンの層を
更に堆積させアニールしてもよい。この更に形成する層
はそれぞれ、厚さが50〜100オングストロームであ
ることが好ましい。
【0141】アニールが完了した後、得られたアニール
済み窒化チタン膜は、数多くの性能の向上を示す。酸素
含有量は20〜25%減少し、酸素は堆積アニール材料
の1%未満を占めるだけとなる。膜の密度は、立方セン
チメートル当たり3.1グラム(3.1 g/cm3
未満であったのが、約3.9 g/cm3 に増加する。
堆積膜中に含まれる炭素の分率は25%以上減少し、炭
素は堆積膜の3%を占めるだけとなる。膜の構造の変化
が起こり、膜の抵抗率が処理前の10,000μΩ−c
mから150μΩ−cmへと降下する。アニールした膜
を酸素、空気又は水蒸気に曝露した場合、堆積膜にアニ
ールをしない場合に較べて、酸素の吸収量が著しく小さ
くなる。プラズマアニールにより、このように堆積させ
た膜中の炭素及び窒素をプラズマからの窒素に交代させ
る。
【0142】プラズマ生成ガスへ水素を添加することに
より、イオン衝突により膜から追い出され処理チャンバ
112の内側をコーティングする炭素の量を著しく低減
させることが見出された。処理チャンバ112の炭素コ
ーティングを低減することは利益があり、何故なら、こ
のような炭素コーティングはチャンバのインピーダンス
を変化させ、プラズマの正確な制御を困難にしてしまう
からである。炭素コーティングを減らすことにより、ク
リーニングが必要になるまで処理チャンバ112を使用
できる回数が多くなる。
【0143】図39(a)は、二酸化珪素層の上に厚さ
100オングストロームの窒化チタン層を堆積し、続け
てアニールして形成した窒化チタン膜に対してのオージ
ェ電子分光深さプロファイルである。図39(a)に示
されるように、炭素含有量及び酸素含有量は、膜のほぼ
全域で均一であり、炭素は9原子パーセント、酸素は2
原子パーセントである。アニールした窒化チタン膜の抵
抗率は、約250μΩ−cmである。
【0144】図39(b)は、窒化チタンの50オング
ストロームの層を堆積しアニールして得られた場合の更
なる向上を示す。図39(b)は、二酸化珪素層の上面
に厚さ50オングストロームの窒化チタン層を堆積し、
続けてアニールして形成した窒化チタン膜に対してのオ
ージェ電子分光深さのプロファイルである。ここでも、
炭素含有量及び酸素含有量は、膜のほぼ全域で均一であ
り、炭素は3原子パーセント、酸素は1原子パーセント
である。チタンと窒素の割合は、100オングストロー
ムのプロセスにおけるよりも高い。アニールした窒化チ
タン膜の抵抗率は、約180μΩ−cmである。
【0145】(c.窒素/水素/希ガスのプラズマ)本
発明のまた別の具体例では、アニールプラズマを生成す
るために用いる窒素及び水素の混合ガスは、他のガス、
例えばアルゴン、ヘリウムやアンモニア等を含んでいて
もよい。また、希ガスを更に含むことにより、イオン衝
突処理が向上する。アルゴン原子はヘリウム原子よりも
重いため、アルゴン原子の方が優れた衝突能力を与える
だろう。
【0146】更に、窒化チタン以外の材料でできた膜の
組成を、本発明と同様の方法で変えてもよいことが考え
られる。膜中に含有させるか、膜に存在する不純物と反
応させるかのいずれかにより、膜の化学組成を変えるた
めに他のガスをプラズマに加えてもよい。例えば、NH
3及びCH4を用いてもよい。酸素ベースのプラズマガス
は、Ta25 等の酸化膜を処理するためにより適して
いる。
【0147】本発明をプラズマ衝突CVD堆積膜につい
て説明してきたが、本発明は、PVD堆積膜にも適用性
がある。更に、本発明は、二元素の窒化メタル MXY
及び三元素の窒化珪化メタル MXSiYZ (MはT
i、Zr、Hf、Ta、Mo、W及びその他のメタル)
の処理において顕著な用途が見出されている。
【0148】また、本発明を用いて、膜のモルフォロジ
ーを有利な方へ変えることもできる。薄いバリア材料
は、その粒子配向(グレインオリエンテーション)の均
一性を向上させるために、本発明の高密度イオン衝突を
受けてもよい。下にある層のグレインの配向がその次に
堆積する層の構造に影響を与えるため、本発明は、下の
層の結晶構造及び/又は成長方向を変えることにより、
その次に堆積する層のモルフォロジーを変え向上させる
能力を提供する。
【0149】多数の層のモルフォロジーを制御するに
は、厚さ50オングストローム未満の薄い核界面層を堆
積し、次いで高密度イオン衝突によりこれを変化させ、
そして、標準的な技術によりバルク又は残りの膜を堆積
させればよい。上にある層の構造は、前に変化させた下
層の構造により決定できる。
【0150】このことは、図40を参照して例示するこ
とができる。窒化チタン膜については、好ましい結晶及
び配向は<200>であると決められてきた。水素をプ
ラズマに加えれば、結晶性を高めることにより膜を向上
できることが考えられる。図40は、シリコンウエハ上
に堆積させた厚さ1000オングストロームの従来形C
VD窒化チタン層の、角度走査に係るX線回折である。
<200>方向に配向するグレインの数を表すカーブの
点は、ラベル300によって示される。このグラフから
わかるように、明確なTiN<200>ピークは存在し
ない。このことは、従来からのCVDプロセスを用いて
形成した膜中には、結晶性TiN<200>は弱いこと
を示している。
【0151】図41は、本発明に従ってシリコンウエハ
上に堆積させアニールを施した、厚さ1000オングス
トロームのCVD窒化チタン層の、角度走査に係るX線
回折である。この回折パターンから、ラベル350で示
されるように、膜が好ましい配向<200>を有する微
少結晶性であることが示される。40〜45度の間にお
いては、ほぼ<200>方向に配向したグレインの数が
多い。更に、図40のピーク310は、図41において
著しく低い。
【0152】(3.続けて行うアニール)堆積膜の抵抗
率を更に下げるため、本発明に従ってプラズマアニール
プロセスを変え、2つの連続したプラズマアニールのス
テップを含めるようにしてもよい。第1のアニールのス
テップは、上述のように窒素及び水素を含む混合ガスか
ら生成したプラズマを用いて行う。第2のプラズマアニ
ールのステップは、アニールした材料から水素を除去す
るために行われるが、それは水素は酸素との親和力が高
く、このため抵抗率を上げてしまうからである。
【0153】第2のプラズマで生成したイオンは、堆積
及びアニールした材料に衝突し、材料の表面にある水素
を、膜から不要な副生成物として追い出す。水素が低減
することにより、酸素に対する材料の親和力が低減し、
これによって、膜の抵抗率が低くなり向上した安定性を
示すことが可能となる。
【0154】続けて行う第2のアニールのステップにお
いてプラズマ生成のために用いるガスは、窒素又は、ヘ
リウムとアルゴンとネオンとの何れかと窒素との混合ガ
スを有していてもよい。ヘリウムは、窒素分子のイオン
化を促進しN+、N2 +、N3 +及びN4 +の各イオンの再結
合の確率を低減するため好ましい。窒素及びヘリウムの
混合ガスは、窒素単独で用いるよりも好ましく、その理
由は、ヘリウムベースのプラズマのイオンは、イオン化
効率を向上させることが可能であり、そのため、イオン
反応性を促進し浸透深さを大きくすることができる。浸
透深さが深くなれば、追い出される水素の量が多くな
り、堆積材料の抵抗率の低減を最大にすることができる
ようになる。更に、少量のヘリウムがあれば、水素原子
が存在していたため堆積材料に残された空隙であって、
窒素原子で充填するには小さすぎる空隙を充填すること
が可能となる。
【0155】本発明に従い、チャンバ110A等のチャ
ンバ内にウエハ114を配置させ、上述のようにウエハ
上に材料の層を堆積させる。堆積材料は、拡散バリアと
して用いるための窒化チタンであってもよい。
【0156】材料の層を堆積した後は、イオン衝突の第
1のアニールのプロセスを行う。ウエハ114は、ウエ
ハ支持体116上に置かれているが、シャワーヘッド1
36から約0.3〜0.8インチのところにあってもよ
い。ウエハ114はシャワーヘッド136から0.6〜
0.7インチであることが好ましい。
【0157】イオン衝突は、先ずシャワーヘッド136
を介してガスを処理チャンバ112へ移動させることに
より実現される。本発明の一具体例では、ガスは、窒素
対水素の比が2:3の窒素と水素の混合ガスであり、窒
素の流量が約600sccmで処理チャンバ112内に
導入される。処理チャンバ112内の圧力は、約1.0
トールに設定され、ウエハ温度は350〜450℃に設
定される。本発明の代替的な具体例では、ガスは、窒素
対水素の比が3:1〜1:2の混合ガスを含んでいても
よい。
【0158】第1のアニールのプロセスに次いで、RF
ソース142がシャワーヘッド136とウエハ支持体1
16にRFシグナルを供給する。これにより、ガスが、
正荷電イオンを含むプラズマを生成する。RFソース1
42は、整合回路網145を介して、350ワットのR
F電力を350kHzで供給し、位相が180度ずれて
いるRFシグナルをウエハ支持体116及びシャワーヘ
ッド136に発生させる。典型的には、プラズマを20
秒間維持する。あるいは、RFソース142は、1MH
z未満の周波数で350ワットのRF電力を供給しても
よい。
【0159】RFソース142から電圧を繰り返しサイ
クルさせることにより、ウエハ114の近隣において電
子が過剰になり、これがウエハ114に負バイアスを発
生させる。ウエハ支持体116は、−100〜−400
ボルト、典型的には−200ボルトの負バイアスを得る
ことができる。処理チャンバ112は接地され、ウエハ
114の負バイアスは、−100〜−400ボルト、典
型的には−300ボルトであり、これは、衝突の時間中
はおよそ一定に維持される。
【0160】イオン衝突中は、プラズマからの正荷電イ
オンは、ウエハ114の表面における電圧勾配により加
速され、100〜110オングストロームの深さまで浸
透する。また、エネルギーを受けた中性の原子粒子がウ
エハ114に衝突することもある。20秒間の第1のア
ニールが完了すれば、処理チャンバ112をパージす
る。
【0161】次いで、第2のアニールのプロセスを開始
させる。本発明の一具体例では、プラズマ発生ガスは窒
素のみである。このガスを、窒素流量約500〜100
0sccmで処理チャンバ112内に導入する。処理チ
ャンバ112内の圧力は約1.0トールに設定され、ウ
エハ温度は350〜450℃に設定される。
【0162】本発明の代替的な具体例では、ガスは窒素
とヘリウムの混合ガスで、窒素とヘリウムの比が0.2
〜1.0であってもよい。アルゴン、ネオン、ヘリウム
又はこれらの混合ガスと、窒素との組み合わせを含むガ
スを用いてもよい。
【0163】次に、第2のアニールのプロセスでは、R
Fソース142はシャワーヘッド136とウエハ支持体
116にRFシグナルを供給する。これにより、正荷電
を有するプラズマがガスから生成する。RFソース14
2は、整合回路網145を介して、300〜1,500
ワットのRF電力を300〜400kHzで供給し、位
相が180度ずれているRFシグナルをウエハ支持体1
16及びシャワーヘッド136に発生させる。典型的に
は、プラズマを15秒間維持する。あるいは、RFソー
ス142は、13.5MHzの周波数で300〜1,5
00ワットのRF電力を供給してもよい。ソースの電力
は、処理するウエハのサイズの変更に対する必要性を基
に縮尺させてもよい。
【0164】第1のアニールのケースにおけると同様
に、RFソース142から電圧を繰り返しサイクルさせ
ることにより、ウエハ114の近隣において電子が過剰
になり、これがウエハ114に負バイアスを発生させ
る。ウエハ支持体116は、−100〜−400ボル
ト、典型的には−300ボルトの負バイアスを得ること
ができ、シャワーヘッド136は−100〜−400ボ
ルト、典型的には−200ボルトの負バイアスを得るこ
とができる。処理チャンバ112は接地され、ウエハ1
14の負バイアスは、−100〜−400ボルト、典型
的には−300ボルトであり、これは、衝突の時間中は
およそ一定に維持される。
【0165】イオン衝突中は、プラズマからの正荷電イ
オンは、ウエハ114の表面における電圧勾配により加
速され。イオンはウエハ114の表面に浸透し、堆積し
アニールした材料の水素分子を追い出す。また、エネル
ギーを受けた中性の原子粒子がウエハ114に衝突する
こともある。15秒間の第2のアニールが完了すれば、
処理チャンバ112をパージする。
【0166】窒素ガスを用いる場合は、イオンは深さ7
0〜80オングストロームまで浸透する。ガスが窒素と
ヘリウムの混合ガスである場合は、イオンは深さ100
〜125オングストロームまで浸透する。従って、窒素
とヘリウムの混合ガスでアニールすれば、窒素のみを用
いてアニールした場合よりもさらに多くの水素分子を追
い出すことができる。
【0167】所望の厚さ、例えば150〜300オング
ストロームの拡散バリアを形成するため、上述のCVD
堆積及び連続アニールするプロセスを繰り返す。所望の
厚さを実現するまで、厚さ50〜100オングストロー
ムのバリア材料の層を順番に、堆積及び連続アニールす
ることにより、堆積させる。
【0168】チャンバ110A、110B又は110C
の何れかにおいて、続けて行うアニールのプロセスを行
う場合は、堆積、第1のアニール及び第2のアニールの
全てを同じチャンバで行ってもよい。従って、堆積及び
連続的なアニールをインシチュウで行ってもよい。しか
し、堆積及び連続的なアニールのプロセスステップはイ
ンシチュウで行う必要はなく、別のチャンバを用いても
よい。
【0169】図42の表VIは、連続的なアニールのプ
ロセスを、1回アニールを行うプロセスとと比較するた
めに得られた実験結果を反映する。表VIのデータを収
集するために、1組のウエハがそれぞれ本発明の別の具
体例に従って処理された。厚さ200オングストローム
の窒化チタン層を、本発明に従って各ウエハ上に形成し
た。
【0170】第1のウエハは、上述のアニール1回のプ
ロセスに従い、アニールプラズマを生成するために窒素
及び水素のガスを用いて処理した。第2のウエハは、窒
素のみを含むプラズマガスを用い、連続アニールにより
処理した。第3のウエハは、窒素とヘリウムを含むプラ
ズマガスを用い、連続アニールにより処理した。第4の
ウエハは、3相の連続アニール、即ち順番に、窒素−水
素プラズマアニールを15秒間、窒素プラズマアニール
を15秒間、そして窒素−水素プラズマアニールを5秒
間行うことにより処理した。
【0171】第2のウエハは、窒素ガスで連続アニール
したものであるが、1つのアニールステップのみ行った
第1のウエハよりも著しく抵抗率が小さいことが示され
た。第2のウエハの抵抗率は、450〜500μΩ−c
mであり、第1のウエハの抵抗率は、570〜630μ
Ω−cmであった。さらに、第2のウエハは50時間後
の抵抗率の増加は7〜8%しかなかったが、第1のウエ
ハのこの増加は11〜12%であった。
【0172】第2のプラズマアニールにおいて窒素とヘ
リウムの混合ガスを用いた第3のウエハにおいては、さ
らに良好な結果が確認された。第3のウエハは、抵抗率
は440〜480μΩ−cmであり、50時間で3〜7
%しか増加しなかった。また、第3のウエハは酸素濃度
が小さかった。第2のウエハに較べて第3のウエハの方
が酸素濃度のレベルが低かったのは、窒素−ヘリウムの
混合ガスの窒化チタン層から水素を追い出す性能が優れ
ていたことによる。
【0173】第4のウエハは、窒素と水素の混合ガスに
より第3のアニールを行うが、抵抗率及び抵抗率の劣化
の測定値は第1のウエハに近かった。これは、第2のア
ニールの後に水素を再び導入することにより、水素過剰
の状態を作り出していることを示している。この水素過
剰は、第2のアニールにおいて実現した利益を損ねてし
まう。
【0174】(4.拡散性低減のための酸化)ウエハ上
の膜の抵抗率を向上させることに加えて、次のプロセス
を行うことにより、膜の下の基板へのコンタクトメタル
の拡散に対して、膜がさらに抑止をすることが可能とな
る。特に、アルミニウムの拡散をよりつよく抑止するた
め、膜を処理することになる。
【0175】先ず、材料の層をウエハ114の上面にイ
ンシチュウ(層形成中のあらゆる時点においても処理チ
ャンバ112からウエハを取り出さず)で形成する。本
発明の一具体例では、材料の堆積とそれに続くアニール
をチャンバ110Aで大古成って膜を形成する。熱CV
Dを用いてウエハ114の上面に材料の層を堆積させ、
材料がウエハ114上面に適合できるようにしてもよ
い。堆積中は、処理チャンバ内の圧力が0.6〜1.2
トールとなるように圧力制御ユニット157を設定して
もよく、ウエハ114の温度が360〜380℃となる
ようにランプ130を設定してもよい。
【0176】本発明の一具体例では、堆積材料はバリア
材料であってもよく、例えば、窒化チタン(TiN)の
ような二元素メタル窒化物等である。本発明の別の具体
例では、二元素メタル窒化物の代わりに、三元素メタル
窒化珪化物をバリア材料として用いてもよい。堆積材料
は、厚さ50〜300オングストロームであってもよ
く、好ましくは50〜100オングストロームである。
【0177】バリア材料を堆積させた後、イオン衝突の
プロセスを通じてこれをアニールする。ウエハ114
は、ウエハ支持体116上に置かれているが、シャワー
ヘッド136から0.3〜0.8インチのところにあっ
てもよい。ウエハ114はシャワーヘッド136から
0.6〜0.7インチのところにあることが好ましい。
【0178】イオン衝突を行うには、先ず、シャワーヘ
ッド136を介してガスを処理チャンバ112内に導入
する。本発明の一具体例では、ガスは窒素と水素の混合
ガスであり、これは、窒素と水素の比が2:3であり、
窒素流量が約400sccmで処理チャンバ112内に
導入される。処理チャンバ112内の圧力は約1.0ト
ールに設定され、ウエハ温度は300〜400℃(好ま
しくは360℃)に設定される。
【0179】本発明の別の具体例では、ガスは窒素と水
素の比が3:1〜1:2であるガスを備えていてもよ
い。窒素及び水素に、アルゴン又はヘリウム又はアンモ
ニアを組み合わせた別のガスを用いてもよい。
【0180】次に、アニールプロセスにおいて、RFソ
ース142がシャワーヘッド136とウエハ支持体11
6にRFシグナルを供給することにより、ガス206が
正荷電イオンを含有するプラズマを生成する。RFソー
ス142は整合回路網145を介して350ワットのR
F電力を350kHzで供給し、位相が180度ずれて
いるRFシグナルをウエハ支持体116及びシャワーヘ
ッド136に発生させてもよい。典型的には、プラズマ
を10〜30秒間維持する。あるいは、RFソース14
2は、1MHz未満の異なる周波数で350ワットのR
F電力を供給してもよい。
【0181】ウエハ114には負バイアスが発生する。
ウエハ支持体116は、−100〜−400ボルト、典
型的には−300ボルトの負バイアスを得ることがで
き、シャワーヘッド136は、−100〜−400ボル
ト、典型的には−200ボルトの負バイアスを得ること
ができる。処理チャンバ112は接地され、ウエハ11
4の負バイアスは、−100〜−400ボルト、典型的
には−300ボルトであり、これは、衝突の時間中はお
よそ一定に維持される。
【0182】イオン衝突中は、プラズマからの正荷電イ
オンは、ウエハ114の表面における電圧勾配により加
速され、50〜200オングストロームの深さまで浸透
する。また、エネルギーを受けた中性の原子粒子がウエ
ハ114に衝突することもある。
【0183】イオン衝突の結果、バリア材料の堆積材料
の厚さが、基板温度とプラズマ処理時間及びエネルギー
に依存して、20〜50%減少する。上述のように、厚
さが50〜100オングストロームのバリア材料の層を
用いたCVD堆積及びアニールを繰り返し、所望の厚さ
の材料の層を形成してもよい。
【0184】あるいは、ウエハ114上への材料の堆積
及びアニールは、これとは異なる数多くの手段により遂
行してもよい。米国特許出願08/498,990号、
標題「薄膜のバイアスプラズマアニール」、米国特許出
願08/567,461号、標題「薄膜のプラズマアニ
ール」、米国特許出願08/680,913号、標題
「薄膜のプラズマ衝突」の、それぞれには、CVDプロ
セス及びプラズマアニールを用いて、ウエハ上面へバリ
ア材料の層を形成するためのプロセスが開示される。こ
れらの出願のそれぞれは、参照としてここに包含され
る。これらの出願で開示されるプロセスのそれぞれは、
ウエハ上に材料の層を形成するための本発明の具体例に
用いることができる。
【0185】本発明の一具体例では、物理気相堆積を行
うことができる装置の中にウエハを配置させ、従来から
のスパッタリングプロセスにより材料の層を形成する。
本発明の他の具体例では、化学気相堆積を行うことがで
きるチャンバの中にウエハを配置させ、CVDにより材
料の層を形成し、付加的なアニールは行わない。
【0186】集積回路の製造においては、アルミニウム
はコンタクトメタルとして頻繁に用いられる。アルミニ
ウムは酸素に対して親和性を有するため、酸素リッチメ
タルにおいてアルミニウムの拡散性が下げられることも
ある。従って、材料に酸素を浸透させることにより、ア
ルミニウムコンタクトメタルへの向上した拡散バリアと
して機能するように、ウエハ114上に形成された材料
の層を処理することができる。
【0187】材料に酸素を浸透させるため、ウエハ11
4上の材料をインシチュウ(材料の層を形成した後、酸
化が完了するまで、処理チャンバ112から取り出さず
に)で酸化させる。即ち、材料の層の形成及び材料の層
の酸化のプロセス全体を、1つのチャンバ内でインシチ
ュウで行うことができる。材料の粒界は酸化されるが材
料の粒(グレイン)自身はほとんど酸化されないよう
に、酸化が行われる。
【0188】材料の粒界の酸化は、図5に示す半導体ウ
エハ処理チャンバ110Aを用いてインシチュウで行っ
てもよい。材料をウエハ114上に形成(堆積及びアニ
ール)した後、ウエハ114を処理チャンバ112内に
残す。圧力制御ユニット157により、処理チャンバ1
12内の圧力を0.5〜1.0トールに設定する。ウエ
ハ114の温度を、300〜400℃(好ましくは36
0℃)となるように設定する。
【0189】材料の層を、N2/O2混合ガス又はO2
の酸素含有ガスに曝露する。このガスは、流量100〜
1000sccmでシャワーヘッド136を介して処理
チャンバ112内に移送される。ガス208は、窒素と
酸素の両方を含んでいてもよく、窒素対酸素の混合比は
4:1であってもよい。次いで、RFソース142がウ
エハ支持体116とシャワーヘッド136の双方へ整合
回路網145を介してシグナルを供給し、ガスを正荷電
酸素イオンを含むプラズマに変換する。
【0190】RFソース142は、整合回路網145を
介して350ワットのRF電力を350kHzで約20
秒間供給し、シャワーヘッド136とウエハ支持体11
6に180度位相がずれたRFシグナルを発生させる。
シャワーヘッド136、ウエハ支持体116及びウエハ
114のそれぞれは、アニールプロセスについて上述し
たように、負バイアスを得る。その結果、正荷電酸素イ
オンが、ウエハ114の方へ加速され、材料の層の表面
に浸透し、材料の粒界に付着する。
【0191】本発明の一具体例においてこの酸化が完了
した後は、酸化した材料の層は酸化した窒化チタンであ
る。この酸化した窒化チタンは、酸素に対する親和性を
有するコンタクトメタル(例えばアルミニウム等)に対
して向上した拡散バリアとして機能することが可能であ
る。あるいは、材料の層が、他の二元素の窒化メタルM
XY 又は三元素の窒化珪化メタル MXSiYZ (Mは
Ti、Zr、Hf、Ta、Mo、W及びその他のメタ
ル)とした場合にも、向上した拡散バリアを本発明に従
って形成することができる。
【0192】本発明の代替的な具体例では、同じ半導体
ウエハ処理チャンバ110Aを用いて、材料の熱酸化を
行う。酸素含有ガス、例えば酸素、オゾン、空気や水等
を、流量100〜1000sccmで、シャワーヘッド
136を介して処理チャンバ112内に移送する。次い
で、ランプ130によりウエハ114を300〜400
℃の温度に加熱する一方、処理チャンバ内の圧力を0.
5〜100トール(好ましくは1.0トール)に設定す
る。
【0193】この結果、酸素含有ガスにおける酸素がバ
リア材料層の表面に浸透し、バリア材料の粒界に付着す
る。バリア材料の粒界を酸化させるプロセスの1つが、
Ngan らの米国特許第5,378,660号、標題「バ
リア層及びアルミニウムコンタクト」に開示されてお
り、これは参照としてここに包含される。材料の層20
0を形成し酸化させた後、ウエハ114は処理チャンバ
112から取り出される。
【0194】ウエハ114上の材料の層の形成及び酸化
について、具体的に、図5の半導体ウエハ処理チャンバ
110Aにおいて行われるように説明してきたが、この
プロセスはチャンバ110A内で行われることに限定さ
れない。このプロセスは、図16のチャンバ110B、
図17のチャンバ110C等、本発明に従ってインシチ
ュウで形成及び酸化処理を行うためのあらゆる半導体ウ
エハ処理チャンバにおいて行うことも可能である。
【0195】従来では、コンタクトメタルの拡散に対し
て十分な保護を与えるためには、拡散バリアを厚くして
いた。本発明の具体例の結果、コンタクトメタルの拡散
を抑止するために、拡散バリアは厚くしなくてもよい。
本発明の具体例では、バリアメタルの酸化により、酸素
に対する親和性を有するコンタクトメタル(アルミニウ
ム等)の拡散が低減する。このコンタクトメタルがバリ
ア材料の酸化層の中に拡散を開始したとき、コンタクト
メタルは酸素イオンと結合し、この酸素イオンがバリア
材料の粒界に付着する。その結果、コンタクトメタル
は、その下の拡散バリアの領域に到達することができな
い。
【0196】図43(a)におけるチャートは、酸化は
行わず本発明に従ってバリア材料の層を堆積及びプラズ
マアニールした後の、ウエハの化学組成を深さを変えて
示す。図43(b)は、本発明に従ってバリア材料の層
を堆積及びプラズマアニールし酸化を行った後の、ウエ
ハの化学組成を深さを変えて示すグラフが含まれる。
【0197】これらのチャートのそれぞれは、窒化チタ
ンのバリア層によってその上が覆われたシリコン基板を
有するウエハからとったデータを表している。オージェ
電子分光分析によりウエハを調べた。各チャートは、ウ
エハの深さが異なる場合に、化合物毎の原子濃度を示し
ている。この2つのチャートを比較してわかるように、
ウエハの頂部、すなわちバリア材料で構成される部分の
酸素レベルは、酸化しないバリア材料(図43(a))
よりも酸化させたバリア材料(図43(b))の方が著
しく高くなっている。
【0198】バリア材料中に酸素が存在することによ
り、アルミニウム等のコンタクトメタルは、バリア材料
中の酸素イオンと結合することにより、その拡散性が著
しく低くなる。従って、酸化させたバリア材料(図43
(b))の方が、酸化しないバリア材料(図43
(a))よりも、コンタクトメタル(アルミニウム等)
とその下のシリコン基板との間に良好な拡散バリアを与
える。
【0199】加えて、本発明の具体例により形成した拡
散バリアのシート抵抗は、酸化プロセスにより、許容で
きる程度に妥協できないわけではない。図44は、この
事実を例示する表を例示する。この表に示されるよう
に、本発明に従って堆積及びプラズマアニールを行った
が酸化を行っていない窒化チタンバリア材料の200オ
ングストローム層は、410Ω/sqのシート抵抗値を
有し、シート抵抗値の標準偏差は2.2%であるだろ
う。このバリア材料の層の抵抗率は、820μΩ−cm
と得られる。本発明に従って堆積、プラズマアニールを
行い20秒間酸化を行った窒化チタンバリア材料の20
0オングストローム層は、630Ω/sqのシート抵抗
値を有し、シート抵抗値の標準偏差は3.7%であるだ
ろう。このバリア材料の層の抵抗率は、1260μΩ−
cmと得られる。
【0200】また、図44の表は、窒化チタンバリア材
料の300オングストローム層に対するシート抵抗を示
す。本発明に従って堆積及びプラズマアニールを行った
後、窒化チタンバリア材料の300オングストローム層
は、235Ω/sqのシート抵抗値を有し、シート抵抗
値の標準偏差は2.0%であるだろう。本発明に従って
堆積、プラズマアニール及び20秒間の酸化を行った後
は、窒化チタンバリア材料の300オングストローム層
は、250Ω/sqのシート抵抗値を有し、シート抵抗
値の標準偏差は2.7%であるだろう。従って、酸化し
ないバリア材料の300オングストローム層の抵抗率は
705μΩ−cmであるが、酸化したバリア材料の30
0オングストローム層の抵抗率は750μΩ−cmであ
ろう。
【0201】図44の表に表されている窒化チタンバリ
ア材料の酸化しない層と酸化した層の相対的な有効性
は、以下のように評価された。上面に酸化しない窒化チ
タンバリア材料を有するウエハと酸化した窒化チタンバ
リア材料を有するウエハに、アルミニウムの1000オ
ングストロームの層を堆積させた。ウエハ上への堆積を
行った後、アルミニウムを550℃の炉内で1時間アニ
ールした。酸化していない窒化チタンバリア材料の20
0オングストロームの層を有するウエハと300オング
ストロームの層を有するウエハは、ウエハの基板へのア
ルミニウムの拡散により重大な欠陥が認められた。本発
明に従って堆積、プラズマアニール及び酸化を行った窒
化チタンバリア材料の200オングストロームの層を有
するウエハは、アルミニウムの拡散による軽微な欠陥の
み認められ、300オングストロームの層を有するウエ
ハは、この欠陥が全く認められなかった。
【0202】図43(a)、43(b)及び44のデー
タは、本発明の具体例を行って得ることができる結果の
一例に過ぎない。これらの図表に示される結果は、本発
明の具体例が同じ結果又は実質的に同じ結果を実現する
ことに限定することを意味するものではない。
【0203】(5.拡散性低減のための珪素の濃縮)本
発明の別の具体例では、酸化のステップは、珪素のスタ
ッフィングの操作により置き換えられる。珪素スタッフ
ィングの操作により、銅等のコンタクトメタルの、基板
を覆う材料層(窒化チタン等)への拡散性が、低減す
る。珪素が窒素と結合して堆積窒化チタンの粒界を充填
する能力は、窒化チタンのバリア性能の向上を促進する
メカニズムである。
【0204】本発明に従って、窒化チタン等の材料のウ
エハ上への堆積及びアニールは、酸化のステップを含む
プロセスに対して上述と同じ方法で行われる。窒化チタ
ンの100オングストローム層を堆積させるっことが好
ましい。窒素と水素の混合ガスを含むプラズマでこの材
料をアニールした後の窒化チタン層の厚さは、約50オ
ングストロームである。
【0205】窒化チタン材料の堆積及びアニールは、チ
ャンバ110A、110B又は110Cの何れかで行っ
てもよい。あるいは、堆積のステップ及びアニールのス
テップを行うことができる他のチャンバやチャンバ群を
用いてもよい。チャンバ110A、110B又は110
Cを用いた場合は、堆積及びアニールを行ったと同じチ
ャンバで珪素スタッフィングを行ってもよい。その結
果、珪素スタッフィングプロセスの全体をインシチュウ
で行うことができる。
【0206】堆積及びアニールの後、アニールした窒化
チタンをシラン(SiH4)に曝露することにより、珪
素スタッフィングが行われる。シランを、流量30sc
cmで約30秒間チャンバ110Aに流入させる。シラ
ン曝露の間は、チャンバ圧力を1.2トールに設定し、
ウエハ支持体116を420℃に加熱し、窒素をチャン
バ110Aに流量140sccmで流入させる。200
sccmのアルゴンパージの流入を採用する。シランへ
の曝露に続いて、排気パージを行い、チャンバ10A及
び供給ラインから残留のSiH4を掃引する。
【0207】この曝露を行っている間は、珪素は窒化チ
タン表面に結合して、堆積材料の粒界を充填する。この
スタッフィングされた珪素により、この後堆積する銅等
のコンタクトメタルの拡散が抑止される。
【0208】構築する膜が所望の厚さになるまで、窒化
チタン材料の堆積、アニール及び珪素スタッフィングの
ステップを続けて繰り返す。200オングストローム膜
の構築の場合、窒化チタンの堆積、アニール及び曝露は
全部で3回行うことが好ましく、各回に堆積させる窒化
チタンは100オングストローム層である。この結果、
珪素をスタッフィングした厚さ150オングストローム
の窒化チタン層が構築される。求める厚さの200オン
グストロームに到達させるため、最後の100オングス
トロームの窒化チタンのキャップ層を堆積させアニール
して、厚さ50オングストロームとする。この窒化チタ
ンのキャップ層のアニールは、上述のように、窒素及び
水素の両方を含むプラズマを用いて行ってもよい。この
最終の堆積及びアニールを行った材料のキャップ層は、
シランへの曝露を行わない。
【0209】堆積しアニールした材料の最終の部分をシ
ランに曝露しないのは、シランの酸素に対する親和性に
その理由がある。シランへの曝露により窒化チタン膜の
最終表面キャップに珪素が導入されれば、膜の抵抗率は
許容できないほど高くなるだろう。膜に窒化チタンのア
ニール層でキャップを被せた後は、この膜の抵抗率は約
520μΩ−cmである。窒化チタンの上層をシランに
曝露すれば、膜の抵抗率はおそらく非常に高くなるだろ
う。
【0210】ラザフォード後方散乱分光分析により、本
発明に従って珪素をスタッフィングした膜は以下の特徴
を有していたことがわかった。Si含有量は5原子パー
セント、Ti含有量は35.2原子パーセント、N含有
量は52.8原子パーセント、H含有量は7原子パーセ
ントであった。本発明に従って形成した膜のオージェ深
さプロファイルが図45に示される。このオージェ深さ
プロファイルによれば、窒素含有量とチタン含有量が均
一であり珪素含有量は上下しており、これは、窒化チタ
ンにキャッピングしようとする珪素含有材料が150オ
ングストロームであることと一致している。
【0211】上記の測定及び手順は、本発明に従って珪
素スタッフィングをいかに行うかの非限定的な例として
与えられることに、注意すべきである。本発明の別の具
体例では、基板上に堆積した材料の層をアニールするス
テップとこの材料をシランに曝露するステップとを相互
に交換してもよい。この結果、窒化チタン等の堆積材料
を、珪素スタッフィングの目的で先ずシランに曝露し、
その後、プラズマを用いてアニールして、この材料の抵
抗率を低減する。加えて、CVD以外の堆積プロセス、
例えばスパッタリング等を行ってもよい。
【0212】珪素スタッフィングに代替するものとし
て、三元素の珪化窒化メタル、例えばチタニア シリカ
カーボ ナイトライド(TiSiCN)等を、窒化チタ
ン材料の代わりに堆積させてもよい。そして、堆積させ
た珪素リッチな材料をアニールして、抵抗率を下げるこ
とができるだろう。上記のプロセスのように、堆積及び
アニールを繰り返して、所望の厚さの膜を形成すること
ができるのである。
【0213】本発明のこのような具体例に従って、堆積
プロセスを行うことができるチャンバの中にウエハを配
置させる。このチャンバは、珪素リッチ膜をインシチュ
ウで構築することが可能なチャンバ110A、110B
又は110Cの何れかであってもよい。あるいは、珪素
リッチ膜を形成する以下のステップを行うために用いる
ことができる他のチャンバやチャンバ群を用いてもよ
い。
【0214】ウエハをチャンバ内に配置させた後、チタ
ニア シリカ カーボ ナイトライド(TiSiCN)材
料をウエハ上に堆積させる。この堆積操作は、TDMA
Tを用いた従来からの熱CVDを用いて行ってもよい。
珪素を導入するため、或る体積のシランをチャンバ内に
流入させる。TDMATを用いたCVDで窒化チタンを
堆積させる場合に用いる容量と比較して、等しい体積の
窒素希釈ガスを随伴させる。
【0215】堆積操作を行うにあたり、チャンバ圧力を
1.2トールに設定し、ウエハ支持体の温度を420℃
に設定し、シランを10sccm、He/TDMATを
70sccm、窒素希釈ガスを90sccmで、チャン
バ内に流入させる。アルゴンパージを流量200scc
mで行う。堆積を32秒間行い、厚さ100オングスト
ロームの材料の層を形成することができる。窒化チタン
の化学気相堆積では、シランを用いず、窒素の流量は1
00sccmとなる。
【0216】この堆積に続いて、酸素スタッフィングを
含むプロセスについて上述したように、窒素及び水素の
プラズマを用いてTiSiCNのアニールを行う。堆積
材料の開始厚さが100オングストロームであり層の厚
さが50オングストロームであることが望ましい場合
は、このアニールには、20秒間行われるイオン衝突の
工程が含まれる。堆積及びアニールを続けて繰り返し、
所望の厚さの膜を構築する。本発明の一具体例では、2
00オングストロームの膜が望ましい。100オングス
トロームのTiSiCNの層を堆積させ、次いでアニー
ルして、50オングストロームの材料の層とする。Ti
SiCNの100オングストロームの堆積とアニールを
4回行って、求める200オングストロームの膜を得
る。
【0217】一例では、得られた200オングストロー
ム膜は、Siを15原子パーセント、Tiを25.3原
子パーセント、Nを49.7原子パーセント、Hを10
原子パーセント含んでいたことが、ラザフォード後方散
乱分光分析によって示された。この膜のオージェ深さプ
ロファイルが図46に示される。オージェ深さプロファ
イルにより、約5原子パーセントの低い炭素含有量と、
1原子パーセントの酸素含有量を有する、均一な組成で
あることが示される。この膜の抵抗率は、2,400μ
Ω−cmである。図47は、珪素スタッフィングを用い
て形成した200オングストローム膜と、チタニア シ
リカ カーボ ナイトライドを堆積させて形成した200
オングストローム膜の、抵抗率及び組成の比較を示す。
【0218】拡散バリアとして機能させるため非常に珪
素リッチな膜を得ることとの引き替えに、抵抗率が高く
なる。1,000μΩ−cmの抵抗率は、拡散バリアと
して十分許容されるものである。堆積のステップで用い
るシランの量を減らして、膜の抵抗率を下げてもよい。
最良の抵抗率が得られるのは、上述の如く、堆積及びア
ニールの後に材料の層の中に珪素をスタッフィングする
場合である。しかし、珪素をスタッフィングさせた拡散
バリアは、銅の拡散に対して、珪素含有材料を堆積させ
て形成した膜と同様の強力な抑止効果は与えない。例え
ば、珪素をスタッフィングさせた二元素窒化メタル(例
えば窒化チタン等)は、銅の拡散を防止できず、これ
は、三元素窒化珪化メタル(例えばTiSiCN等)を
堆積させて構築した膜についても同様である。集積回路
の製造者は、膜の構築における製造者の要求に最もよく
適合する珪素濃縮の方法を選択することができる。
【0219】また、上述の珪素濃縮プロセスのそれぞれ
において採用した堆積プロセスを変形することができる
ことは注記すべきである。化学気相堆積の代わりに、ス
パッタリング等他の堆積プロセスを用いてもよい。Ti
SiCN以外の他の三元素窒化珪化メタルを本発明の具
体例に用いてもよい。
【0220】更に、上述のアニールのステップは、窒素
と水素だけから成るプラズマを用いることに限定されな
い。堆積材料の抵抗率を下げるように作用する他のプラ
ズマ組成を用いてもよい。このようなプラズマの一例
は、窒素、水素及びアルゴンを含有する上述のプラズマ
である。これに続けてアニールを行ってもよい。
【0221】シランへ曝露することによる珪素スタッフ
ィングを含むプロセスにおいては、この曝露のステップ
は、エネルギーを熱により受ける点に制限されない。本
発明の代替的な具体例では、珪素イオンを含有するプラ
ズマは、RFシグナルによりエネルギーを受けた珪素リ
ッチなガスにより生成することができる。また、珪素ス
タッフィングしようとする材料を含むウエハにバイアス
を与えて、材料への珪素の衝撃を高めてもよい。プラズ
マを用いて珪素スタッフィングを行う場合は、珪素スタ
ッフィングは、抵抗率を低くするための材料のアニール
のステップの前に行ってもよいし、後に行ってもよい。
【0222】(c.プロセッサにより制御を行う膜の構
築)上述の材料の堆積、アニール、酸化及び珪素スタッ
フィングのプロセスは、プロセッサに基づく制御ユニッ
トにより制御を行うチャンバにて行ってもよい。ず48
は、このような場合において使用できる制御ユニット6
00を示す。この制御ユニットは、プロセッサユニット
605と、メモリ610と、マスストレージデバイス6
20と、入力制御ユニット670と、ディスプレイユニ
ット650とを有し、これら全ては、制御ユニットバス
625につながっている。
【0223】プロセッサユニット605は、マイクロプ
ロセッサ又は、メモリに保存された命令を実行すること
ができるその他のエンジンであってもよい。メモリ61
0は、ハードディスクドライブ、ランダムアクセスメモ
リ(RAM)、リードオンリーメモリ(ROM)、RA
MとROMの組み合わせ又はその他のメモリを備えてい
てもよい。メモリ610は、プロセッサユニット605
が実行して上述のプロセスステップの遂行を促す命令を
備えている。メモリ610の中の命令は、プログラムコ
ード緒の形態であってもよい。プログラムコードは、多
種多様なプログラム言語のいずれにも適合することがで
きる。例えば、プログラムコードは、C+、C++、B
ASIC、Pascal、又はその他の様々な言語に書
き換えることができる。
【0224】マスストレージデバイス620は、データ
及び命令を保存し、また、磁気ディスクや磁気テープ等
のプロセッサ読み出し可能な保存媒体からデータ及び命
令を読み込む。例えば、マスストレージデバイス620
は、ハードディスクドライブ、フロッピーディスクドラ
イブ、テープドライブ又は光ディスクドライブであって
もよい。マスストレージデバイス620は、プロセッサ
ユニットから受け取った指示に応じて、命令を保存した
り読み込んだりする。マスストレージデバイス620に
よって保存したり読み込んだりするデータ及び命令は、
上述のプロセスステップを行うため、プロセッサユニッ
ト605によって用いられる。先ず、データ及び命令
は、マスストレージデバイス620により媒体から読み
込まれ、次いで、プロセッサユニット605で用いるた
めメモリ610に転送される。
【0225】ディスプレイユニット650はプロセッサ
ユニット605の制御の下、チャンバオペレータに対し
て、情報をグラフィックディスプレイ及び文字数字キャ
ラクタの形態で与える。入力制御ユニット670は、デ
ータ入力デバイス、例えばキーボード、マウスやライト
ペン等を制御ユニットに結合させ、チャンバオペレータ
の入力を受けることができるようにする。
【0226】制御ユニットバス625は、データ及び制
御信号を、制御ユニットバス625につながっている全
てのデバイスの間で転送させる。ここでは制御ユニット
バスは1つのバスであって制御ユニット600のデバイ
スに直接続しているように示されているが、制御ユニッ
トバス625はバスの集合であってもよい。例えば、デ
ィスプレイユニット650、入力制御ユニット670及
びマスストレージデバイス620が入力−出力周辺バス
につながり、他方でプロセッサユニット605及びメモ
リ610はローカルプロセッサバスにつながっていても
よい、このローカルプロセッサバスと入力−出力周辺バ
スとがつながり、制御ユニットバス625を形成しても
よい。
【0227】制御ユニット600は、基板上に膜を形成
するために用いるチャンバの要素につながっている。こ
のような要素のそれぞれが制御ユニットバス625につ
ながり、制御ユニット600とこれら要素の間の連絡を
容易にしてもよい。これら要素は、ガスパネル52と、
ランプ130等の加熱要素と、圧力制御ユニット157
と、RFソース又はソース62,142,143,14
4と、チャンバ温度測定装置140とを有している。本
発明の一具体例では、制御ユニット600は、チャンバ
110A、110B及び110Cで必要なガスパネルコ
ントローラ50である。
【0228】基板上への材料の堆積、アニール、酸化及
び珪素スタッフィングのプロセスステップについて上述
した操作を、これら要素が行うように、制御ユニット6
00はこれら要素に信号を与える。また、制御ユニット
600は、前述のプロセスステップの実行の制御をいか
に進行させるかを決定するため、これら要素から信号を
受け取る。例えば、制御ユニット600は温度測定デバ
イス140から信号を受け取り、ランプ130がチャン
バに与えるべき熱量を決定する。
【0229】図49は、メモリ610から読み込まれた
プログラムコードの命令に応じてプロセッサユニット6
05が行うことができるプロセスステップのシーケンス
を例示する。基板上への膜の形成を開始するに当たり、
堆積ステップ700が行われる。堆積ステップ700で
は、プロセッサユニット605が、メモリ610から読
み込まれた命令を実行する。このような命令の実行の結
果、チャンバの要素が、上述のような基板上への材料の
層の堆積を行うよう動作する。例えば、読み込まれた命
令に応答してプロセッサユニット605により、ガスパ
ネルがチャンバ内に前駆体ガスを供給し、ランプ130
がチャンバを加熱し、圧力制御ユニット157がチャン
バ内の圧力を設定するようになる。
【0230】堆積素700が完了した後、メモリ610
から読み込まれた命令により、プロセッサユニット60
5がチャンバの要素に対して、上述のアニールプロセス
の中の1つ等のアニールのステップ701を行わせる。
このアニールの操作は、窒素、窒素と水素の混合ガス、
窒素と水素と他のガス(アルゴン等)との混合ガスの何
れかを用いたプラズマアニールを含んでいてもよい。あ
るいは、アニールのステップ701は、上述のように連
続アニールステップを実行させてもよい。
【0231】アニールのステップ701の完了後、制御
ユニット600に酸化プロセスステップが実行するか否
かを決定する酸化決定のステップ702を行わせる。酸
化を行わない場合は、ステップ703でメモリから命令
を読み込み、プロセッサユニット605に珪素スタッフ
ィングを行うか否かを決定させる。珪素スタッフィング
を行わない場合は、制御ユニット600はステップ70
6において他の堆積操作を行うべきか否かを決定する。
堆積操作は、既に堆積した材料の厚さが所望の膜厚と実
質的に等しくなるまで行われる。所望の膜厚に達した場
合は、基板上への膜の構築のプロセスは完了する。ある
いは、新たな堆積のステップ700を行う。
【0232】酸化決定のステップ702において酸化を
行うことを決定した場合は、プロセッサユニット605
は酸化のステップ704を実行させる。酸化のステップ
704では、読み込まれた命令により、プロセッサユニ
ット605は、チャンバの要素に、上述の堆積材料の酸
化のプロセスステップを遂行するに必要な操作を行わせ
る。この酸化は、プラズマに基づくものであってもよい
し、熱によるものであってもよい。酸化ステップ704
が完了すれば、プロセッサユニット605は、新たな堆
積のステップ700をステップ706において行うべき
か否かを決定する。
【0233】ステップ703において珪素スタッフィン
グを行うと決定した場合は、プロセスユニット605は
珪素スタッフィングのステップ705を実行させる。プ
ロセッサユニット605は、メモリ610内の珪素スタ
ッフィングの命令を読み込みこれを実行させる。この命
令に応答して、プロセッサユニット605は、上述の珪
素スタッフィングの手順を実行することを可能にする方
法で、チャンバの要素を作動させる。この珪素スタッフ
ィングは、熱によりエネルギーが与えられたシランガス
に堆積材料を曝露させることにより行ってもよい。ある
いは、珪素スタッフィングは、RFシグナルを用いてプ
ラズマを発生させて生成した珪素イオン含有環境に堆積
材料を曝露させることにより行ってもよい。珪素スタッ
フィングのステップ705が完了すれば、堆積ステップ
700を繰り返す。
【0234】図50は、メモリ610から読み込んだプ
ログラムコード命令に応答してプロセッサユニット60
5が実行することができる、別のプロセスステップのシ
ーケンスを例示する。このプロセスステップのシーケン
スは、図49に示されると同じステップを含んでいる。
しかし、ステップの順番を変え、アニールのステップ7
01の前に珪素スタッフィングのステップ705を行っ
ている。
【0235】堆積のステップ700を行った直後、プロ
セッサユニット605は、ステップ703において命令
を実行し、珪素スタッフィングを行うか否かを決定す
る。行う場合、珪素スタッフィングのステップ705を
行い、次いで、アニールのステップ701を行う。行わ
ない場合は、アニール701を行う。アニールのステッ
プ701の後、プロセッサユニット605がステップ7
02において酸化を行うか否かを決定する。行う場合
は、酸化のステップ704を実行させる。行わない場合
は、ステップ706において、新たな堆積を行うか否か
を決定する。また、酸化のステップ704を行った後、
ステップ706において係る決定を行う。新たな堆積が
必要なときは、堆積のステップ700を実行する。そう
でない場合は、膜の構築のプロセスは完了する。
【0236】ここまで特定の具体例について本発明の説
明を行ってきたが、特許請求の範囲における本発明の本
質及び範囲から離れることなく、いわゆる当業者により
様々な変形や変更を行うことができることが認識される
だろう。
【図面の簡単な説明】
【図1】拡散バリアを含む、集積回路のコンタクトプラ
グを例示する図である。
【図2】拡散バリアによりふさがれた集積回路のコンタ
クトホールを例示する図である。
【図3】(a)は化学気相堆積チャンバを例示する図で
あり、(b)は(a)に示されるチャンバのためのウエ
ハ支持体及び支持アームを例示する図である。
【図4】マルチチャンバ処理装置を例示する図である。
【図5】本発明に従ったウエハ処理チャンバの一具体例
を例示する図である。
【図6】図5に示されるウエハ支持体及び支持アームの
縦断面図である。
【図7】図6の支持アームの断面図において支持アーム
がウエハ支持を支持しているところの拡大図である。
【図8】図7の6−6線に沿った部分断面図である。
【図9】(a)は図6に示される支持アームの上面図で
あり、(b)は図9(a)の7−7線に沿った縦断面図
である。
【図10】(a)は図6に示される支持アームの熱電対
アイソレータの上面図であり、(b)は図10(a)の
8−8線に沿った縦断面図である。
【図11】(a)は図6に示される支持アームのRF電
力ストリップアイソレータの上面図であり、(b)は図
11(a)に示されるアイソレータの部分的に断面図で
ある正面図である。
【図12】図6の支持アームの下側保持プレートの上面
図である。
【図13】図6の支持アーム固定端における詳細を示す
断面図である。
【図14】図6の支持アームに配置されるRF電力スト
リップのコネクタの詳細を表す図である。
【図15】(a)〜(c)とも、図5で示される整合回
路網の具体例を例示する図である。
【図16】本発明に従った半導体ウエハ処理チャンバの
別の具体例を例示する図である。
【図17】本発明に従った半導体ウエハ処理チャンバの
また別の具体例を例示する図である。
【図18】従来からの堆積プロセスを用いて堆積させた
窒化チタン膜についてのシート抵抗値の時間に対するグ
ラフである。
【図19】従来からの堆積プロセスを用いてシリコンウ
エハ上に堆積させた窒化チタン膜のラザフォード後方散
乱スペクトルのチャートである。
【図20】表Iを示す図である。
【図21】表IIを示す図である。
【図22】表IIIを示す図である。
【図23】NF3のガスを流入させる化学気相堆積を用
いて堆積させた窒化チタン膜のラザフォード後方散乱ス
ペクトルのチャートである。
【図24】本発明に従った窒化チタン膜のオージェスパ
ッタ分析のグラフである。
【図25】表IVを示す図である。
【図26】本発明に従った他の窒化チタン膜の元素のオ
ージェ表面スペクトルである。
【図27】図26の窒化チタン膜における様々な元素の
原子濃度のグラフである。
【図28】コントロール窒化チタン膜の元素のオージェ
表面スペクトルである。
【図29】図28のコントロール窒化チタン膜における
様々な元素の原子濃度のグラフである。
【図30】本発明に従った他の窒化チタン膜の元素のオ
ージェ表面スペクトルである。
【図31】図30の窒化チタン膜における様々な元素の
原子濃度のグラフである。
【図32】表Vを示す図である。
【図33】本発明に従って生じさせた膜による酸素の吸
収を例示するグラフである。
【図34】(a)〜(c)とも、本発明に従って行った
膜の有機炭素含有量の低減を例示するグラフである。
【図35】(a)〜(c)とも、本発明に従って形成し
たバイア及びサリサイドコンタクトの膜抵抗が改善され
る事を示すグラフである。
【図36】堆積及びプラズマ処理のサイクルの数を変え
て生成させた膜の抵抗率を示すグラフである。
【図37】膜の抵抗率とバイアス電圧をプラズマ処理圧
力の関数としてプロットしたグラフである。
【図38】(a)は、アニール時間と周波数が膜の抵抗
率に与える影響を表すグラフであり、(b)は、アニー
ル時間の膜抵抗率に対する影響についての別の例を表す
グラフである。
【図39】(a)〜(b)とも、窒化チタンを連続的に
堆積及びアニールして形成した窒化チタン膜について
の、オージェ電子分光分析深さプロファイルのグラフで
ある。
【図40】シリコンウエハ上に堆積させた厚さ1000
オングストロームの従来形CVD窒化チタン層の、角度
走査に係るX線回折のグラフである。
【図41】シリコンウエハ上に堆積させアニールを施し
た厚さ1000オングストロームのCVD窒化チタン層
の、角度走査に係るX線回折のグラフである。
【図42】表VIを示す図である。
【図43】(a)〜(b)とも、本発明の一具体例に従
って形成した、非酸化拡散バリア(a)と酸化拡散バリ
ア(b)の化学組成を例示するグラフである。
【図44】本発明の一具体例に従って形成した拡散バリ
ア抵抗特性を例示するグラフである。
【図45】本発明に従った珪素スタッフィングを用いて
形成した膜のオージェ深さプロファイルを例示するグラ
フである。
【図46】本発明に従って珪素を含有する材料を堆積さ
せることにより形成請求項tあ膜のオージェ深さプロフ
ァイルを例示するグラフである。
【図47】図45と図46に示される膜の抵抗率及び組
成を比較するグラフである。
【図48】本発明に従って基板上に膜を構築するために
用いた、チャンバ制御のための制御ユニットを例示する
構成図である。
【図49】本発明の一具体例に従って、図48の制御ユ
ニットにより行う操作のシーケンスを例示するフローチ
ャートである。
【図50】本発明の別の具体例に従って、図48の制御
ユニットにより行う操作のシーケンスを例示するフロー
チャートである。
【符号の説明】
10…CVDチャンバ、12…処理チャンバ、14…ウ
エハ、16…ウエハ支持体、18…ディスク、20…自
由端、22…支持アーム、24…固定端、26…ステ
ム、28…変位機構、30…ランプ、32…ウィンド
ウ、34…ホール、36…シャワーヘッド、38…熱電
対、40…温度測定装置、42…ケーブル、100…拡
散バリア、101…シリコン基板、102…コンタクト
プラグ、103…コンタクトホール、105…導電領
域、106…ボイド、110…半導体ウエハ処理チャン
バ、112…処理チャンバ、114…ウエハ、116…
ウエハ支持体、136…シャワーヘッド、142…RF
ソース。
フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/205 H01L 21/205 (31)優先権主張番号 08/808246 (32)優先日 1997年2月28日 (33)優先権主張国 米国(US) (72)発明者 マーヴィン リャオ アメリカ合衆国, カリフォルニア州, サン ノゼ, シンシア レーン 1025 (72)発明者 エリック エー. エングルハート アメリカ合衆国, カリフォルニア州, パロ アルト, ホーマー アヴェニュー 435 (72)発明者 メイ チャン アメリカ合衆国, カリフォルニア州, サラトガ コーテ デ アゲエロ 12881 (72)発明者 イー−ジャン カウ アメリカ合衆国, カリフォルニア州, サン ノゼ, ホワイト クリフ ドライ ヴ 1142 (72)発明者 デール アール. ドゥボワ アメリカ合衆国, カリフォルニア州, ロス ガトス, マルベリー アヴェニュ ー 14285 (72)発明者 アラン エフ. モリソン アメリカ合衆国, カリフォルニア州, サン ノゼ, ディケンズ アヴェニュー 15221

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 半導体ウエハ処理装置であって、 処理チャンバと、 前記処理チャンバにガスを供給するためのシャワーヘッ
    ドと、 前記処理チャンバにおいてウエハを支持するためのウエ
    ハ支持体と、 前記シャワーヘッドと前記ウエハ支持体との両方につな
    がるRFソースとを備える半導体ウエハ処理装置。
  2. 【請求項2】 前記RFソースを前記ウエハ支持体と前
    記シャワーヘッドとにつなげるための整合回路網を更に
    備え、前記整合回路網は、前記RFソースにより与えら
    れるRFシグナルの、前記シャワーヘッド及び前記ウエ
    ハ支持体への、電力分割と位相シフトとを設定すること
    ができる、請求項1に記載の半導体ウエハ処理装置。
  3. 【請求項3】 前記シャワーヘッドにおいて受けるシグ
    ナルが、前記ウエハ支持体において受けるシグナルと実
    質的に180度位相がずれるように、前記整合回路網が
    前記位相シフトの設定をすることができる請求項2に記
    載の半導体ウエハ処理装置。
  4. 【請求項4】 複数の前記位相シフトを選択できるよう
    に、前記整合回路網を調節できる請求項2に記載の半導
    体ウエハ処理装置。
  5. 【請求項5】 複数の前記電力分割を選択できるよう
    に、前記整合回路網を調節できる請求項2に記載の半導
    体ウエハ処理装置。
  6. 【請求項6】 前記シャワーヘッドと前記ウエハ支持体
    とが、前記処理チャンバから電気的に絶縁されている請
    求項1に記載の半導体ウエハ処理装置。
  7. 【請求項7】 前記処理チャンバが接地につながってい
    る請求項6に記載の半導体ウエハ処理装置。
  8. 【請求項8】 前記半導体ウエハ処理装置が、化学気相
    堆積チャンバである請求項1に記載の半導体ウエハ処理
    装置。
  9. 【請求項9】 前記ウエハ支持体が熱電対を収容する請
    求項1に記載の半導体ウエハ処理装置。
  10. 【請求項10】 前記ウエハ支持体を前記処理チャンバ
    において支持するため、並びに前記ウエハ支持体の温度
    を測定するために前記熱電対を温度測定装置につなげる
    ために、前記ウエハ支持体につながる支持アームを更に
    有する請求項9に記載の半導体ウエハ処理装置。
  11. 【請求項11】 前記RFソースが、前記支持アームを
    介して前記ウエハ支持体につながる請求項10に記載の
    半導体ウエハ処理装置。
  12. 【請求項12】 前記熱電対が、前記RFソースと電気
    的に絶縁される請求項11に記載の半導体ウエハ処理装
    置。
  13. 【請求項13】 前記ウエハ支持体がサセプタである請
    求項1に記載の半導体ウエハ処理装置。
  14. 【請求項14】 半導体ウエハ処理装置であって、 処理チャンバと、 前記処理チャンバにガスを供給するためのシャワーヘッ
    ドと、 前記処理チャンバにおいてウエハを支持するためのウエ
    ハ支持体と、 前記シャワーヘッドにつながり、前記シャワーヘッドに
    第1のRFシグナルを与える第1のRFソースと前記ウ
    エハ支持体につながり、前記ウエハ支持体に第2のRF
    シグナルを供給する第2のRFソースとを備える半導体
    ウエハ処理装置。
  15. 【請求項15】 前記第1のRFソースを前記シャワー
    ヘッドにつなぐ第1の整合回路網と、 前記第2のRFソースを前記ウエハ支持体につなぐ第2
    の整合回路網とを更に備える請求項14に記載の半導体
    ウエハ処理装置。
  16. 【請求項16】 前記第1のRFソースが前記第2のR
    Fソースにつながる請求項14に記載の半導体ウエハ処
    理装置。
  17. 【請求項17】 前記シャワーヘッドに与えられる前記
    第1のRFシグナルが前記ウエハ支持体に与えられる前
    記第2のRFシグナルと実質的に180度ずれることが
    できるように、前記第1のRFソースが前記第2のRF
    ソースにつながる請求項16に記載の半導体ウエハ処理
    装置。
  18. 【請求項18】 前記第1のRFシグナル及び前記第2
    のRFシグナルの間の電力分割が調節可能である請求項
    16に記載の半導体ウエハ処理装置。
  19. 【請求項19】 前記第1のRFシグナル及び前記第2
    のRFシグナルの間の位相シフトが調節可能である請求
    項16に記載の半導体ウエハ処理装置。
  20. 【請求項20】 前記シャワーヘッドと前記ウエハ支持
    体が、前記処理チャンバから電気的に絶縁される請求項
    14に記載の半導体ウエハ処理装置。
  21. 【請求項21】 前記半導体ウエハ処理装置が化学気相
    堆積チャンバである請求項14に記載の半導体ウエハ処
    理装置。
  22. 【請求項22】 前記ウエハ支持体がサセプタである請
    求項14に記載の半導体ウエハ処理装置。
  23. 【請求項23】 前記ウエハ支持体が熱電対を収容する
    請求項14に記載の半導体ウエハ処理装置。
  24. 【請求項24】 前記ウエハ支持体を前記処理チャンバ
    において支持するため、並びに前記ウエハ支持体の温度
    を測定するために前記熱電対を温度測定装置につなげる
    ために、前記ウエハ支持体につながる支持アームを更に
    有する請求項23に記載の半導体ウエハ処理装置。
  25. 【請求項25】 前記RFソースが、前記支持アームを
    介して前記ウエハ支持体につながる請求項24に記載の
    半導体ウエハ処理装置。
  26. 【請求項26】 前記熱電対が、前記RFソースと電気
    的に絶縁される請求項11に記載の半導体ウエハ処理装
    置。
  27. 【請求項27】 処理チャンバと、 前記処理チャンバ内の第1の電極と、 前記処理チャンバ内の第2の電極と、 前記第1の電極と前記第2の電極とにつながるRFシグ
    ナルソースとを備える化学気相堆積チャンバ。
  28. 【請求項28】 前記RFシグナルソースを前記第1の
    電極と前記第2の電極とにつなげるための整合回路網を
    更に備え、前記整合回路網は、前記第1の電極に第1の
    RFシグナルを与え前記第2の電極に第2のRFシグナ
    ルを与えることができ、このとき、前記第1のRFシグ
    ナルが、前記第2のRFシグナルと実質的に180度位
    相がずれるようにできる請求項27に記載の化学気相堆
    積チャンバ。
  29. 【請求項29】 前記第1の電極がシャワーヘッドであ
    る請求項27に記載の化学気相堆積チャンバ。
  30. 【請求項30】 前記第2の電極がウエハ支持体である
    請求項27に記載の化学気相堆積チャンバ。
  31. 【請求項31】 前記ウエハ支持体がサセプタである請
    求項27に記載の化学気相堆積チャンバ。
JP9218887A 1996-07-09 1997-07-09 半導体ウエハ上に膜を構築するためのチャンバ Pending JPH10125626A (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US67721896A 1996-07-09 1996-07-09
US08/677,185 US6155198A (en) 1994-11-14 1996-07-09 Apparatus for constructing an oxidized film on a semiconductor wafer
US68091396A 1996-07-12 1996-07-12
US08/808246 1996-07-28
US08/677185 1997-02-28
US08/677218 1997-02-28
US08/808,246 US6699530B2 (en) 1995-07-06 1997-02-28 Method for constructing a film on a semiconductor wafer
US08/680913 1997-02-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008208111A Division JP4454675B2 (ja) 1996-07-09 2008-08-12 ウェハ上に窒化メタル膜を構築する方法

Publications (1)

Publication Number Publication Date
JPH10125626A true JPH10125626A (ja) 1998-05-15

Family

ID=27505372

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9218887A Pending JPH10125626A (ja) 1996-07-09 1997-07-09 半導体ウエハ上に膜を構築するためのチャンバ

Country Status (4)

Country Link
US (2) US6699530B2 (ja)
EP (1) EP0818559A3 (ja)
JP (1) JPH10125626A (ja)
TW (1) TW360907B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
WO2013103076A1 (ja) * 2012-01-05 2013-07-11 東京エレクトロン株式会社 TiN膜の成膜方法および記憶媒体

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US7858518B2 (en) * 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US7464145B2 (en) * 2002-07-11 2008-12-09 Intelliden, Inc. Repository-independent system and method for asset management and reconciliation
US7129531B2 (en) * 2002-08-08 2006-10-31 Ovonyx, Inc. Programmable resistance memory element with titanium rich adhesion layer
JP4284405B2 (ja) * 2002-10-17 2009-06-24 独立行政法人物質・材料研究機構 タッピングネジとその製造方法
JP4170120B2 (ja) * 2003-03-19 2008-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7311946B2 (en) 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
KR100568256B1 (ko) * 2003-12-11 2006-04-07 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
US20060234502A1 (en) * 2005-04-13 2006-10-19 Vishwanath Bhat Method of forming titanium nitride layers
WO2007048098A2 (en) * 2005-10-18 2007-04-26 Southwest Research Institute Erosion resistant coatings
US20090214787A1 (en) * 2005-10-18 2009-08-27 Southwest Research Institute Erosion Resistant Coatings
US7842135B2 (en) * 2006-01-09 2010-11-30 Aixtron Ag Equipment innovations for nano-technology aquipment, especially for plasma growth chambers of carbon nanotube and nanowire
KR100758297B1 (ko) * 2006-02-01 2007-09-12 삼성전자주식회사 금속유기화학증착법을 이용한 금속막 형성 방법
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7659204B2 (en) * 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7611930B2 (en) * 2007-08-17 2009-11-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing display device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101094375B1 (ko) 2009-11-30 2011-12-15 주식회사 하이닉스반도체 탄소함유 전극을 갖는 반도체 장치 및 그 제조 방법
US8790791B2 (en) 2010-04-15 2014-07-29 Southwest Research Institute Oxidation resistant nanocrystalline MCrAl(Y) coatings and methods of forming such coatings
EP2620975A4 (en) * 2010-09-21 2014-07-23 Ulvac Inc METHOD AND DEVICE FOR MANUFACTURING THIN FILMS
EP2646786A1 (en) * 2010-12-02 2013-10-09 Nestec S.A. Low-inertia thermal sensor in a beverage machine
US9511572B2 (en) 2011-05-25 2016-12-06 Southwest Research Institute Nanocrystalline interlayer coating for increasing service life of thermal barrier coating on high temperature components
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102192742B1 (ko) * 2011-11-23 2020-12-18 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9502232B2 (en) * 2014-07-02 2016-11-22 Globalfoundries Inc. Inhibiting diffusion of elements between material layers of a layered circuit structure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9523146B1 (en) 2015-06-17 2016-12-20 Southwest Research Institute Ti—Si—C—N piston ring coatings
US10339592B2 (en) * 2015-06-17 2019-07-02 Facebook, Inc. Configuring a virtual store based on information associated with a user by an online system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS57188670A (en) 1981-05-13 1982-11-19 Hitachi Ltd Treatment of electrically conductive member
JPS581067A (ja) 1981-06-26 1983-01-06 Toshiba Corp 装飾用金属窒化物皮膜の形成法
JPS58221271A (ja) * 1982-06-18 1983-12-22 Citizen Watch Co Ltd イオンプレ−テイング法による被膜形成方法
JPS60141869A (ja) * 1983-12-29 1985-07-26 Nissin Electric Co Ltd 膜形成方法および膜形成装置
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4657618A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Powered load lock electrode/substrate assembly including robot arm, optimized for plasma process uniformity and rate
CH664163A5 (de) * 1985-03-01 1988-02-15 Balzers Hochvakuum Verfahren zum reaktiven aufdampfen von schichten aus oxiden, nitriden, oxynitriden und karbiden.
US4931411A (en) * 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
DE3641718A1 (de) * 1986-12-06 1988-06-16 Leybold Ag Verfahren zum herstellen von wickeln aus im vakuum leitfaehig beschichteten isolierstoff-folien
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63229814A (ja) 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
US4847469A (en) * 1987-07-15 1989-07-11 The Boc Group, Inc. Controlled flow vaporizer
DE3800712A1 (de) 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
KR0145302B1 (ko) * 1988-04-28 1998-08-17 카자마 젠쥬 얇은 막의 형성방법
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5017403A (en) 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5053245A (en) * 1989-10-26 1991-10-01 Sanyo Electric Co., Ltd. Method of improving the quality of an edge surface of a cutting device
DE4114108C1 (ja) * 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JPH04100221A (ja) 1990-08-18 1992-04-02 Fujitsu Ltd 半導体装置の製造方法
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH0677216A (ja) 1990-09-28 1994-03-18 Applied Materials Inc 蒸着薄膜の障壁特性を高めるプラズマアニーリング法
JP2884549B2 (ja) * 1990-11-27 1999-04-19 大日本スクリーン製造 株式会社 製版用スキャナシステムおよび製版用スキャナ
US5175126A (en) 1990-12-27 1992-12-29 Intel Corporation Process of making titanium nitride barrier layer
DE4113085A1 (de) * 1991-04-22 1992-10-29 Philips Patentverwaltung Verfahren zur herstellung eines gluehkathodenelements
US5089438A (en) * 1991-04-26 1992-02-18 At&T Bell Laboratories Method of making an article comprising a TiNx layer
KR0184675B1 (ko) 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
EP0545602A1 (en) 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5254499A (en) * 1992-07-14 1993-10-19 Micron Technology, Inc. Method of depositing high density titanium nitride films on semiconductor wafers
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5273783A (en) * 1993-03-24 1993-12-28 Micron Semiconductor, Inc. Chemical vapor deposition of titanium and titanium containing films using bis (2,4-dimethylpentadienyl) titanium as a precursor
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5464031A (en) * 1993-06-22 1995-11-07 Micron Semiconductor, Inc. Method of chamber cleaning in MOCVD applications
KR970007116B1 (ko) * 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JPH0817174B2 (ja) * 1993-11-10 1996-02-21 キヤノン販売株式会社 絶縁膜の改質方法
JPH07201700A (ja) * 1993-12-28 1995-08-04 Mitsubishi Electric Corp 半導体装置の製造方法
US5420076A (en) * 1994-01-03 1995-05-30 Texas Instruments Incorporated Method of forming a contact for multi-level interconnects in an integrated circuit
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5468687A (en) * 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5480684A (en) 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
FI100349B (fi) * 1994-09-15 1997-11-14 Valmet Corp Menetelmä ja laitteisto telavaipan tukemiseksi sivuttaissuunnassa
US5773363A (en) * 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
EP0711846A1 (en) 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US6365495B2 (en) * 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5605724A (en) 1995-03-20 1997-02-25 Texas Instruments Incorporated Method of forming a metal conductor and diffusion layer
KR0164149B1 (ko) 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
US5654222A (en) * 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US5665625A (en) * 1995-05-19 1997-09-09 Micron Technology, Inc. Method of forming capacitors having an amorphous electrically conductive layer
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5817175A (en) * 1995-07-25 1998-10-06 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5612558A (en) * 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US5855967A (en) * 1995-11-29 1999-01-05 Epion Corporation Method of protecting surfaces on diamond, diamondlike carbon or carbon
EP0776991B1 (en) * 1995-12-05 2002-02-06 Applied Materials, Inc. Plasma annealing of thin films
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
KR100207467B1 (ko) * 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US5843839A (en) * 1996-04-29 1998-12-01 Chartered Semiconductor Manufacturing, Ltd. Formation of a metal via using a raised metal plug structure
US6004873A (en) * 1996-06-19 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing the pattern sensitivity of ozone assisted chemical vapor deposited (CVD) silicon oxide insulator layers
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6555465B2 (en) * 1997-12-05 2003-04-29 Yamaha Corp. Multi-layer wiring structure of integrated circuit and manufacture of multi-layer wiring
US6071562A (en) * 1998-05-07 2000-06-06 Lsi Logic Corporation Process for depositing titanium nitride films
US6168837B1 (en) * 1998-09-04 2001-01-02 Micron Technology, Inc. Chemical vapor depositions process for depositing titanium silicide films from an organometallic compound
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6423201B1 (en) * 2000-08-23 2002-07-23 Applied Materials, Inc. Method of improving the adhesion of copper

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
WO2013103076A1 (ja) * 2012-01-05 2013-07-11 東京エレクトロン株式会社 TiN膜の成膜方法および記憶媒体
JP2013139609A (ja) * 2012-01-05 2013-07-18 Tokyo Electron Ltd TiN膜の成膜方法および記憶媒体
US9257278B2 (en) 2012-01-05 2016-02-09 Tokyo Electron Limited Method for forming TiN and storage medium

Also Published As

Publication number Publication date
US20040099215A1 (en) 2004-05-27
EP0818559A3 (en) 2000-07-12
EP0818559A2 (en) 1998-01-14
TW360907B (en) 1999-06-11
US6699530B2 (en) 2004-03-02
US20020001976A1 (en) 2002-01-03

Similar Documents

Publication Publication Date Title
JPH10125626A (ja) 半導体ウエハ上に膜を構築するためのチャンバ
JPH10144626A (ja) 半導体ウエハ上への膜の構築
US5989999A (en) Construction of a tantalum nitride film on a semiconductor wafer
US6475854B2 (en) Method of forming metal electrodes
US6319766B1 (en) Method of tantalum nitride deposition by tantalum oxide densification
US8268684B2 (en) Method and apparatus for trench and via profile modification
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
KR100582218B1 (ko) 부착을 개선시키기 위한 기판의 플라즈마 어닐링
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US20020009861A1 (en) Method and apparatus for the formation of dielectric layers
US6155198A (en) Apparatus for constructing an oxidized film on a semiconductor wafer
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
EP0711846A1 (en) Titanium nitride deposited by chemical vapor deposition
EP0747502B1 (en) Improved adhesion layer for tungsten deposition
JPH09312297A (ja) 薄膜のプラズマアニール
JP4454675B2 (ja) ウェハ上に窒化メタル膜を構築する方法
KR100542799B1 (ko) 반도체웨이퍼상에서막을형성하는방법
US20020168847A1 (en) Methods of forming a nitridated surface on a metallic layer and products produced thereby
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric
JPH09115917A (ja) 薄膜のバイアスプラズマアニール方法
KR980011954A (ko) 반도체 웨이퍼상에 필름을 구성하기 위한 챔버
TW393684B (en) Construction of a film on a semiconductor wafer
JPS6123870B2 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080612

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080617

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080714

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080717

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081014