JPH0883839A - 金属導線の間に空隙を備えた半導体装置とその製造法 - Google Patents

金属導線の間に空隙を備えた半導体装置とその製造法

Info

Publication number
JPH0883839A
JPH0883839A JP7128488A JP12848895A JPH0883839A JP H0883839 A JPH0883839 A JP H0883839A JP 7128488 A JP7128488 A JP 7128488A JP 12848895 A JP12848895 A JP 12848895A JP H0883839 A JPH0883839 A JP H0883839A
Authority
JP
Japan
Prior art keywords
layer
metal
removable solid
porous dielectric
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7128488A
Other languages
English (en)
Other versions
JP3703166B2 (ja
Inventor
Robert H Havemann
エィチ.ヘイブマン ロバート
Shin-Puu Jeng
− プー ジェング シン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH0883839A publication Critical patent/JPH0883839A/ja
Application granted granted Critical
Publication of JP3703166B2 publication Critical patent/JP3703166B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【目的】 回路の導線の間の静電容量的結合が大幅に小
さい半導体装置とその製造法を提供する。 【構成】 基板の上に、金属層が沈着される。前記金属
層がエッチングされて、金属導線が作成される。前記金
属導線の間に、除去可能な固体層が沈着される。前記除
去可能な固体層と前記導線との上に、多孔質誘電体層が
沈着される。そして前記多孔質誘電体層の下の前記金属
導線の間に空隙を作成するために、前記除去可能な固体
層が前記多孔質誘電体層を通して除去される。前記空隙
は小さな誘電率を有し、その結果、前記金属導線の側壁
静電容量値が小さくなる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、全体的にいえば、半導
体装置の製造に関する。さらに詳細にいえば、本発明
は、金属導線の間に誘電率の小さな材料として空隙を用
いるることに関する。
【0002】
【従来の技術およびその問題点】半導体は、ラジオやテ
レビジョンなどの電子装置の集積回路に広く用いられて
いる。このような集積回路は、典型的には、単結晶シリ
コンの中に製造された多数個のトランジスタを用いてい
る。最近の多くの集積回路は、相互接続のための多重レ
ベルのメタライゼーションを有している。1つのチップ
の上にできるだけ多くの機能を集積することが必要であ
るために、個々のトランジスタの寸法を縮小する方法
と、チップの上に通常に集積される他の装置の寸法を縮
小する方法が、半導体産業界において研究されている。
けれども、装置の寸法をさらに小さくすることは、種々
の好ましくない効果を生ずる。これらの効果の1つとし
て、回路の中の導線の間の静電容量的結合が増加するこ
とが挙げられる。したがって、現在の多重レベル・メタ
ライゼーション装置においてRC時定数を小さくするこ
とは、緊急の課題である。
【0003】導電体の間の静電容量値は、これらの導電
体を分離するのに用いられる絶縁体、すなわち、誘電体
に大いに依存している。従来の半導体製造法は、通常、
誘電体として二酸化シリコンを用いている。この二酸化
シリコンの誘電率は約3.9である。考えられる可能な
最低の誘電率、すなわち、理想的な誘電率は1.0であ
る。この理想的な誘電率1.0は真空の誘電率であり、
一方、空気の誘電率は1.001より小さい。
【0004】
【問題点を解決するための手段】金属導線の間に空隙を
備えた半導体装置と、その製造法が開示される。この半
導体装置の導線の間の複合誘電率は小さく、例えば、約
1.25であり、それにより、回路の中の導線の間の静
電容量的結合は大幅に小さくなるであろう。
【0005】本発明は、半導体装置の金属導線の間に空
隙を作成する方法と、この方法により製造される半導体
装置とに関する。金属層が基板の上に沈着される。この
金属層にエッチングが行われて、金属導線が作成され
る。除去可能な固体層が、これらの金属導線の間に沈着
される。この除去可能な固体層と金属導線との上に、多
孔質誘電体層が沈着される。この多孔質誘電体層の下の
金属導線の間に空隙を作成するために、除去可能な固体
層が多孔質誘電体層を通して除去される。
【0006】また別の好ましい実施例では、金属層が基
板の上に沈着され、そしてこの金属層の上に第1酸化物
層が沈着される。この第1酸化物層と金属層にエッチン
グが行われて、エッチングされた酸化物と金属導線とが
作成され、および露出した基板部分ができる。エッチン
グされた酸化物の上と、金属導線の上と、基板の露出し
た部分の上とに、除去可能な固体層が沈着される。少な
くともエッチングされた酸化物の頂部から、除去可能な
固体層を低くするために、除去可能な固体層の頂上部分
が除去される。この除去可能な固体層の上と、少なくと
もエッチングされた酸化物の上とに、多孔質誘電体層が
沈着される。多孔質誘電体層の下のエッチングされた酸
化物の部分と金属導線との間に空隙を作成するために、
除去可能な固体層が多孔質誘電体層を通して除去され
る。
【0007】本発明の1つの利点は、金属導線の間に空
隙を作成する新規な方法が得られることである。空隙は
小さな誘電率を有し、そしてその結果、金属導線の間の
側壁静電容量値が小さくなる。
【0008】また別の好ましい実施例のさらに別の利点
は、金属導線の頂部の上に比較的厚い酸化物層が備えら
れることにより、処理工程の余裕度が増大することであ
る。それにより、さらに厚い除去可能な固体層を作成す
ることが可能になる。また、金属導線の頂部の近くにお
よび金属導線の頂部の角の近くに空隙を作成することが
でき、それにより、導線の間の縁(fringing)
静電容量値が小さくなる。
【0009】
【実施例】添付図面を参照しての下記説明により、本発
明をさらによく理解することができるであろう。添付図
面において、特に断らない限り、図面は異なっても同等
な部品には同等な番号または記号が付される。
【0010】本発明の好ましい実施例の製造とその利用
が、下記で詳細に説明される。けれども、本発明によ
り、広範囲の具体的な関係において、多くの応用可能な
発明の概念が得られることが分かるはずである。説明さ
れる特定の実施例は、本発明を理解しそして利用する具
体的な方法を単に例示したものであって、本発明の範囲
がそれらに限定されることを意味するものではない。
【0011】下記の説明は、いくつかの好ましい実施例
およびまた別の実施例の概要とそれらの製造法の説明で
ある。図面は異なっても対応する番号および記号は、特
に断らない限り、対応する部分を示す。下記の表1は、
これらの実施例の素子と図面の概要である。
【0012】
【表1】
【0013】図1Aは、半導体ウエハの横断面図であっ
て、この半導体ウエハの上で本発明の好ましい第1実施
例が実施される。半導体ウエハ10は基板12を有す
る。基板12は、当業者にはよく知られているように、
例えば、トランジスタ、ダイオードおよび他の半導体素
子(図示されていない)を有することができる。基板1
2はまた、金属相互接続層を有することができる。第1
酸化物層14が基板12の上に沈着され、そしてTEO
S(テトラエトシロキサン:tetraethosil
oxane))で構成されることができる。第1酸化物
層14はまた、PETEOS(プラズマで増強されたテ
トラエトシロキサン)、BPSG(ホウ素リン酸塩ケイ
酸塩ガラス:boron phosphate sil
icateglass)、または他の誘電体材料で構成
されることができる。第1酸化物層14の上に、金属相
互接続層が沈着される。この金属相互接続層はアルミニ
ウムで構成されることが好ましいが、しかし例えば、チ
タン・タングステン/アルミニウムの2重層、または他
の金属で構成することもできる。金属相互接続層は、エ
ッチングされた線、すなわち、金属導線16を形成する
ために、エッチングにより予め定められたパターンに作
成される。
【0014】図1Bは、金属導線16と第1酸化物層1
4との上に、除去可能な固体層18が沈着された後のウ
エハ10の図を示す。通常、除去可能な固体層18はポ
リマであり、そしてフォトレジストであることが好まし
いが、しかしまた、ポリイミド、パリレン、テフロン、
またはBCBのようなポリマであることもできる。その
後、図1Cに示されているように、金属導線16の少な
くとも頂部を露出するために、除去可能な固体層の頂部
が(例えば、再び行われるエッチングにより)除去され
る。除去可能な固体層18の上に、多孔質誘電体層20
が沈着され、そして図1Dに示されているように、金属
導線16の少なくとも頂部の上に沈着される。多孔質誘
電体層20は多孔度が10%〜50%であるシリカを基
本とするキセロゲルで構成されることが好ましいが、除
去可能な固体層18の分子がそれを通って移動するのに
十分に大きな孔を有する、他の材料をまた用いることが
できる。除去可能な固体層18に用いることができる材
料が、酸素(この酸素は、例えば、空気、または酸素を
含む他の雰囲気、または酸素プラズマまたはオゾンを含
む他の雰囲気であることができる)の中で分解すること
がまた好ましい。
【0015】多孔質誘電体層20が平坦化されるであろ
う。その後、除去可能な固体層18が、多孔質誘電体層
20を通して除去され、図1Eに示されているような空
隙22が作成される。除去可能な固体層18のこの除去
は、フォトレジストを蒸発させるために、すなわち、フ
ォトレジストを焼き払うために、ウエハを高い温度(典
型的には100℃以上の温度)の酸素または酸素プラズ
マに晒すことにより行われることが好ましい。酸素は多
孔質誘電体層20を通って移動して除去可能な固体層1
8に到達し、そして固体層18を気体に変換し、そして
この気体が多孔質誘電体層20を逆方向に移動して外に
排出される。好ましい実施例では、フォトレジストは酸
素と反応し、CO2 またはCOのいずれかを含む気体で
ある副生成物ができる。フォトレジストは蒸発する(こ
の固体の反応生成物は気体を形成する)。温度が高いと
反応が促進され、そして酸素が存在すると反応する温度
が低くなる。もし純粋なポリマが用いられるならば、除
去可能な固体層18の全部が除去され、そして空隙22
のみが後に残るであろう。この「空隙」の中はまた、不
活性ガスまたは真空で構成されるであろう。空隙22に
より、複合誘電率が例えば約1.25であるというよう
に、誘電率の非常に小さな材料体が得られる。最後に、
図1Fに示されているように、多孔質誘電体層20の上
に非多孔質誘電体層24が沈着される。非多孔質誘電体
層24はCVD酸化物であることが好ましい。非多孔質
誘電体層24により、多孔質誘電体層20は湿気に対し
て封止され、および構造的に優れた特性の支持体と優れ
た特性の熱伝導率が得られ、および多孔質誘電体層20
を不活性化する機能が得られる。その後、次の処理工
程、例えば、非多孔質誘電体層24の平坦化工程、また
は半導体層、絶縁体層および金属層をさらに沈着する工
程およびエッチングする工程(図示されていない)をさ
らに実行することができる。
【0016】図2は、第2実施例の図である。金属導線
16が基板12の上に直接に作成され、その後、第1実
施例で説明されたのと同じ段階が実行される。この実施
例では、基板12を絶縁体で構成することができる。図
1および図2に示された第1実施例および第2実施例に
対する流れ図が、図3に示されている。
【0017】有機物ポリマは、第1酸化物層14の表面
または金属導線16の側面部分に結合していないことが
好ましいので、これらの表面は不活性化されていなであ
ろう。そしてこれらの表面により、漏洩電流に対する経
路として作用することが可能な活性表面を得ることがで
きる。図4Aは、本発明の第3実施例の図である。この
実施例では、(例えば整合した)不活性化層26は、第
1酸化物層14の露出した表面を不活性化することと、
導線間の漏洩を防止することとの両方の機能を行う。第
4実施例(図4B)では、金属導線をガスに対して露出
させて反応させ、そして金属導線16の周りにのみ不活
性化層が形成される。
【0018】図5A〜図5Dは、本発明の好ましい第5
実施例の図である。図5Aは半導体ウエハの横断面図で
あって、この半導体ウエハの上で本発明のこの実施例が
実行される。半導体ウエハ10の基板12の上に、第1
酸化物層14が沈着される。第1酸化物層14の上に、
金属相互接続層が沈着され、そしてこの金属相互接続層
の上に、第2酸化物層が沈着される。この第2酸化物層
の厚さは、金属相互接続層の高さの約50%〜100%
であることが好ましい。これらの第2酸化物層と金属相
互接続層が予め定められたパターンに(通常、別々のエ
ッチング段階で)エッチングされて、エッチングされた
ラインが作成される。すなわち、金属導線16の頂部の
上に残っている第2酸化物層のエッチングされた部分2
8を有する金属導線16が作成される。
【0019】第2酸化物層と金属導線16のエッチング
された部分28との上に、除去可能な固体層18が沈着
される。その後、除去可能な固体層18が(例えば、再
度のエッチングにより)除去され、図5Bに示されてい
るように、第2酸化物層のエッチングされた部分28の
少なくとも頂部が露出される。第2酸化物層のエッチン
グされた部分28の70%〜90%(しかしまた、60
%〜100%が適切である)が、再度のエッチング段階
の後、除去可能な固体層18で被覆されたままであるこ
とが好ましい。図5Cに示されているように、除去可能
な固体層18の上と第2酸化物層のエッチングされた部
分28の少なくとも頂部の上とに、多孔質誘電体層20
が沈着される。この多孔質誘電体層20が平坦化され、
そしてその後、(第1実施例で説明されたように)除去
可能な固体層18が多孔質誘電体層20を通して除去さ
れ、それにより空隙22を作成することができる。最後
に、図5Dに示されているように、多孔質誘電体層20
の頂部の上に非多孔質誘電体層24を沈着することがで
きる。次に、その後に続く処理工程段階、例えば、非多
孔質誘電体層24の平坦化工程、または半導体層、絶縁
体層および金属層のまた別の沈着工程およびエッチング
工程(図示されていない)を実行することができる。
【0020】第6実施例は、第3実施例に対して説明さ
れたように(金属導線16が別に処理されることができ
る、または図4Bのようにまた処理されることができ
る)、第2酸化物層と、金属導線16と、第1酸化物層
14と(図6Aおよび図6B)のエッチングされた部分
28の上に不動態度化層26を備えた第5実施例を含
む。
【0021】除去可能な固体層18を除去するまた別の
方法は、アセトンのような溶媒をウエハに与える段階を
有することによる方法である。ウエハを激しく動かすこ
とにより、溶媒が多孔質誘電体層20を通って移動し、
除去可能な固体層18に到達するのを促進させることが
できる。溶媒はポリマ18を溶解し、そして次に真空を
用いて、多孔質誘電体層20を通して、溶解した除去可
能な固体層18の気体副生成物を除去することができ
る。
【0022】本発明により、小さな誘電率の材料を必要
とする半導体に対し有益である空隙を、金属導線の間に
作成する新規な方法が得られる。この空隙は小さな誘電
率を有し、そしてその結果、金属導線の側壁間の静電容
量値が小さくなる。前記で説明した第5実施例は、金属
導線の頂部の上に第2酸化物層を有することにより、除
去可能な固体層をさらに厚く作成することができるの
で、処理工程の余裕度が増大するというまた別の利点が
得られる。また、空隙を金属導線の頂部の近くまたは頂
部の角の近くに作成して、導線間の縁静電容量値を小さ
くすることができる。
【0023】通常、多孔質層のキセロ方式(xerog
el−type)での作成が好ましい。この処理工程で
は、TEOSのようなガラス形成体を含有する溶液が張
られ、そして(典型的にはpH変化により)ゲル化さ
れ、そして熟成され、そして乾燥されて、稠密な(多孔
度10%〜50%)孔あき固体が作成される。このよう
な処理工程は、乾燥期間中に、大幅な永久的縮小(稠密
化)が起こる。エーロゲル方式での処理工程をまた用い
ることができるが、この処理工程では大幅な永久的縮小
を避けることができ、そして高い多孔度(例えば、95
%以上の多孔度)を得ることができる。エーロゲル方式
の多孔度によりさらに小さな層間静電容量値を得ること
ができるが、この稠密な層は構造的に良好であり、そし
て好ましい層である。
【0024】例示された実施例について本発明が説明さ
れたが、この説明は、本発明の範囲がこれらの実施例に
限定されることを意味するものではない。例示された実
施例を種々に変更した実施例および種々に組み合わせた
実施例、および本発明の他の実施例が可能であること
は、前記説明から当業者にはすぐに分かるであろう。好
ましくはないけれども、除去可能な固体層は、昇華によ
り、多孔質層を通して除去することができる。したがっ
て、本発明はこのような変更実施例をすべて包含するも
のである。
【0025】以上の説明に関して更に以下の項を開示す
る。 (1) 基板の上に金属層を沈着する段階と、頂部を有
する金属導線を作成するために前記金属層を予め定めら
れたパターンにエッチングする段階と、前記金属導線の
間に除去可能な固体層を沈着する段階と、前記除去可能
な固体層と前記金属導線との上に多孔質誘電体層を沈着
する段階と、前記多孔質誘電体層の下の前記金属導線の
間に空隙を作成するために、前記多孔質誘電体層を通し
て前記除去可能な固体層を除去する段階と、を有する、
半導体装置の金属導線の間に空隙を作成する方法。 (2) 第1項記載の方法において、前記除去可能な固
体層を除去する前記段階の後、前記多孔質誘電体層の上
に非多孔質誘電体層を沈着する段階をさらに有する、前
記方法。 (3) 第1項記載の方法において、前記金属層をエッ
チングする前記段階の後、前記基板の一部分が露出され
たままである、前記方法。 (4) 第3項記載の方法において、前記除去可能な固
体層を沈着する前記段階の期間中に、前記基板の前記露
出された部分の上に前記除去可能な固体層がまた沈着さ
れる、前記方法。 (5) 第1項記載の方法において、前記除去可能な固
体層を沈着する前記段階の後、少なくとも前記導線の頂
部から、前記除去可能な固体層を低くするために前記除
去可能な固体層の頂部を除去する段階をさらに有する、
前記方法。 (6) 第1項記載の方法において、金属導線を作成す
るために前記金属層を予め定められたパターンにエッチ
ングする前記段階の後、少なくとも前記金属導線の上に
不活性化層を作成する段階をさらに有する、前記方法。 (7) 第3項記載の方法において、前記不活性化層が
酸化物で構成される、前記方法。
【0026】(8) 第1項記載の方法において、基板
の上に金属層を沈着する前記段階の後、酸化物層を沈着
する段階と、前記酸化物層を予め定められたパターンに
エッチングする段階とをさらに有する、前記方法。 (9) 第1項記載の方法において、前記除去可能な固
体層がポリマであり、かつ前記除去可能な固体層を前記
多孔質誘電体層を通して除去する前記段階が前記除去可
能な固体層を蒸発させるために前記ウエハを酸素中で加
熱する段階を有する、前記方法。 (10) 第1項記載の方法において、前記除去可能な
固体層を前記多孔質誘電体層を通して除去する前記段階
が前記除去可能な固体層を溶解するために、前記多孔質
誘電体層を透過する溶媒を前記ウエハに与える段階と、
前記溶解した除去可能な固体層を前記多孔質誘電体層を
通して除去する段階と、を有する、前記方法。 (11) 第7項記載の方法において、前記溶解した除
去可能な固体層を前記多孔質誘電体層を通して除去する
段階が前記溶解した除去可能な固体層を蒸発させるため
に前記ウエハを加熱する段階を有する、前記方法。 (12) 第7項記載の方法において、前記溶解した除
去可能な固体層を前記多孔質誘電体層を通して除去する
段階が前記溶解した除去可能な固体層を除去するために
前記ウエハを真空の中に入れる段階を有する、前記方
法。
【0027】(13) 基板の上に金属層を沈着する段
階と、前記金属層の上に第1酸化物層を沈着する段階
と、前記基板の一部分が露出されたまま、頂部を有する
エッチングされた酸化物と金属導線とを作成するため
に、前記第1酸化物層と前記金属層とを予め定められた
パターンにエッチングする段階と、前記エッチングされ
た酸化物と、前記金属導線と、前記基板の前記露出され
た部分との上に、除去可能な固体層を沈着する段階と、
前記エッチングされた酸化物の少なくとも頂部から、前
記除去可能な固体層を低くするために、前記除去可能な
固体層の頂部を除去する段階と、前記除去可能な固体層
の上と、少なくとも前記エッチングされた酸化物の上と
に、多孔質誘電体層を沈着する段階と、前記多孔質誘電
体層の下の前記金属導線と前記エッチングされた酸化物
の部分との間に空隙を作成するために、前記多孔質誘電
体層を通して前記除去可能な固体層を除去する段階と、
を有する、半導体装置の金属導線の間に空隙を作成する
方法。 (14) 第13項記載の方法において、前記除去可能
な固体層を除去する前記段階の後、前記多孔質誘電体層
の上に非多孔質誘電体層を沈着する段階をさらに有す
る、前記方法。 (15) 第13項記載の方法において、前記エッチン
グ段階の後、少なくとも前記金属導線の上に不活性化層
を作成する段階をさらに有する、前記方法。 (16) 第15項記載の方法において、前記不活性化
層が酸化物で構成される、前記方法。 (17) 第13項記載の方法において、前記除去可能
な固体層を前記多孔質誘電体層を通して除去する前記段
階が前記除去可能な固体層を蒸発させるために前記ウエ
ハを酸素を含有する雰囲気中で加熱する段階を有する、
前記方法。 (18) 第13項記載の方法において、前記除去可能
な固体層を前記多孔質誘電体層を通して除去する前記段
階が前記除去可能な固体層を溶解するために、前記多孔
質通電体層を透過する溶媒を前記ウエハに与える段階
と、前記溶解した除去可能な固体層を前記多孔質通電体
層を通して除去する段階と、を有する、前記方法。 (19) 第18項記載の方法において、前記溶解した
除去可能な固体層を前記多孔質通電体層を通して除去す
る段階が、前記溶解した除去可能な固体層を蒸発させる
ために前記ウエハを加熱する段階を有する、前記方法。 (20) 第18項記載の方法において、前記溶解した
除去可能な固体層を前記多孔質通電体層を通して除去す
る段階が、前記溶解した除去可能な固体層を除去するた
めに前記ウエハを真空の中に入れる段階を有する、前記
方法。 (21) 基板の上に作成された金属導線と、前記金属
導線の少なくとも一部分の間に空隙と、前記金属導線と
前記空隙との上に10%〜50%の多孔質通電体層と、
前記多孔質通電体層の上の非多孔質通電体層と、を有
する、金属導線の間に空隙を備えた半導体装置。 (22) 第21項記載の半導体装置において、前記金
属導線の上にパターンに作成された酸化物をさらに有
し、かつ前記パターンに作成された酸化物が前記金属導
線と同じパターンを有し、かつ前記パターンに作成され
た酸化物が前記金属導線の高さの50%〜100%のよ
うな高さを有する、前記半導体装置。
【0028】(23) 半導体装置の金属導線16の間
に空隙22を作成する方法と、前記方法により製造され
た半導体装置が得られる。基板12の上に、金属層が沈
着される。前記金属層がエッチングされて、金属導線1
6が作成される。前記金属導線16の間に、除去可能な
固体層18が沈着される。前記除去可能な固体層18と
前記導線16との上に、多孔質誘電体層20が沈着され
る。そして前記多孔質誘電体層20の下の前記金属導線
16の間に空隙22を作成するために、前記除去可能な
固体層18が前記多孔質誘電体層20を通して除去され
る。前記空隙は小さな誘電率を有し、その結果、前記金
属導線の側壁静電容量値が小さくなる。
【0029】関連する出願の相互参照 共通に譲渡された下記の米国特許出願の内容は、参考と
して本出願の中に取り込まれている。TIケー シリアル番 受付日 発明人 名称 ス番号 TI-18509 08/137,658 10/15/93 ジェング ライン間の静電容量減少 (Jeng) のための平坦化された構 造体(Planarized Struc- tuue Line-to-Line Cap- acitance Reduction) TI-18867 08/201,679 2/25/94 ジェング 小さい隙間を低誘電率材 (Jeng)ほ 料で選択的充填(Select- か ive Filling Narrow Gaps with Low-dielect- ric-constant material- s) TI-18929 08/202,057 2/25/95 ジェング 埋め込まれた低誘電率絶 (Jeng) 縁体を備えた平坦化され た多重レベル相互接続方 式(Planarized Multile- vel Interconnect Sche- me with EmbeddedLow- Dielectric-Constant Insulators) TI-19068 − 4/28/94 チョー VLSIへの応用におけ (Cho) る低誘電率絶縁体(Low- Dielectric Constant Insulators in VLSI applications) TI-19071 − 4/27/94 ハーブマ ポリマ材料の中の孔形成 ン(Have- (Via Formation in mann) Polymeric Materials) TI-18941 − 5/20/94 グナーデ 電子装置への応用のため (Gnade) の低誘電率材料(A Low ほか DielectricConstant Material for Electoro- nics Applications) TI-19072 − 5/20/94 ハ−ブマ 集積された低密度誘電体 ン(Have- を備えた相互接続構造体 mann) ほ (Interconnect Structu- か re with an Integrated Low Density Dielectric )
【0030】本出願と同時に受け付けられた本発明人に
よる下記の米国特許の内容もまた、参考として本出願の
中に取り込まれている。TIケース番号 発明人 名称 TI-19073 ティゲラ−ル 空隙誘電体を用いた時導線間漏洩の抑止( (Tigelaar)ほ Suppression of Interlead Leakage when か using Airgap dielectric) TI-19154 ツウ(Tsu) アルミニウム導線を強化ガスと反応するこ とによるアルミニウム相互接続線の信頼性 の増進(Reliability Enhancement of Aluminium interconnects by Reacting −15− Aluminium Leads with a Strengthening Gas) TI-19253 ハ−ブマン サブミクロン相互接続体の選択的空隙充填 (Havemann) のための2段階金属エッチング処理工程と それによる構造体(Two-step Metal Etch Process for Selective Gap Fill of Sub- micron Interconnects and Structure for Same) TI-19179 グナーデ 混合しないゾル・ゲル処理工程による低誘 (Gnade) ほか 電率層(Low Dielectric Constant Layers via Immiscible Sol-gel Processing)
【図面の簡単な説明】
【図1】1つの典型的な装置に応用した本発明の第1実
施例の種々の段階を示した、半導体装置の一部分の横断
面図であって、A〜Fは順次の段階の図。
【図2】本発明の第2実施例の図。
【図3】本発明の種々の段階を説明する流れ図。
【図4】金属導線の上に沈着された不活性化層を有する
ことを特徴とする実施例の図であって、Aは第3実施例
の図、Bは第4実施例の図。
【図5】1つの典型的な装置に応用した本発明の第5実
施例の種々の段階を示した、半導体装置の一部分の横断
面図であって、A〜Dは順次の段階の図。
【図6】金属導線と第2酸化層のエッチングされた部分
と第1酸化層との上に沈着された不活性化層を有するこ
とを特徴とする第6実施例の図であって、A〜Bは順次
の段階の図。
【符号の説明】
12 基板 16 金属導線 18 除去可能な固体層 20 多孔質誘電体層 22 空隙 24 非多孔質誘電体層

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 基板の上に金属層を沈着する段階と、 頂部を有する金属導線を作成するために前記金属層を予
    め定められたパターンにエッチングする段階と、 前記金属導線の間に除去可能な固体層を沈着する段階
    と、 前記除去可能な固体層と前記金属導線との上に多孔質誘
    電体層を沈着する段階と、 前記多孔質誘電体層の下の前記金属導線の間に空隙を作
    成するために、前記多孔質誘電体層を通して前記除去可
    能な固体層を除去する段階と、を有する、半導体装置の
    金属導線の間に空隙を作成する方法。
  2. 【請求項2】 基板の上に作成された金属導線と、 前記金属導線の少なくとも一部分の間に空隙と、 前記金属導線と前記空隙との上に10%〜50%の多孔
    質通電体層と、 前記多孔質通電体層の上の非多孔質通電体層と、を有す
    る、金属導線の間に空隙を備えた半導体装置。
JP12848895A 1994-05-27 1995-05-26 半導体装置の金属導線の間に空隙を形成する方法 Expired - Fee Related JP3703166B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US250063 1994-05-27
US08/250,063 US5461003A (en) 1994-05-27 1994-05-27 Multilevel interconnect structure with air gaps formed between metal leads

Publications (2)

Publication Number Publication Date
JPH0883839A true JPH0883839A (ja) 1996-03-26
JP3703166B2 JP3703166B2 (ja) 2005-10-05

Family

ID=22946169

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12848895A Expired - Fee Related JP3703166B2 (ja) 1994-05-27 1995-05-26 半導体装置の金属導線の間に空隙を形成する方法

Country Status (6)

Country Link
US (3) US5461003A (ja)
EP (1) EP0685885B1 (ja)
JP (1) JP3703166B2 (ja)
KR (1) KR100378614B1 (ja)
DE (1) DE69513330T2 (ja)
TW (1) TW317019B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6285069B1 (en) 1998-04-10 2001-09-04 Nec Corporation Semiconductor device having improved parasitic capacitance and mechanical strength
JP2001514798A (ja) * 1997-01-21 2001-09-11 ザ ビー.エフ.グッドリッチ カンパニー 超低静電容量配線のためのエアギャップを備える半導体装置の製造
US6794754B2 (en) 1998-03-31 2004-09-21 Hiroshi Morisaki Semiconductor device with porous interlayer insulating film
JP2005534168A (ja) * 2002-06-20 2005-11-10 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 層配置物および層配置物の製造方法
US6995472B2 (en) 2003-07-28 2006-02-07 Kabushiki Kaisha Toshiba Insulating tube
JP2007534175A (ja) * 2004-04-21 2007-11-22 インテル・コーポレーション 感光性誘電体層を分解することによる相互接続構造の形成
JP2008118264A (ja) * 2006-11-01 2008-05-22 Seiko Epson Corp 音叉振動子およびその製造方法
JP2008116243A (ja) * 2006-11-01 2008-05-22 Seiko Epson Corp 角速度センサおよびその製造方法
US7648229B2 (en) 2006-08-02 2010-01-19 Seiko Epson Corporation Liquid jet head and its manufacturing method
JP4787412B2 (ja) * 1999-03-30 2011-10-05 シチズンホールディングス株式会社 薄膜基板の形成方法およびその方法によって形成された薄膜基板
JP2011238833A (ja) * 2010-05-12 2011-11-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2016018796A (ja) * 2014-07-04 2016-02-01 日本オクラロ株式会社 半導体発光素子
KR20170013939A (ko) 2014-05-29 2017-02-07 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 공극 형성용 조성물, 그 조성물을 사용하여 형성된 공극을 구비한 반도체 장치, 및 그 조성물을 사용한 반도체 장치의 제조방법
KR20170040271A (ko) 2014-07-31 2017-04-12 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 희생막용 조성물, 및 그 제조 방법, 및 그 조성물을 사용하여 형성된 공극을 구비한 반도체 장치, 및 그 조성물을 사용한 반도체 장치의 제조 방법
CN110942978A (zh) * 2018-09-25 2020-03-31 东京毅力科创株式会社 半导体装置的制造方法

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3347203B2 (ja) * 1993-12-27 2002-11-20 富士通株式会社 微細空洞形成方法及び微細空洞を有する微小装置
US5750415A (en) * 1994-05-27 1998-05-12 Texas Instruments Incorporated Low dielectric constant layers via immiscible sol-gel processing
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH0845936A (ja) * 1994-05-31 1996-02-16 Texas Instr Inc <Ti> ダミーリードを用いた高速lsi半導体装置およびその信頼性改善方法
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
DE69535488T2 (de) * 1994-08-31 2008-01-03 Texas Instruments Inc., Dallas Verfahren zur Isolierung von Leitungen unter Verwendung von Materialien mit niedriger dielektrischer Konstante und damit hergestellte Strukturen
US5658832A (en) * 1994-10-17 1997-08-19 Regents Of The University Of California Method of forming a spacer for field emission flat panel displays
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US5670828A (en) * 1995-02-21 1997-09-23 Advanced Micro Devices, Inc. Tunneling technology for reducing intra-conductive layer capacitance
US5627082A (en) * 1995-03-29 1997-05-06 Texas Instruments Incorporated High thermal resistance backfill material for hybrid UFPA's
JPH08304173A (ja) * 1995-04-28 1996-11-22 Texas Instr Inc <Ti> ハイブリッド熱検出器構造体およびその製造方法
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
TW308719B (ja) * 1995-10-23 1997-06-21 Dow Corning
JP2763023B2 (ja) * 1995-12-18 1998-06-11 日本電気株式会社 半導体装置の製造方法
US5677241A (en) * 1995-12-27 1997-10-14 Micron Technology, Inc. Integrated circuitry having a pair of adjacent conductive lines and method of forming
JP3887035B2 (ja) 1995-12-28 2007-02-28 株式会社東芝 半導体装置の製造方法
US5994776A (en) * 1996-01-11 1999-11-30 Advanced Micro Devices, Inc. Interlevel dielectric with multiple air gaps between conductive lines of an integrated circuit
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
AU2437297A (en) * 1996-04-12 1997-11-07 W.L. Gore & Associates, Inc. Method of fabricating an interconnect structure comprising lamination of a porous dielectric membrane
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
JP3311243B2 (ja) * 1996-07-16 2002-08-05 東芝マイクロエレクトロニクス株式会社 半導体装置及び半導体装置のパターン配置方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6576976B2 (en) 1997-01-03 2003-06-10 Integrated Device Technology, Inc. Semiconductor integrated circuit with an insulation structure having reduced permittivity
US6054769A (en) * 1997-01-17 2000-04-25 Texas Instruments Incorporated Low capacitance interconnect structures in integrated circuits having an adhesion and protective overlayer for low dielectric materials
US5818111A (en) * 1997-03-21 1998-10-06 Texas Instruments Incorporated Low capacitance interconnect structures in integrated circuits using a stack of low dielectric materials
US6141072A (en) 1997-04-04 2000-10-31 Georgia Tech Research Corporation System and method for efficient manufacturing of liquid crystal displays
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
US5883219A (en) * 1997-05-29 1999-03-16 International Business Machines Corporation Integrated circuit device and process for its manufacture
US6277728B1 (en) 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
JPH1140665A (ja) * 1997-07-18 1999-02-12 Nec Corp 半導体集積回路およびその製造方法
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US5943599A (en) * 1997-08-27 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a passivation layer for integrated circuits
US5965465A (en) * 1997-09-18 1999-10-12 International Business Machines Corporation Etching of silicon nitride
GB2330001B (en) * 1997-10-06 1999-09-01 United Microelectronics Corp Method of forming an integrated circuit device
US6251470B1 (en) * 1997-10-09 2001-06-26 Micron Technology, Inc. Methods of forming insulating materials, and methods of forming insulating materials around a conductive component
US6858526B2 (en) 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6333556B1 (en) * 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
JP3509510B2 (ja) * 1997-11-05 2004-03-22 セイコーエプソン株式会社 半導体装置およびその製造方法
US6242336B1 (en) * 1997-11-06 2001-06-05 Matsushita Electronics Corporation Semiconductor device having multilevel interconnection structure and method for fabricating the same
NL1007464C2 (nl) * 1997-11-06 1999-05-07 United Microelectronics Corp Verbindingsstructuur met gas-diëlektricum die compatibel is met contactpuntloze doorgangen.
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
US6150282A (en) * 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
JPH11150185A (ja) 1997-11-14 1999-06-02 Nippon Steel Corp 半導体装置及びその製造方法
JPH11154675A (ja) * 1997-11-20 1999-06-08 Toshiba Corp 半導体装置及びその製造方法
US6136687A (en) * 1997-11-26 2000-10-24 Integrated Device Technology, Inc. Method of forming air gaps for reducing interconnect capacitance
US6376893B1 (en) * 1997-12-13 2002-04-23 Hyundai Electronics Industries Co., Ltd. Trench isolation structure and fabrication method thereof
US6248168B1 (en) * 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6465339B2 (en) 1997-12-19 2002-10-15 Texas Instruments Incorporated Technique for intralevel capacitive isolation of interconnect paths
US6380607B2 (en) * 1997-12-31 2002-04-30 Lg Semicon Co., Ltd. Semiconductor device and method for reducing parasitic capacitance between data lines
US6169664B1 (en) * 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
TW363278B (en) * 1998-01-16 1999-07-01 Winbond Electronics Corp Preparation method for semiconductor to increase the inductive resonance frequency and Q value
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6025260A (en) 1998-02-05 2000-02-15 Integrated Device Technology, Inc. Method for fabricating air gap with borderless contact
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
EP0948035A1 (en) * 1998-03-19 1999-10-06 Applied Materials, Inc. Method for applying a dielectric cap film to a dielectric stack
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6104077A (en) * 1998-04-14 2000-08-15 Advanced Micro Devices, Inc. Semiconductor device having gate electrode with a sidewall air gap
US6284675B1 (en) * 1998-05-27 2001-09-04 Texas Instruments Incorporated Method of forming integrated circuit dielectric by evaporating solvent to yield phase separation
KR100433938B1 (ko) 1998-06-05 2004-06-04 조지아 테크 리서치 코포레이션 다공성 절연 화합물 및 이것의 제조 방법
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6333141B1 (en) 1998-07-08 2001-12-25 International Business Machines Corporation Process for manufacture of integrated circuit device using inorganic/organic matrix comprising polymers of three dimensional architecture
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
US6200891B1 (en) 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6384466B1 (en) 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6140200A (en) * 1998-09-02 2000-10-31 Micron Technology, Inc. Methods of forming void regions dielectric regions and capacitor constructions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
FR2784230B1 (fr) * 1998-10-05 2000-12-29 St Microelectronics Sa Procede de realisation d'un isolement inter et/ou intra-metallique par air dans un circuit integre et circuit integre obtenu
TW429576B (en) * 1998-10-14 2001-04-11 United Microelectronics Corp Manufacturing method for metal interconnect
US6268261B1 (en) * 1998-11-03 2001-07-31 International Business Machines Corporation Microprocessor having air as a dielectric and encapsulated lines and process for manufacture
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
JP3533968B2 (ja) 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6469390B2 (en) 1999-01-26 2002-10-22 Agere Systems Guardian Corp. Device comprising thermally stable, low dielectric constant material
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6399666B1 (en) 1999-01-27 2002-06-04 International Business Machines Corporation Insulative matrix material
US6150232A (en) * 1999-02-05 2000-11-21 Chartered Semiconductor Manufacturing Ltd. Formation of low k dielectric
KR100286126B1 (ko) 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6245658B1 (en) 1999-02-18 2001-06-12 Advanced Micro Devices, Inc. Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system
US6280794B1 (en) * 1999-03-10 2001-08-28 Conexant Systems, Inc. Method of forming dielectric material suitable for microelectronic circuits
US6022802A (en) * 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6306754B1 (en) 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6251798B1 (en) * 1999-07-26 2001-06-26 Chartered Semiconductor Manufacturing Company Formation of air gap structures for inter-metal dielectric application
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US7335965B2 (en) 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US6140249A (en) 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6140197A (en) * 1999-08-30 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method of making spiral-type RF inductors having a high quality factor (Q)
US6121131A (en) * 1999-08-31 2000-09-19 Micron Technology, Inc. Method for forming conductive structures
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6888247B2 (en) * 1999-09-03 2005-05-03 United Microelectronics Corp. Interconnect structure with an enlarged air gaps disposed between conductive structures or surrounding a conductive structure within the same
US6211057B1 (en) 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
KR100331554B1 (ko) * 1999-09-27 2002-04-06 윤종용 인접된 커패시터 사이의 크로스토크가 억제된 반도체 소자의 커패시터 어레이 및 그 제조방법
US7105420B1 (en) * 1999-10-07 2006-09-12 Chartered Semiconductor Manufacturing Ltd. Method to fabricate horizontal air columns underneath metal inductor
US6730571B1 (en) * 1999-10-14 2004-05-04 Chartered Semiconductor Manufacturing Ltd. Method to form a cross network of air gaps within IMD layer
DE19959966C2 (de) * 1999-12-13 2003-09-11 Mosel Vitelic Inc Verfahren zur Bildung von dielektrischen Schichten mit Lufteinschlüssen
TW439147B (en) * 1999-12-20 2001-06-07 United Microelectronics Corp Manufacturing method to form air gap using hardmask to improve isolation effect
FR2803092B1 (fr) * 1999-12-24 2002-11-29 St Microelectronics Sa Procede de realisation d'interconnexions metalliques isolees dans des circuits integres
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6261942B1 (en) 2000-01-24 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Dual metal-oxide layer as air bridge
US6677209B2 (en) * 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
WO2001067500A2 (en) * 2000-03-07 2001-09-13 Micron Technology, Inc. Methods for making nearly planar dielectric films in integrated circuits
US6228770B1 (en) 2000-03-21 2001-05-08 Chartered Semiconductor Manufacturing Ltd. Method to form self-sealing air gaps between metal interconnects
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6265321B1 (en) 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6524944B1 (en) * 2000-07-17 2003-02-25 Advanced Micro Devices, Inc. Low k ILD process by removable ILD
US6445072B1 (en) 2000-07-17 2002-09-03 Advanced Micro Devices, Inc. Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
US6387818B1 (en) * 2000-07-21 2002-05-14 Advanced Micro Devices, Inc. Method of porous dielectric formation with anodic template
SG105459A1 (en) * 2000-07-24 2004-08-27 Micron Technology Inc Mems heat pumps for integrated circuit heat dissipation
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
MY128644A (en) 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
TWI227043B (en) * 2000-09-01 2005-01-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
JP3654830B2 (ja) * 2000-11-17 2005-06-02 松下電器産業株式会社 半導体装置及びその製造方法
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
EP1213758B1 (en) * 2000-12-11 2007-11-28 STMicroelectronics S.r.l. A method of forming interconnections in semiconductor devices
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6465343B1 (en) * 2001-02-28 2002-10-15 Advanced Micro Devices, Inc. Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
DE10109778A1 (de) * 2001-03-01 2002-09-19 Infineon Technologies Ag Hohlraumstruktur und Verfahren zum Herstellen einer Hohlraumstruktur
WO2003001251A1 (en) * 2001-06-25 2003-01-03 Massachusetts Institute Of Technology Air gaps for optical applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
DE10142201C2 (de) 2001-08-29 2003-10-16 Infineon Technologies Ag Verfahren zur Erzeugung von Hohlräumen mit Submikrometer-Strukturen in einer Halbleitereinrichtung mittels einer gefrierenden Prozessflüssigkeit
DE10142224C2 (de) 2001-08-29 2003-11-06 Infineon Technologies Ag Verfahren zum Erzeugen von Hohlräumen mit Submikrometer-Abmessungen in einer Halbleitereinrichtung mittels eines Quellvorgangs
DE10142223C2 (de) 2001-08-29 2003-10-16 Infineon Technologies Ag Verfahren zum Erzeugen von Hohlräumen mit Submikrometer-Abmessungen in einer Halbleitereinrichtung mittels Polymerisation
DE10144847A1 (de) * 2001-09-12 2003-03-27 Infineon Technologies Ag Verfahren zur Herstellung einer Membran
JP3725811B2 (ja) * 2001-10-11 2005-12-14 ローム株式会社 半導体装置の製造方法
US7042092B1 (en) * 2001-12-05 2006-05-09 National Semiconductor Corporation Multilevel metal interconnect and method of forming the interconnect with capacitive structures that adjust the capacitance of the interconnect
DE10161312A1 (de) * 2001-12-13 2003-07-10 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6946382B2 (en) * 2002-04-02 2005-09-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
DE60327962D1 (de) * 2002-04-03 2009-07-30 Panasonic Corp Eingebautes Halbleitermodul im Millimeterwellenband
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
DE10228344B4 (de) * 2002-06-25 2007-02-08 Infineon Technologies Ag Verfahren zur Herstellung von Mikrostrukturen sowie Anordnung von Mikrostrukturen
US7018942B1 (en) 2002-06-26 2006-03-28 Cypress Semiconductor Corporation Integrated circuit with improved RC delay
US7192867B1 (en) 2002-06-26 2007-03-20 Cypress Semiconductor Corporation Protection of low-k dielectric in a passivation level
US6660661B1 (en) 2002-06-26 2003-12-09 Cypress Semiconductor Corporation Integrated circuit with improved RC delay
US6903001B2 (en) * 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
JP4574145B2 (ja) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US20040075159A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
US20040087162A1 (en) * 2002-10-17 2004-05-06 Nantero, Inc. Metal sacrificial layer
JP3775375B2 (ja) * 2002-10-29 2006-05-17 Jsr株式会社 多層配線間の空洞形成方法
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6977217B1 (en) 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
WO2004073061A1 (en) * 2003-02-05 2004-08-26 Dow Global Technologies Inc. Sacrificial benzocyclobutene copolymers for making air gap semiconductor devices
WO2004073018A2 (en) * 2003-02-05 2004-08-26 Dow Global Technologies Inc. Sacrificial benzocyclobutene/norbornene polymers for making air gaps within semiconductor devices
US20060264065A1 (en) * 2003-02-05 2006-11-23 So Ying H Sacrificial styrene benzocyclobutene copolymers for making air gap semiconductor devices
FR2851373B1 (fr) * 2003-02-18 2006-01-13 St Microelectronics Sa Procede de fabrication d'un circuit electronique integre incorporant des cavites
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7128843B2 (en) * 2003-04-04 2006-10-31 Hrl Laboratories, Llc Process for fabricating monolithic membrane substrate structures with well-controlled air gaps
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US20040229452A1 (en) * 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
WO2004105122A1 (en) * 2003-05-26 2004-12-02 Koninklijke Philips Electronics N.V. Method of manufacturing a substrate, having a porous dielectric layer and air gaps, and a substrate
US6693355B1 (en) 2003-05-27 2004-02-17 Motorola, Inc. Method of manufacturing a semiconductor device with an air gap formed using a photosensitive material
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7084479B2 (en) * 2003-12-08 2006-08-01 International Business Machines Corporation Line level air gaps
US7041571B2 (en) * 2004-03-01 2006-05-09 International Business Machines Corporation Air gap interconnect structure and method of manufacture
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7405637B1 (en) 2004-06-29 2008-07-29 Hrl Laboratories, Llc Miniature tunable filter having an electrostatically adjustable membrane
US7125782B2 (en) * 2004-10-14 2006-10-24 Infineon Technologies Ag Air gaps between conductive lines for reduced RC delay of integrated circuits
US7202562B2 (en) * 2004-12-02 2007-04-10 Micron Technology, Inc. Integrated circuit cooling system and method
DE102005008476B4 (de) * 2005-02-24 2006-12-21 Infineon Technologies Ag Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
GB2425654B (en) * 2005-04-29 2010-03-17 Seiko Epson Corp A method of fabricating a heterojunction of organic semiconducting polymers
US20060273065A1 (en) * 2005-06-02 2006-12-07 The Regents Of The University Of California Method for forming free standing microstructures
US7629225B2 (en) 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7861398B1 (en) 2005-06-23 2011-01-04 Hrl Laboratories, Llc Method for fabricating a miniature tunable filter
JP2007019508A (ja) * 2005-07-08 2007-01-25 Stmicroelectronics (Crolles 2) Sas 相互接続配線内における複数のエアギャップの横方向分布の制御
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
DE102005039323B4 (de) * 2005-08-19 2009-09-03 Infineon Technologies Ag Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
CN101331140B (zh) * 2005-10-14 2015-05-06 凯密特尔有限责任公司 含有二有机基镁的合成试剂的制备方法
US7601629B2 (en) * 2005-12-20 2009-10-13 Texas Instruments Incorporated Semiconductive device fabricated using subliming materials to form interlevel dielectrics
DE102006017356B4 (de) * 2006-04-11 2015-12-17 Flabeg Deutschland Gmbh Verfahren zur Herstellung eines Mehrschichtsystems auf einem Träger, insbesondere in einem elektrochromen Element
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7396757B2 (en) * 2006-07-11 2008-07-08 International Business Machines Corporation Interconnect structure with dielectric air gaps
US7544608B2 (en) * 2006-07-19 2009-06-09 International Business Machines Corporation Porous and dense hybrid interconnect structure and method of manufacture
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7670924B2 (en) * 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
US20090032964A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. System and method for providing semiconductor device features using a protective layer
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7939942B2 (en) * 2007-12-19 2011-05-10 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US7541277B1 (en) * 2008-04-30 2009-06-02 International Business Machines Corporation Stress relaxation, selective nitride phase removal
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7927964B2 (en) * 2008-11-13 2011-04-19 Micron Technology, Inc. Methods of forming electrically insulative materials, methods of forming low k dielectric regions, and methods of forming semiconductor constructions
US8298911B2 (en) * 2009-03-26 2012-10-30 Samsung Electronics Co., Ltd. Methods of forming wiring structures
US7989337B2 (en) * 2009-04-27 2011-08-02 International Business Machines Corporation Implementing vertical airgap structures between chip metal layers
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
CN102299095B (zh) * 2010-06-22 2015-09-16 中国科学院微电子研究所 层间介质层、具有该介质层的半导体器件及制造方法
KR20120025315A (ko) * 2010-09-07 2012-03-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101605821B1 (ko) 2010-09-10 2016-03-24 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US8492270B2 (en) 2010-09-20 2013-07-23 International Business Machines Corporation Structure for nano-scale metallization and method for fabricating same
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8957519B2 (en) 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US8735279B2 (en) 2011-01-25 2014-05-27 International Business Machines Corporation Air-dielectric for subtractive etch line and via metallization
RU2459313C1 (ru) * 2011-03-21 2012-08-20 Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" Способ изготовления многоуровневой металлизации интегральных микросхем с пористым диэлектрическим слоем в зазорах между проводниками
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US8900988B2 (en) * 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
CN102760687B (zh) * 2011-04-28 2014-12-03 中芯国际集成电路制造(上海)有限公司 包括空气间隔的半导体器件及其制造方法
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
CN102832197B (zh) * 2011-06-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 金属互连结构及其形成方法
CN102891100B (zh) * 2011-07-22 2015-04-29 中芯国际集成电路制造(上海)有限公司 浅槽隔离结构及其形成方法
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
JP2013089859A (ja) * 2011-10-20 2013-05-13 Toshiba Corp 半導体装置の製造方法
CN102336394B (zh) * 2011-10-26 2014-05-28 清华大学 柔性mems减阻蒙皮的制造方法
JP2013197407A (ja) * 2012-03-21 2013-09-30 Toshiba Corp 半導体装置
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US9105634B2 (en) 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
KR102054264B1 (ko) * 2012-09-21 2019-12-10 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20140138790A1 (en) * 2012-11-21 2014-05-22 Spansion Llc Inter-Layer Insulator for Electronic Devices and Apparatus for Forming Same
KR20140101603A (ko) * 2013-02-12 2014-08-20 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
KR20140127112A (ko) * 2013-04-24 2014-11-03 삼성디스플레이 주식회사 액정 표시 장치 모니터링 장치 및 액정 표시 장치의 제조 방법
KR20140142965A (ko) * 2013-06-05 2014-12-15 삼성디스플레이 주식회사 표시 장치
US9012278B2 (en) 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device
KR102065475B1 (ko) * 2013-10-17 2020-01-13 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9385068B2 (en) 2014-03-05 2016-07-05 Northrop Grumman Systems Corporation Stacked interconnect structure and method of making the same
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
FR3027449B1 (fr) 2014-10-21 2017-10-20 Commissariat Energie Atomique Procede ameliore de realisation d'interconnexions pour circuit integre 3d
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9449871B1 (en) 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9865738B2 (en) 2016-04-29 2018-01-09 Samsung Electronics Co., Ltd. Fin field effect transistor (FinFET) having air gap and method of fabricating the same
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
US11121029B2 (en) * 2019-08-21 2021-09-14 Nanya Technology Corporation Semiconductor device with air spacer and method for preparing the same
US10937791B1 (en) * 2019-08-27 2021-03-02 Nanya Technology Corporation Method for fabricating and semiconductor device having the second bit line contact higher than the top surface of the first bit line
US11264323B2 (en) * 2019-10-08 2022-03-01 Nanya Technology Corporation Semiconductor device and method for fabricating the same
JP6901656B1 (ja) * 2020-02-12 2021-07-14 幹夫 福永 魚雷防御システム
KR20220049295A (ko) 2020-10-14 2022-04-21 삼성전자주식회사 반도체 메모리 장치 및 그 제조 방법
US20230238275A1 (en) * 2022-01-27 2023-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap formation method

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60100471A (ja) * 1983-11-05 1985-06-04 Fujitsu Ltd 半導体装置
IT1184723B (it) * 1985-01-28 1987-10-28 Telettra Lab Telefon Transistore mesfet con strato d'aria tra le connessioni dell'elettrodo di gate al supporto e relativo procedimento difabbricazione
US4652467A (en) * 1985-02-25 1987-03-24 The United States Of America As Represented By The United States Department Of Energy Inorganic-polymer-derived dielectric films
JPS625643A (ja) * 1985-07-01 1987-01-12 Nec Corp 半導体集積回路
JPS63179548A (ja) * 1987-01-21 1988-07-23 Mitsubishi Electric Corp 半導体集積回路装置の配線構造
US4797294A (en) * 1987-06-29 1989-01-10 Pq Corporation Chillproofing with magnesium silicate/silica gel agents
JPS6481343A (en) * 1987-09-24 1989-03-27 Nec Corp Manufacture of integrated circuit
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
US5119164A (en) * 1989-07-25 1992-06-02 Advanced Micro Devices, Inc. Avoiding spin-on-glass cracking in high aspect ratio cavities
CA2017720C (en) * 1990-05-29 1999-01-19 Luc Ouellet Sog with moisture-resistant protective capping layer
JPH04268750A (ja) * 1991-02-25 1992-09-24 Toshiba Corp 半導体集積回路
JPH0521617A (ja) * 1991-07-12 1993-01-29 Fujitsu Ltd 半導体装置の製造方法
KR950002948B1 (ko) * 1991-10-10 1995-03-28 삼성전자 주식회사 반도체 장치의 금속층간 절연막 형성방법
JPH05145094A (ja) * 1991-11-22 1993-06-11 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5276414A (en) * 1991-12-10 1994-01-04 Mitsubishi Denki Kabushiki Kaisha Moistureproof structure for module circuits
US5310700A (en) * 1993-03-26 1994-05-10 Integrated Device Technology, Inc. Conductor capacitance reduction in integrated circuits
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5407860A (en) * 1994-05-27 1995-04-18 Texas Instruments Incorporated Method of forming air gap dielectric spaces between semiconductor leads
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001514798A (ja) * 1997-01-21 2001-09-11 ザ ビー.エフ.グッドリッチ カンパニー 超低静電容量配線のためのエアギャップを備える半導体装置の製造
JP2010147495A (ja) * 1997-01-21 2010-07-01 Bf Goodrich Co 超低静電容量配線のためのエアギャップを備える半導体装置の製造
JP2009275228A (ja) * 1997-01-21 2009-11-26 Bf Goodrich Co 超低静電容量配線のためのエアギャップを備える半導体装置の製造
US6794754B2 (en) 1998-03-31 2004-09-21 Hiroshi Morisaki Semiconductor device with porous interlayer insulating film
US6812163B2 (en) 1998-03-31 2004-11-02 Semiconductor Technology Academic Research Center Semiconductor device with porous interlayer insulating film
US7265064B2 (en) 1998-03-31 2007-09-04 Semiconductor Technology Academic Research Center Semiconductor device with porous interlayer insulating film
US6383889B2 (en) 1998-04-10 2002-05-07 Nec Corporation Semiconductor device having improved parasitic capacitance and mechanical strength
US6285069B1 (en) 1998-04-10 2001-09-04 Nec Corporation Semiconductor device having improved parasitic capacitance and mechanical strength
JP4787412B2 (ja) * 1999-03-30 2011-10-05 シチズンホールディングス株式会社 薄膜基板の形成方法およびその方法によって形成された薄膜基板
JP2005534168A (ja) * 2002-06-20 2005-11-10 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 層配置物および層配置物の製造方法
US6995472B2 (en) 2003-07-28 2006-02-07 Kabushiki Kaisha Toshiba Insulating tube
US7345352B2 (en) 2003-07-28 2008-03-18 Kabushiki Kaisha Toshiba Insulating tube, semiconductor device employing the tube, and method of manufacturing the same
US7282437B2 (en) 2003-07-28 2007-10-16 Kabushiki Kaisha Toshiba Insulating tube, semiconductor device employing the tube, and method of manufacturing the same
JP2007534175A (ja) * 2004-04-21 2007-11-22 インテル・コーポレーション 感光性誘電体層を分解することによる相互接続構造の形成
US7648229B2 (en) 2006-08-02 2010-01-19 Seiko Epson Corporation Liquid jet head and its manufacturing method
JP2008116243A (ja) * 2006-11-01 2008-05-22 Seiko Epson Corp 角速度センサおよびその製造方法
JP4562004B2 (ja) * 2006-11-01 2010-10-13 セイコーエプソン株式会社 角速度センサの製造方法
JP2008118264A (ja) * 2006-11-01 2008-05-22 Seiko Epson Corp 音叉振動子およびその製造方法
JP2011238833A (ja) * 2010-05-12 2011-11-24 Elpida Memory Inc 半導体装置及びその製造方法
KR20170013939A (ko) 2014-05-29 2017-02-07 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 공극 형성용 조성물, 그 조성물을 사용하여 형성된 공극을 구비한 반도체 장치, 및 그 조성물을 사용한 반도체 장치의 제조방법
US10435555B2 (en) 2014-05-29 2019-10-08 Az Electronic Materials (Luxembourg) S.A.R.L Void forming composition, semiconductor device provided with voids formed using composition, and method for manufacturing semiconductor device using composition
JP2016018796A (ja) * 2014-07-04 2016-02-01 日本オクラロ株式会社 半導体発光素子
KR20170040271A (ko) 2014-07-31 2017-04-12 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 희생막용 조성물, 및 그 제조 방법, 및 그 조성물을 사용하여 형성된 공극을 구비한 반도체 장치, 및 그 조성물을 사용한 반도체 장치의 제조 방법
CN110942978A (zh) * 2018-09-25 2020-03-31 东京毅力科创株式会社 半导体装置的制造方法
JP2020053446A (ja) * 2018-09-25 2020-04-02 東京エレクトロン株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
DE69513330T2 (de) 2000-07-27
DE69513330D1 (de) 1999-12-23
US5668398A (en) 1997-09-16
KR100378614B1 (ko) 2003-06-18
EP0685885B1 (en) 1999-11-17
EP0685885A1 (en) 1995-12-06
US5461003A (en) 1995-10-24
JP3703166B2 (ja) 2005-10-05
US5936295A (en) 1999-08-10
TW317019B (ja) 1997-10-01

Similar Documents

Publication Publication Date Title
JPH0883839A (ja) 金属導線の間に空隙を備えた半導体装置とその製造法
US5607773A (en) Method of forming a multilevel dielectric
US5750415A (en) Low dielectric constant layers via immiscible sol-gel processing
JP5308414B2 (ja) 半導体デバイスおよびその構造体の製造方法
JP4283106B2 (ja) 犠牲材料を用いた半導体構造およびその製造方法並びに実施方法
US6057226A (en) Air gap based low dielectric constant interconnect structure and method of making same
US20030218253A1 (en) Process for formation of a wiring network using a porous interlevel dielectric and related structures
US5880026A (en) Method for air gap formation by plasma treatment of aluminum interconnects
EP1872395A2 (en) A method of manufacturing a semiconductor device
JPH0870005A (ja) ダミーリード線と伝熱層を用いる高速lsi半導体装置における信頼性の向上した金属リード線
TW409316B (en) Semiconductor device with multilayer interconnection and manufacturing method thereof
US6093635A (en) High integrity borderless vias with HSQ gap filled patterned conductive layers
US6417098B1 (en) Enhanced surface modification of low K carbon-doped oxide
US20020098662A1 (en) Chemical treatment of semiconductor substrates
EP0790645A2 (en) Intermetal dielectric planarization
US6030891A (en) Vacuum baked HSQ gap fill layer for high integrity borderless vias
US20040175931A1 (en) Manufacturing method of semiconductor device
CN101304002B (zh) 半导体元件的制造方法
US5888898A (en) HSQ baking for reduced dielectric constant
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
CN100355069C (zh) 半导体装置及其制造方法
KR101150267B1 (ko) 낮은 유전 상수 k를 가진 제올라이트-탄소 도핑된옥사이드 복합체 유전체
US6982224B2 (en) Method for forming metal wires in semiconductor device
US6524973B2 (en) Method for forming low dielectric constant layer
Park et al. Modification of GaAs and copper surface by the formation of SiO2 aerogel film as an interlayer dielectric

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050617

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050719

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090729

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100729

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110729

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120729

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130729

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees