JPH08172037A - 区画化された基板処理チャンバ - Google Patents
区画化された基板処理チャンバInfo
- Publication number
- JPH08172037A JPH08172037A JP7214814A JP21481495A JPH08172037A JP H08172037 A JPH08172037 A JP H08172037A JP 7214814 A JP7214814 A JP 7214814A JP 21481495 A JP21481495 A JP 21481495A JP H08172037 A JPH08172037 A JP H08172037A
- Authority
- JP
- Japan
- Prior art keywords
- compartment
- opening
- substrate
- processing
- pump
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000012545 processing Methods 0.000 title claims abstract description 108
- 239000000758 substrate Substances 0.000 title claims description 98
- 238000000034 method Methods 0.000 claims abstract description 153
- 230000008569 process Effects 0.000 claims abstract description 109
- 238000004140 cleaning Methods 0.000 claims abstract description 25
- 238000007789 sealing Methods 0.000 claims abstract description 13
- 235000012431 wafers Nutrition 0.000 claims description 94
- 238000012546 transfer Methods 0.000 claims description 26
- 238000004891 communication Methods 0.000 claims description 19
- 239000000463 material Substances 0.000 claims description 15
- 239000004065 semiconductor Substances 0.000 claims description 7
- 238000005086 pumping Methods 0.000 claims description 6
- 230000002093 peripheral effect Effects 0.000 claims description 4
- 230000000903 blocking effect Effects 0.000 claims description 3
- 230000000149 penetrating effect Effects 0.000 claims 2
- 238000003672 processing method Methods 0.000 claims 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 15
- 230000002459 sustained effect Effects 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 28
- 239000010408 film Substances 0.000 description 21
- 210000002381 plasma Anatomy 0.000 description 16
- 238000005530 etching Methods 0.000 description 14
- 239000000356 contaminant Substances 0.000 description 11
- 239000002826 coolant Substances 0.000 description 11
- 238000000151 deposition Methods 0.000 description 9
- 230000008021 deposition Effects 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 7
- 230000005684 electric field Effects 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000011538 cleaning material Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000010276 construction Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 230000008439 repair process Effects 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 241000272470 Circus Species 0.000 description 1
- 244000273618 Sphenoclea zeylanica Species 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 230000001012 protector Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- ZFXYFBGIUFBOJW-UHFFFAOYSA-N theophylline Chemical compound O=C1N(C)C(=O)N(C)C2=C1NC=N2 ZFXYFBGIUFBOJW-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67751—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
- Y10S438/908—Utilizing cluster apparatus
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Physical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Weting (AREA)
Abstract
(57)【要約】
【課題】 修理時間を低減する。
【解決手段】 半導体ウエハ用の処理チャンバが、多区
画で形成される。第一の区画が、半導体処理のための隔
離された環境を供給するために提供されて、第二の区画
が第一の区画と選択的に連通するように提供され、その
チャンバにウエハを装填しまた取り出すことができるよ
うになっている。そのウエハ取り扱い装置は、第二の区
画内に置かれており、それを処理環境から隔離してい
る。そしてそれ故に、ウエハ取り扱い装置のために清浄
な、汚染のない環境を形成する。チャンバを清掃しなけ
ればならないときは、第二のチャンバ内では処理をせず
に、第一の区画だけを清掃しなければならない。それ
故、第一のチャンバ全体が清掃のために取り外され、清
浄な第一の区画と交換でき、チャンバ清掃作業中のチャ
ンバ修理時間を低減できる。
画で形成される。第一の区画が、半導体処理のための隔
離された環境を供給するために提供されて、第二の区画
が第一の区画と選択的に連通するように提供され、その
チャンバにウエハを装填しまた取り出すことができるよ
うになっている。そのウエハ取り扱い装置は、第二の区
画内に置かれており、それを処理環境から隔離してい
る。そしてそれ故に、ウエハ取り扱い装置のために清浄
な、汚染のない環境を形成する。チャンバを清掃しなけ
ればならないときは、第二のチャンバ内では処理をせず
に、第一の区画だけを清掃しなければならない。それ
故、第一のチャンバ全体が清掃のために取り外され、清
浄な第一の区画と交換でき、チャンバ清掃作業中のチャ
ンバ修理時間を低減できる。
Description
【0001】
【発明の属する技術分野】本発明は、集積回路及び他の
デバイスが基板上に形成される、半導体処理の分野に関
し、特に、本発明は半導体ウエハ及び他の基板上にマイ
クロエレクトロニクスデバイスを形成するのに役立つ処
理チャンバ(processing chamber
s)に関する。
デバイスが基板上に形成される、半導体処理の分野に関
し、特に、本発明は半導体ウエハ及び他の基板上にマイ
クロエレクトロニクスデバイスを形成するのに役立つ処
理チャンバ(processing chamber
s)に関する。
【0002】
【従来の技術】半導体処理チャンバは、集積回路や他の
半導体デバイスをウエハ上に製造するための処理環境
(process environments)を提供
するのに用いられる。ウエハ上に集積回路を形成するた
めに、それらは順次処理される。即ち、先ず第一に金属
の、或いは誘電性の(dielectric)或いは絶
縁性の(insulator)材料のフィルム層がウエ
ハ上に堆積(deposited)される堆積チャンバ
内で、次にその堆積されたフィルム層上にマスクが形成
されるリトグラフィ(lithographic)処理
チャンバ内で、そして次にその前の工程で堆積されたフ
ィルム層の選択された部分がエッチングされる(etc
hed)エッチングチャンバ(etch chambe
r)内で、順次処理される。そのウエハを処理するの
に、一つ或いはそれ以上のイオン注入(implan
t)及び不動態化(passivation)工程を用
いてもよい。ウエハ上にフィルム層を繰り返し堆積し、
そのフィルム層上にマスクを形成し、そして次にそのマ
スクによって露出されたフィルム層の領域を選択的にエ
ッチングすることによって、集積回路デバイスがウエハ
上に製造される。
半導体デバイスをウエハ上に製造するための処理環境
(process environments)を提供
するのに用いられる。ウエハ上に集積回路を形成するた
めに、それらは順次処理される。即ち、先ず第一に金属
の、或いは誘電性の(dielectric)或いは絶
縁性の(insulator)材料のフィルム層がウエ
ハ上に堆積(deposited)される堆積チャンバ
内で、次にその堆積されたフィルム層上にマスクが形成
されるリトグラフィ(lithographic)処理
チャンバ内で、そして次にその前の工程で堆積されたフ
ィルム層の選択された部分がエッチングされる(etc
hed)エッチングチャンバ(etch chambe
r)内で、順次処理される。そのウエハを処理するの
に、一つ或いはそれ以上のイオン注入(implan
t)及び不動態化(passivation)工程を用
いてもよい。ウエハ上にフィルム層を繰り返し堆積し、
そのフィルム層上にマスクを形成し、そして次にそのマ
スクによって露出されたフィルム層の領域を選択的にエ
ッチングすることによって、集積回路デバイスがウエハ
上に製造される。
【0003】先行技術の半導体エッチング及び堆積チャ
ンバの殆どは幾つかの共通の特徴を有している。例え
ば、そのようなチャンバの殆どは、ウエハが処理のため
に受け入れられる真空エンクロージャ(vicuum
enclosure)の回りに作られている。質量流量
コントローラを有するガス入口と、ゲ−トバルブを通し
て真空ポンプに接続された絞られた排出口とがチャンバ
エンクロージャと連通し、ウエハ処理のために必要な真
空条件及び処理ガス流れを提供する。そのエンクロージ
ャ内にはウエハ支持部材が置かれており、堆積或いはエ
ッチング処理中に、エンクロージャ内のウエハのための
安定した置場所を提供する。スリット(slit)バル
ブが、エンクロージャの壁を通して伸延しており、ロボ
ットブレ−ド(robot blade)がウエハを支
持部材上に置き、またウエハをそこから取り去ることが
できるようにしている。
ンバの殆どは幾つかの共通の特徴を有している。例え
ば、そのようなチャンバの殆どは、ウエハが処理のため
に受け入れられる真空エンクロージャ(vicuum
enclosure)の回りに作られている。質量流量
コントローラを有するガス入口と、ゲ−トバルブを通し
て真空ポンプに接続された絞られた排出口とがチャンバ
エンクロージャと連通し、ウエハ処理のために必要な真
空条件及び処理ガス流れを提供する。そのエンクロージ
ャ内にはウエハ支持部材が置かれており、堆積或いはエ
ッチング処理中に、エンクロージャ内のウエハのための
安定した置場所を提供する。スリット(slit)バル
ブが、エンクロージャの壁を通して伸延しており、ロボ
ットブレ−ド(robot blade)がウエハを支
持部材上に置き、またウエハをそこから取り去ることが
できるようにしている。
【0004】チャンバ内でエッチング或いは堆積処理工
程を行うために、プロセスガスが真空エンクロージャを
通して流される。そのガスは、化学蒸着(chemic
alvapor deposition)の場合と同様
に、ウエハ上にフィルムを堆積し、或いはそのガスは解
離(disassociated)ガス原子を提供す
る。そしてその原子は、エンクロージャ内の電場にさら
されると、励起されて(excited)プラズマ(p
lasma)になる。そのプラズマは、ウエハ上に既に
堆積されたフィルム層を選択的にエッチングするエッチ
ングプラズマ(etch plasma)を形成し、或
いはそのプラズマは物理蒸着(physical va
por deposition)の場合と同様に、タ−
ゲットをスパッタし(sputter)ウエハ上に堆積
フィルム層を形成するための材料を提供するのに用いら
れる。そのフィルム層がウエハ上に形成された後、或い
は前工程でウエハ上に形成された堆積層がエッチングさ
れた後に、処理ガスはエンクロージャから排出され、ま
たそのウエハはスリットバルブを通してエンクロージャ
から取り出される。
程を行うために、プロセスガスが真空エンクロージャを
通して流される。そのガスは、化学蒸着(chemic
alvapor deposition)の場合と同様
に、ウエハ上にフィルムを堆積し、或いはそのガスは解
離(disassociated)ガス原子を提供す
る。そしてその原子は、エンクロージャ内の電場にさら
されると、励起されて(excited)プラズマ(p
lasma)になる。そのプラズマは、ウエハ上に既に
堆積されたフィルム層を選択的にエッチングするエッチ
ングプラズマ(etch plasma)を形成し、或
いはそのプラズマは物理蒸着(physical va
por deposition)の場合と同様に、タ−
ゲットをスパッタし(sputter)ウエハ上に堆積
フィルム層を形成するための材料を提供するのに用いら
れる。そのフィルム層がウエハ上に形成された後、或い
は前工程でウエハ上に形成された堆積層がエッチングさ
れた後に、処理ガスはエンクロージャから排出され、ま
たそのウエハはスリットバルブを通してエンクロージャ
から取り出される。
【0005】以上述べた各プロセスの間、フィルム層
が、エンクロージャの壁、支持部材、スリットバルブ、
エンクロージャの入口などの表面を含むエンクロージャ
の露出した表面上にも形成され、またエンクロージャの
排出口及びポンプを含むチャンバ支持機器の中にさえも
形成される。チャンバの表面上に形成されたフィルム層
は、堆積処理(deposition process
es)の場合と同様に、主として(primaril
y)堆積層材料から成り、或いはエッチングプロセスの
場合と同様に、主としてエッチングの副産物(bypr
oducts)から成る。このフィルム層は砕けやすく
(friable)、その場所に残されると、ウエハ上
に堆積しうる汚染粒子(contaminant pa
rticles)をエンクロージャ内に形成することに
なる。十分な大きさの汚染粒子一個が一つのウエハ上に
堆積すると、そのウエハ上に形成される一或いはそれ以
上の半導体デバイスに欠陥が生じる。それ故、エンクロ
ージャは定期的に掃除をし、これらの汚染物質を除去し
なければならない。
が、エンクロージャの壁、支持部材、スリットバルブ、
エンクロージャの入口などの表面を含むエンクロージャ
の露出した表面上にも形成され、またエンクロージャの
排出口及びポンプを含むチャンバ支持機器の中にさえも
形成される。チャンバの表面上に形成されたフィルム層
は、堆積処理(deposition process
es)の場合と同様に、主として(primaril
y)堆積層材料から成り、或いはエッチングプロセスの
場合と同様に、主としてエッチングの副産物(bypr
oducts)から成る。このフィルム層は砕けやすく
(friable)、その場所に残されると、ウエハ上
に堆積しうる汚染粒子(contaminant pa
rticles)をエンクロージャ内に形成することに
なる。十分な大きさの汚染粒子一個が一つのウエハ上に
堆積すると、そのウエハ上に形成される一或いはそれ以
上の半導体デバイスに欠陥が生じる。それ故、エンクロ
ージャは定期的に掃除をし、これらの汚染物質を除去し
なければならない。
【0006】チャンバの内面を掃除するためには、真空
エンクロージャのカバ−或いは他のアクセスパネルを取
り除き、エンクロージャの内面を外部にさらさなければ
ならない。次に、エンクロージャの内壁及び他の表面上
に形成されたフィルム層は、水及び/或いは他の材料に
よって掃除される。更に、真空ポンプやスロットルバル
ブのような、プロセス環境にさらされる他のチャンバ部
品もまたチャンバから取り出され、その内部ポンプ及び
バルブの表面が掃除できるようにする。清掃後、そのポ
ンプ、バルブ及びカバ−は元に戻され、エンクロージャ
は再び稼働圧力までポンプにより排気される。エンクロ
ージャの表面を清掃するのに水が使われ、また清掃プロ
セス中に金属のエンクロージャ表面に水が吸収されるの
で、チャンバ内に満足で安定した真空圧が維持されるた
めには、その水がエンクロージャから除去されなければ
ならない。それ故、チャンバは高温(elevated
temperature)においてベ−クアウト(ba
ked out)され、エンクロージャの表面から水を
追い出すのを助け、そのようにして安定した真空が維持
できる乾いた(dry)エンクロージャ環境を提供す
る。このベ−クアウト期間は典型的には、少なくとも8
時間継続する。
エンクロージャのカバ−或いは他のアクセスパネルを取
り除き、エンクロージャの内面を外部にさらさなければ
ならない。次に、エンクロージャの内壁及び他の表面上
に形成されたフィルム層は、水及び/或いは他の材料に
よって掃除される。更に、真空ポンプやスロットルバル
ブのような、プロセス環境にさらされる他のチャンバ部
品もまたチャンバから取り出され、その内部ポンプ及び
バルブの表面が掃除できるようにする。清掃後、そのポ
ンプ、バルブ及びカバ−は元に戻され、エンクロージャ
は再び稼働圧力までポンプにより排気される。エンクロ
ージャの表面を清掃するのに水が使われ、また清掃プロ
セス中に金属のエンクロージャ表面に水が吸収されるの
で、チャンバ内に満足で安定した真空圧が維持されるた
めには、その水がエンクロージャから除去されなければ
ならない。それ故、チャンバは高温(elevated
temperature)においてベ−クアウト(ba
ked out)され、エンクロージャの表面から水を
追い出すのを助け、そのようにして安定した真空が維持
できる乾いた(dry)エンクロージャ環境を提供す
る。このベ−クアウト期間は典型的には、少なくとも8
時間継続する。
【0007】プロセスチャンバを清掃しベ−クアウトす
るのに要する時間は、プロセスチャンバの使用者にとっ
ては作業休止時間、ダウンタイム(down tim
e)である。これらの期間においてはチャンバ内でウエ
ハ処理が行えないからである。チャンバのダウンタイム
の長さは、プロセスチャンバがトランスファチャンバを
通して複数の他のプロセスチャンバに連結され、プロセ
スチャンバスリットバルブが清掃されなければならない
ときには、更に複合的に倍増する。スリットバルブは、
それが清掃されている間は少なくとも開いていなければ
ならない。プロセスチャンバのカバ−は、スリットバル
ブに近づくためには取り除かれなければならないので、
その開いたスリットバルブはそれが清掃されるときに
は、トランスファチャンバに大気条件を連通させること
になる。更には、スリットバルブ或いはチャンバの清掃
中にそのスリットバルブが開かれているときには、水或
いは他の物質がトランスファチャンバの表面に接触し、
その結果、プロセスチャンバが清掃され再び密封された
後に安定した真空を達成するためにはトランスファチャ
ンバのベ−クアウトを必要とすることになる。スリット
バルブがトランスファチャンバに対して開かれている時
間の間、そのトランスファチャンバと他の全てのプロセ
スチャンバとの間の連通を中断し、一つのプロセスチャ
ンバを清掃しても、トランスファチャンバにつながれた
他のプロセスチャンバのいずれをも汚染することがない
ことを確実にしなければならない。それ故、プロセスチ
ャンバスリットバルブが清掃されている間は、トランス
ファチャンバにつながれた他のプロセスチャンバの各々
は使用することができず、或いはスリットバルブが開か
れているときに他のプロセスチャンバ内に既に置かれた
ウエハのみが処理でき、またそれらのウエハは、スリッ
トバルブが閉じられトランスファチャンバを隔離し、そ
のトランスファチャンバがポンプで排気され、また必要
ならばベ−クアウトされるまで、その他のプロセスチャ
ンバから取り出すことができない。
るのに要する時間は、プロセスチャンバの使用者にとっ
ては作業休止時間、ダウンタイム(down tim
e)である。これらの期間においてはチャンバ内でウエ
ハ処理が行えないからである。チャンバのダウンタイム
の長さは、プロセスチャンバがトランスファチャンバを
通して複数の他のプロセスチャンバに連結され、プロセ
スチャンバスリットバルブが清掃されなければならない
ときには、更に複合的に倍増する。スリットバルブは、
それが清掃されている間は少なくとも開いていなければ
ならない。プロセスチャンバのカバ−は、スリットバル
ブに近づくためには取り除かれなければならないので、
その開いたスリットバルブはそれが清掃されるときに
は、トランスファチャンバに大気条件を連通させること
になる。更には、スリットバルブ或いはチャンバの清掃
中にそのスリットバルブが開かれているときには、水或
いは他の物質がトランスファチャンバの表面に接触し、
その結果、プロセスチャンバが清掃され再び密封された
後に安定した真空を達成するためにはトランスファチャ
ンバのベ−クアウトを必要とすることになる。スリット
バルブがトランスファチャンバに対して開かれている時
間の間、そのトランスファチャンバと他の全てのプロセ
スチャンバとの間の連通を中断し、一つのプロセスチャ
ンバを清掃しても、トランスファチャンバにつながれた
他のプロセスチャンバのいずれをも汚染することがない
ことを確実にしなければならない。それ故、プロセスチ
ャンバスリットバルブが清掃されている間は、トランス
ファチャンバにつながれた他のプロセスチャンバの各々
は使用することができず、或いはスリットバルブが開か
れているときに他のプロセスチャンバ内に既に置かれた
ウエハのみが処理でき、またそれらのウエハは、スリッ
トバルブが閉じられトランスファチャンバを隔離し、そ
のトランスファチャンバがポンプで排気され、また必要
ならばベ−クアウトされるまで、その他のプロセスチャ
ンバから取り出すことができない。
【0008】プロセスチャンバの清掃及びベ−クアウト
に起因するダウンタイムに加えて、多くのチャンバメン
テナンス処置がダウンタイムの一因となる。例えば、ポ
ンプ及びポンプ絞り弁の手入れ(servicing)
がしばしばダウンタイムの一因となる。典型的な先行技
術のプロセスチャンバにおいては、スロットルバルブが
チャンバエンクロージャとポンプとの間に置かれる。も
しスロットルバルブが、ポンプを掃除する必要がないの
に修理しなければならず、或いは掃除しなければならな
い場合、スロットルバルブに近づくために(provi
de access to)ポンプも取り除かなければ
ならない。ポンプ及びスロットルバルブを取り除くため
に必要な時間は、スロットルバルブだけを取り除くのに
必要な時間よりも非常に長く、この時間の差がまたチャ
ンバのダウンタイムの一因となる。更に、一旦ポンプが
チャンバから取り外され、その内面が大気にさらされる
と、ポンプが安定した真空圧を維持することができるよ
うにするためには、ポンプそれ自身がベ−クアウトされ
なければならないし或いは他の方法で安定化されなけれ
ばならない。
に起因するダウンタイムに加えて、多くのチャンバメン
テナンス処置がダウンタイムの一因となる。例えば、ポ
ンプ及びポンプ絞り弁の手入れ(servicing)
がしばしばダウンタイムの一因となる。典型的な先行技
術のプロセスチャンバにおいては、スロットルバルブが
チャンバエンクロージャとポンプとの間に置かれる。も
しスロットルバルブが、ポンプを掃除する必要がないの
に修理しなければならず、或いは掃除しなければならな
い場合、スロットルバルブに近づくために(provi
de access to)ポンプも取り除かなければ
ならない。ポンプ及びスロットルバルブを取り除くため
に必要な時間は、スロットルバルブだけを取り除くのに
必要な時間よりも非常に長く、この時間の差がまたチャ
ンバのダウンタイムの一因となる。更に、一旦ポンプが
チャンバから取り外され、その内面が大気にさらされる
と、ポンプが安定した真空圧を維持することができるよ
うにするためには、ポンプそれ自身がベ−クアウトされ
なければならないし或いは他の方法で安定化されなけれ
ばならない。
【0009】ウエハをロボットブレ−ドから支持部材ま
で移送する(transfer)のに用いられる中間ウ
エハ支持体のような、チャンバエンクロージャ内の可動
部品の存在はまたチャンバのダウンタイムの原因とな
る。チャンバエンクロージャ内の可動部品は、チャンバ
内のプロセス環境にさらされているので、チャンバの使
用中に堆積或いは汚染物質層を受け取る。この汚染物質
層は、ウエハ上の粒子汚染物質の第一の源である。それ
はこれらの部品が動くことによって、処理中にその上に
堆積した汚染物質層の部分を解放する傾向があるからで
ある。それ故、これらの表面は定期的に清掃されなけれ
ばならず、そのことによりチャンバを清掃するのに必要
な時間が増える。
で移送する(transfer)のに用いられる中間ウ
エハ支持体のような、チャンバエンクロージャ内の可動
部品の存在はまたチャンバのダウンタイムの原因とな
る。チャンバエンクロージャ内の可動部品は、チャンバ
内のプロセス環境にさらされているので、チャンバの使
用中に堆積或いは汚染物質層を受け取る。この汚染物質
層は、ウエハ上の粒子汚染物質の第一の源である。それ
はこれらの部品が動くことによって、処理中にその上に
堆積した汚染物質層の部分を解放する傾向があるからで
ある。それ故、これらの表面は定期的に清掃されなけれ
ばならず、そのことによりチャンバを清掃するのに必要
な時間が増える。
【0010】出願人に知られた一つの先行技術の装置
は、基板装填(loading)環境から分離された区
画(compartment)内にプロセス環境を維持
し、またそのようにして、支持部材上に基板を置くのに
用いられる基板装填装置からプロセス環境を少なくとも
部分的に隔離していた。MRCから入手できるエクリッ
プススパッタツ−ル(Eclipse sputter
tool)として知られるこのマルチステ−ション
(multi−station)装置は、ファイヤウォ
−ル(fire wall)を通して複数の別々のプロ
セスステ−ションに接続された、大型メインチャンバを
含んでいた。各プロセスステ−ションは、チャンバ穴
(aperture)の上方のファイヤウォ−ルの外側
に置かれていた。複数の基板ヒ−タが、そのメインチャ
ンバ内に置かれており、各ヒ−タは特定のプロセスステ
−ションのために使用された。複数の穴を有する大きい
回転トランスファプレ−トが、前記ファイヤウォ−ルに
実質的に平行に置かれていた。一つの基板が、前記回転
プレ−ト内の穴の各々の中に支持され、各基板が前記メ
インチャンバ内で動くことができ、ファイヤウォ−ルの
穴の各々と芯が一致するように位置付けられ、そのよう
にしてプロセスステ−ションの各々と芯が一致するよう
になる。
は、基板装填(loading)環境から分離された区
画(compartment)内にプロセス環境を維持
し、またそのようにして、支持部材上に基板を置くのに
用いられる基板装填装置からプロセス環境を少なくとも
部分的に隔離していた。MRCから入手できるエクリッ
プススパッタツ−ル(Eclipse sputter
tool)として知られるこのマルチステ−ション
(multi−station)装置は、ファイヤウォ
−ル(fire wall)を通して複数の別々のプロ
セスステ−ションに接続された、大型メインチャンバを
含んでいた。各プロセスステ−ションは、チャンバ穴
(aperture)の上方のファイヤウォ−ルの外側
に置かれていた。複数の基板ヒ−タが、そのメインチャ
ンバ内に置かれており、各ヒ−タは特定のプロセスステ
−ションのために使用された。複数の穴を有する大きい
回転トランスファプレ−トが、前記ファイヤウォ−ルに
実質的に平行に置かれていた。一つの基板が、前記回転
プレ−ト内の穴の各々の中に支持され、各基板が前記メ
インチャンバ内で動くことができ、ファイヤウォ−ルの
穴の各々と芯が一致するように位置付けられ、そのよう
にしてプロセスステ−ションの各々と芯が一致するよう
になる。
【0011】MRCマルチステ−ションツ−ルは、一以
上のプロセスステ−ションを通して順番に基板を処理す
るのに用いられた。ここで、スパッタ環境がプロセスス
テ−ションの各々の中に維持される。稼働の際は、基板
は装填位置にある回転プレ−ト中の穴の中に装填され、
マルチステ−ションツ−ル全体を通して処理のために回
転された。基板に処理工程を行うために、基板は先ず個
別のプロセスステ−ションの上において芯が一致され、
次に基板ヒ−タが、メインチャンバ内の引っ込んだ(r
etracted)位置から出っ張った(extend
ed)位置まで動かされた。そのヒ−タは、基板を加熱
するためにその基板の裏側を回転壁穴内に係合するプレ
−ト部分と、回転プレ−トに対して係合し、その回転プ
レ−トをファイヤウォ−ルに押し付ける出っ張った(e
xtending)環状壁を備えた。環状壁の回転プレ
−トに対する界面(interface)部分、及び回
転プレ−トとファイヤウォ−ルとの間にシ−ルが提供さ
れ、スパッタプロセスのためのシ−ルされたステ−ショ
ンを作った。一旦全てのヒ−タが出っ張った位置に動か
されると、スパッタ堆積環境がプロセスステ−ションの
各々に作り出される。そのプロセスがプロセスステ−シ
ョン中で完了した後に、ヒ−タの各々はそれぞれのプロ
セスチャンバから引っ込み、回転プレ−トが回転し、基
板を次のプロセスステ−ションに置く。
上のプロセスステ−ションを通して順番に基板を処理す
るのに用いられた。ここで、スパッタ環境がプロセスス
テ−ションの各々の中に維持される。稼働の際は、基板
は装填位置にある回転プレ−ト中の穴の中に装填され、
マルチステ−ションツ−ル全体を通して処理のために回
転された。基板に処理工程を行うために、基板は先ず個
別のプロセスステ−ションの上において芯が一致され、
次に基板ヒ−タが、メインチャンバ内の引っ込んだ(r
etracted)位置から出っ張った(extend
ed)位置まで動かされた。そのヒ−タは、基板を加熱
するためにその基板の裏側を回転壁穴内に係合するプレ
−ト部分と、回転プレ−トに対して係合し、その回転プ
レ−トをファイヤウォ−ルに押し付ける出っ張った(e
xtending)環状壁を備えた。環状壁の回転プレ
−トに対する界面(interface)部分、及び回
転プレ−トとファイヤウォ−ルとの間にシ−ルが提供さ
れ、スパッタプロセスのためのシ−ルされたステ−ショ
ンを作った。一旦全てのヒ−タが出っ張った位置に動か
されると、スパッタ堆積環境がプロセスステ−ションの
各々に作り出される。そのプロセスがプロセスステ−シ
ョン中で完了した後に、ヒ−タの各々はそれぞれのプロ
セスチャンバから引っ込み、回転プレ−トが回転し、基
板を次のプロセスステ−ションに置く。
【0012】MRCマルチステ−ションツ−ルの構成
(configuration)は幾つかの固有の制限
を有する。特に、このツ−ルは本来的に、ステ−ション
間のお互いの汚染を被りやすい。それは回転プレ−トの
一部が、基板がプロセスステ−ションの各々の中での処
理のために回転される際、各プロセス環境にさらされる
からである。このようにして、異なったプロセスステ−
ション中で基板上に異なった材料が堆積されるときは、
その特定のプロセスステ−ション中に存在する材料以外
の材料から成る不純物が、回転プレ−トから解放されて
メインチャンバ内に入り込みうる。更には、プロセスス
テ−ションの各々に維持されているプロセス環境の痕跡
程度の極めて微量な物質が、ヒ−タが個別のプロセスス
テ−ションから引っ込んだときに、メインチャンバ中に
放出される。これらの痕跡程度の量の(trace a
mounts)汚染物質は、メインチャンバ中に、及び
プロセスステ−ション中に混じり込み(comming
le)、且つ蓄積し(buid up)、大きいメイン
チャンバを含むマルチステ−ションツ−ル全体が、個別
のプロセスステ−ション中に維持されているプロセス環
境の汚染を防止するために清掃されなければならないよ
うな点にまで達する。最後には、処理量(throug
hput)がツ−ル中で行われる最も遅い処理によって
制限されるので、そのツ−ルの稼働は遅くなる。
(configuration)は幾つかの固有の制限
を有する。特に、このツ−ルは本来的に、ステ−ション
間のお互いの汚染を被りやすい。それは回転プレ−トの
一部が、基板がプロセスステ−ションの各々の中での処
理のために回転される際、各プロセス環境にさらされる
からである。このようにして、異なったプロセスステ−
ション中で基板上に異なった材料が堆積されるときは、
その特定のプロセスステ−ション中に存在する材料以外
の材料から成る不純物が、回転プレ−トから解放されて
メインチャンバ内に入り込みうる。更には、プロセスス
テ−ションの各々に維持されているプロセス環境の痕跡
程度の極めて微量な物質が、ヒ−タが個別のプロセスス
テ−ションから引っ込んだときに、メインチャンバ中に
放出される。これらの痕跡程度の量の(trace a
mounts)汚染物質は、メインチャンバ中に、及び
プロセスステ−ション中に混じり込み(comming
le)、且つ蓄積し(buid up)、大きいメイン
チャンバを含むマルチステ−ションツ−ル全体が、個別
のプロセスステ−ション中に維持されているプロセス環
境の汚染を防止するために清掃されなければならないよ
うな点にまで達する。最後には、処理量(throug
hput)がツ−ル中で行われる最も遅い処理によって
制限されるので、そのツ−ルの稼働は遅くなる。
【0013】
【発明が解決しようとする課題】チャンバ清掃のための
分解修理時間が低減されるプロセスチャンバ、及び複数
のチャンバが一つのトランスファチャンバに接続されて
いるが、ある一つのチャンバを清掃しても、そのプロセ
スチャンバの清掃のために、トランスファチャンバに接
続された他のチャンバを停止することを要しないような
配置(arrangement)を有する技術が必要と
されている。
分解修理時間が低減されるプロセスチャンバ、及び複数
のチャンバが一つのトランスファチャンバに接続されて
いるが、ある一つのチャンバを清掃しても、そのプロセ
スチャンバの清掃のために、トランスファチャンバに接
続された他のチャンバを停止することを要しないような
配置(arrangement)を有する技術が必要と
されている。
【0014】
【課題を解決するための手段】本発明は、プロセスチャ
ンバが少なくとも二つの区画(compartment
s)に分割され、その二つの区画のうちの一つのみにプ
ロセス環境が維持できるような、プロセスチャンバ内で
ウエハを処理するための方法及び装置を提供する。その
チャンバは、少なくとも第一の区画と、第二の区画と、
それら二つの区画の間の連通穴(communicat
ion aperture)の上に位置し第一の区画と
第二の区画とをお互いにシ−ルする可動壁(movea
blewall)とを備える。
ンバが少なくとも二つの区画(compartment
s)に分割され、その二つの区画のうちの一つのみにプ
ロセス環境が維持できるような、プロセスチャンバ内で
ウエハを処理するための方法及び装置を提供する。その
チャンバは、少なくとも第一の区画と、第二の区画と、
それら二つの区画の間の連通穴(communicat
ion aperture)の上に位置し第一の区画と
第二の区画とをお互いにシ−ルする可動壁(movea
blewall)とを備える。
【0015】本発明の一つの局面においては、プロセス
環境が維持される区画全体が取り外され、正常な、事前
にベ−クアウトされた区画と置き換えることができ、そ
れによって、プロセス区画が掃除を必要とするときに、
より速い分解修理を可能にする。更に加えるに、プロセ
スチャンバがその場所で掃除される場合は、可動壁が連
通穴上に置かれ、清掃材料と処理に使用しない(non
−process)区画の表面との間の接触を防止し、
また上方の区画が開かれているとき、処理に使用しない
区画が大気条件にさらされるのを防止する。処理に使用
しない区画を清掃材料からまた大気条件から隔離するこ
とによって、清掃後チャンバ全体をベ−クアウトしなけ
ればならなかった先行技術におけるよりも短いベ−クア
ウト時間が可能になるように図られている。
環境が維持される区画全体が取り外され、正常な、事前
にベ−クアウトされた区画と置き換えることができ、そ
れによって、プロセス区画が掃除を必要とするときに、
より速い分解修理を可能にする。更に加えるに、プロセ
スチャンバがその場所で掃除される場合は、可動壁が連
通穴上に置かれ、清掃材料と処理に使用しない(non
−process)区画の表面との間の接触を防止し、
また上方の区画が開かれているとき、処理に使用しない
区画が大気条件にさらされるのを防止する。処理に使用
しない区画を清掃材料からまた大気条件から隔離するこ
とによって、清掃後チャンバ全体をベ−クアウトしなけ
ればならなかった先行技術におけるよりも短いベ−クア
ウト時間が可能になるように図られている。
【0016】本発明の更に別の局面においては、前記可
動壁がウエハ支持部材として形成されており、また第二
の区画内に置くことができウエハをその上に置き或いは
そこから取り除くことができるようになっており、また
更に前記二つの区画の間の穴(aperture)をシ
−ルするようにチャンバ内に置くことができ、また同時
に第一の区画内に処理のためにウエハを置くことができ
るようになっている。
動壁がウエハ支持部材として形成されており、また第二
の区画内に置くことができウエハをその上に置き或いは
そこから取り除くことができるようになっており、また
更に前記二つの区画の間の穴(aperture)をシ
−ルするようにチャンバ内に置くことができ、また同時
に第一の区画内に処理のためにウエハを置くことができ
るようになっている。
【0017】本発明の更なる局面においては、ポンプオ
リフィスを絞るために用いられるバルブは、ポンプを取
り除くことなく、またポンプのポンピング部品を大気に
さらすことなくチャンバから取り外すことができる。本
発明の別の局面においては、スリットバルブ及び/また
はウエハ取扱い機器は、第二の区画内に置かれており、
最小限の可動部品だけがプロセス環境にさらされるよう
になっている。第二の区画内の可動部品を隔離し、その
ようにして処理環境による汚染を低減しあるいは排除す
ることによって、可動部品を清掃するまでにチャンバ内
で行うことのできるウエハ処理稼働数が増大する。本発
明のさらに別の局面においては、ウエハをロボットア−
ムから移送し、支持部材上に移送するための中間ウエハ
支持部が、支持部材内に受容されまたそれによって選択
的にシ−ルされる複数のピンと、第二の区画内に配設さ
れそれによってプロセス環境及びその汚染物質から有利
に隔離されたピンアクチュエ−タとを含む。
リフィスを絞るために用いられるバルブは、ポンプを取
り除くことなく、またポンプのポンピング部品を大気に
さらすことなくチャンバから取り外すことができる。本
発明の別の局面においては、スリットバルブ及び/また
はウエハ取扱い機器は、第二の区画内に置かれており、
最小限の可動部品だけがプロセス環境にさらされるよう
になっている。第二の区画内の可動部品を隔離し、その
ようにして処理環境による汚染を低減しあるいは排除す
ることによって、可動部品を清掃するまでにチャンバ内
で行うことのできるウエハ処理稼働数が増大する。本発
明のさらに別の局面においては、ウエハをロボットア−
ムから移送し、支持部材上に移送するための中間ウエハ
支持部が、支持部材内に受容されまたそれによって選択
的にシ−ルされる複数のピンと、第二の区画内に配設さ
れそれによってプロセス環境及びその汚染物質から有利
に隔離されたピンアクチュエ−タとを含む。
【0018】
【発明の実施の形態】本発明は少なくとも二つの区画を
有するチャンバを提供する。ここでプロセス環境は、そ
れら区画のうちの一つにおいてのみ維持され、スリット
バルブ及びウエハ取扱い装置がそれら区画のうちのもう
一方の中に置かれている。本発明の好ましい実施の形態
においては、図1の10に示すように、チャンバは、プ
ロセス環境が維持できる第一の区画12と、第一の区画
12に隣接しており且つそれと選択的に連通でき、その
連通は両方の区画に共通の開口部(aperture)
16を通して行われる第二の区画14と、少なくとも第
二の区画14の中に選択的に置くことができ、第一の区
画12と第二の区画14との間において開口部16を選
択的にシ−ルする可動壁18とを含む。第一の区画12
内に維持されるプロセス環境は、プラズマエッチングプ
ロセス、物理蒸着プロセス(ここで堆積材料を提供する
ために或いは化学蒸着プロセスを高進するためにタ−ゲ
ットがスパッタされる)、或いは化学的蒸気(chem
ical vapor)がウエハ上にフィルム層を形成
する化学蒸着を含むが、それらに限らない。第一の区画
12と第二の区画14の両方とも、真空環境が維持でき
る個々にシ−ルされたエンクロージャである。本発明の
好ましい実施の形態においては、第一の区画12は掃除
のためにチャンバ10から取り外し、第二の同一の第一
の区画12と置き換えることができる。その第一の区画
12を正常な、予めベ−クアウトした第一の区画12と
置き換えることによって、ウエハ8の処理のためにチャ
ンバ10を準備するのに必要な時間は、チャンバ10が
清掃を必要とするとき、著しく低減できる。
有するチャンバを提供する。ここでプロセス環境は、そ
れら区画のうちの一つにおいてのみ維持され、スリット
バルブ及びウエハ取扱い装置がそれら区画のうちのもう
一方の中に置かれている。本発明の好ましい実施の形態
においては、図1の10に示すように、チャンバは、プ
ロセス環境が維持できる第一の区画12と、第一の区画
12に隣接しており且つそれと選択的に連通でき、その
連通は両方の区画に共通の開口部(aperture)
16を通して行われる第二の区画14と、少なくとも第
二の区画14の中に選択的に置くことができ、第一の区
画12と第二の区画14との間において開口部16を選
択的にシ−ルする可動壁18とを含む。第一の区画12
内に維持されるプロセス環境は、プラズマエッチングプ
ロセス、物理蒸着プロセス(ここで堆積材料を提供する
ために或いは化学蒸着プロセスを高進するためにタ−ゲ
ットがスパッタされる)、或いは化学的蒸気(chem
ical vapor)がウエハ上にフィルム層を形成
する化学蒸着を含むが、それらに限らない。第一の区画
12と第二の区画14の両方とも、真空環境が維持でき
る個々にシ−ルされたエンクロージャである。本発明の
好ましい実施の形態においては、第一の区画12は掃除
のためにチャンバ10から取り外し、第二の同一の第一
の区画12と置き換えることができる。その第一の区画
12を正常な、予めベ−クアウトした第一の区画12と
置き換えることによって、ウエハ8の処理のためにチャ
ンバ10を準備するのに必要な時間は、チャンバ10が
清掃を必要とするとき、著しく低減できる。
【0019】第一の区画12及び第二の区画14の両方
とも、確実な真空(hard vacuum)に維持で
き、複数のボルト或いは他の取り外し可能な締め付け具
(図示せず)によって相互に接続される別々のシ−ルで
きるエンクロ−ジャとして提供するのが好ましい。第一
の区画12は、第一の区画12と第二の区画14との間
を連通するために開口部(aperture)16が広
がっているベ−ス20と、排気オリフィス22(図3か
ら図5に判りやすく示されている)と、シ−ルリング3
0及びカバ−28が受容されている上部カバ−フランジ
24とを含むのが好ましい。第一の区画12の構造全体
は、第一の区画12の表面及び特徴を与えるために、一
体(single piece)のアルミニウムのよう
な材料を機械加工することによって作るのが好ましい。
第一の区画12を一体の材料から機械加工することによ
って、その第一の区画12の本体には継手部(join
ts)或いは継目(seams)が存在することがなく
なり、そのことによって、第一の区画12を貫通する潜
在的漏洩路の数を低減する。チャンバ10がスパッタリ
ング(sputtering)チャンバとして形成され
る場合には、カバ−28はその上に搭載されたタ−ゲッ
ト(図示せず)を含んでおり、それは内部磁石構造(図
示せず)と共にあるのが好ましい。そのようなタ−ゲッ
ト磁石構造の一つは、米国特許第5242566号、パ
−カ−(Parker)に示されている。
とも、確実な真空(hard vacuum)に維持で
き、複数のボルト或いは他の取り外し可能な締め付け具
(図示せず)によって相互に接続される別々のシ−ルで
きるエンクロ−ジャとして提供するのが好ましい。第一
の区画12は、第一の区画12と第二の区画14との間
を連通するために開口部(aperture)16が広
がっているベ−ス20と、排気オリフィス22(図3か
ら図5に判りやすく示されている)と、シ−ルリング3
0及びカバ−28が受容されている上部カバ−フランジ
24とを含むのが好ましい。第一の区画12の構造全体
は、第一の区画12の表面及び特徴を与えるために、一
体(single piece)のアルミニウムのよう
な材料を機械加工することによって作るのが好ましい。
第一の区画12を一体の材料から機械加工することによ
って、その第一の区画12の本体には継手部(join
ts)或いは継目(seams)が存在することがなく
なり、そのことによって、第一の区画12を貫通する潜
在的漏洩路の数を低減する。チャンバ10がスパッタリ
ング(sputtering)チャンバとして形成され
る場合には、カバ−28はその上に搭載されたタ−ゲッ
ト(図示せず)を含んでおり、それは内部磁石構造(図
示せず)と共にあるのが好ましい。そのようなタ−ゲッ
ト磁石構造の一つは、米国特許第5242566号、パ
−カ−(Parker)に示されている。
【0020】第二の区画14は、チャンバスリットバル
ブ6を有する桶(tub)のような形状のハウジング4
1と、桶部分41の上端に広がる上部搭載フランジ43
と、桶部分41から外側に片持ち梁状に張り出したポン
プ支持フランジ47とを含むのが好ましい。チャンバス
リットバルブ6はハウジング全体に広がっている。第二
の区画14は、別の(separate)カバ−は備え
ていない。そうではなくて、第一の区画12のベ−ス2
0の下側は、上部搭載フランジ43とポンプ支持フラン
ジ47の上に受容されている。上部搭載フランジ43の
上に受容されたベ−ス20の部分は、第二の区画14の
上端(top)を形成しており、開口部16が区画1
2、14の両方と連通するように配設されるようになっ
ている。シ−ルリングが、上部搭載フランジの周辺に広
がるシ−ル溝内に配設されており、開口部16の回りに
広がり、ベ−ス20の下側を上部搭載フランジ43に対
してシ−ルするためのシ−ルを提供している。
ブ6を有する桶(tub)のような形状のハウジング4
1と、桶部分41の上端に広がる上部搭載フランジ43
と、桶部分41から外側に片持ち梁状に張り出したポン
プ支持フランジ47とを含むのが好ましい。チャンバス
リットバルブ6はハウジング全体に広がっている。第二
の区画14は、別の(separate)カバ−は備え
ていない。そうではなくて、第一の区画12のベ−ス2
0の下側は、上部搭載フランジ43とポンプ支持フラン
ジ47の上に受容されている。上部搭載フランジ43の
上に受容されたベ−ス20の部分は、第二の区画14の
上端(top)を形成しており、開口部16が区画1
2、14の両方と連通するように配設されるようになっ
ている。シ−ルリングが、上部搭載フランジの周辺に広
がるシ−ル溝内に配設されており、開口部16の回りに
広がり、ベ−ス20の下側を上部搭載フランジ43に対
してシ−ルするためのシ−ルを提供している。
【0021】チャンバ10内の真空圧力を維持するため
に、タ−ボ分子ポンプ或いはクライオジェニック(cr
yogenic)ポンプのようなポンプ32が、ポンプ
32を排気オリフィスから隔離するように置かれている
ゲ−トバルブ37を通して排気オリフィス22に流体的
に連結されており、またポペットバルブ(poppet
valve)34が排気オリフィス22と連通してお
り、ポンプ32を絞る(throttle)ようになっ
ている。図3から図5に判りやすく示されているよう
に、排気オリフィス22は、ゲ−トバルブ37とポンプ
32と連通するポンプ開口部36と、ポンプ開口部36
に対向しておりポペットバルブ34が搭載されるように
なったポペットバルブ開口部38とを含んでいる。ゲ−
トバルブ37は、ポンプ32をチャンバ10から選択的
にシ−ルするように備わっている。ポペットバルブ34
は、ポペットバルブハウジング42内にアクチュエ−タ
から伸延するプレ−ト40を備えており、排気オリフィ
ス22の断面の部分或いは選択された部分を塞ぐように
なっている。プレ−ト40によって排気オリフィスの選
択された部分を閉塞する(blocking)ことによ
って、ポペットバルブ34は排気オリフィスを絞るため
の絞り弁(throttle valve)として用い
られる。ポンプ32とゲ−トバルブ37とはポンプ支持
フランジ45に搭載されているが、排気オリフィス22
は、完全に上部区画12によって形成されている。排気
オリフィスの壁、特にポンプ開口部36は、ポンプ支持
フランジ45の中にスリ−ブ状に差し込めるようにし
て、第一の区画12がチャンバ10から取り外されると
き、ポンプ32がポンプ支持フランジ45の下側に残る
が、排気オリフィス22は清掃及び/または交換のため
に取り外せるようにするのが好ましい。シ−ルリングが
ポンプフランジ内の溝に備えられ、ポンプ支持フランジ
45とポンプ開口部36との間の界面をシ−ルする。ポ
ペットバルブ34をそれとは別のポンプ32と連通して
いる開口部上に搭載することによって、ポペットバルブ
34とポンプ32とは別々に修理することができ或いは
チャンバ10から別々に取り外すことができる。更に、
ゲ−トバルブ37を閉じることによって、ポンプ32
は、第一の区画が掃除されるとき或いはポペットバルブ
34が修理されるとき第一の区画12から隔離すること
ができ、またポンプ32が取り外されるとき、第一の区
画は隔離され大気にさらされないようにすることができ
る。
に、タ−ボ分子ポンプ或いはクライオジェニック(cr
yogenic)ポンプのようなポンプ32が、ポンプ
32を排気オリフィスから隔離するように置かれている
ゲ−トバルブ37を通して排気オリフィス22に流体的
に連結されており、またポペットバルブ(poppet
valve)34が排気オリフィス22と連通してお
り、ポンプ32を絞る(throttle)ようになっ
ている。図3から図5に判りやすく示されているよう
に、排気オリフィス22は、ゲ−トバルブ37とポンプ
32と連通するポンプ開口部36と、ポンプ開口部36
に対向しておりポペットバルブ34が搭載されるように
なったポペットバルブ開口部38とを含んでいる。ゲ−
トバルブ37は、ポンプ32をチャンバ10から選択的
にシ−ルするように備わっている。ポペットバルブ34
は、ポペットバルブハウジング42内にアクチュエ−タ
から伸延するプレ−ト40を備えており、排気オリフィ
ス22の断面の部分或いは選択された部分を塞ぐように
なっている。プレ−ト40によって排気オリフィスの選
択された部分を閉塞する(blocking)ことによ
って、ポペットバルブ34は排気オリフィスを絞るため
の絞り弁(throttle valve)として用い
られる。ポンプ32とゲ−トバルブ37とはポンプ支持
フランジ45に搭載されているが、排気オリフィス22
は、完全に上部区画12によって形成されている。排気
オリフィスの壁、特にポンプ開口部36は、ポンプ支持
フランジ45の中にスリ−ブ状に差し込めるようにし
て、第一の区画12がチャンバ10から取り外されると
き、ポンプ32がポンプ支持フランジ45の下側に残る
が、排気オリフィス22は清掃及び/または交換のため
に取り外せるようにするのが好ましい。シ−ルリングが
ポンプフランジ内の溝に備えられ、ポンプ支持フランジ
45とポンプ開口部36との間の界面をシ−ルする。ポ
ペットバルブ34をそれとは別のポンプ32と連通して
いる開口部上に搭載することによって、ポペットバルブ
34とポンプ32とは別々に修理することができ或いは
チャンバ10から別々に取り外すことができる。更に、
ゲ−トバルブ37を閉じることによって、ポンプ32
は、第一の区画が掃除されるとき或いはポペットバルブ
34が修理されるとき第一の区画12から隔離すること
ができ、またポンプ32が取り外されるとき、第一の区
画は隔離され大気にさらされないようにすることができ
る。
【0022】ポンプ32は、可動壁18が第二の区画1
4に引っ込み、第二の区画14とポンプ32との間の連
通を許すとき、区画12及び14の両方を10-7ト−ル
(torr)の領域の圧力までポンプで排気できる能力
を有するのが好ましい。一旦チャンバ10がこのような
低い圧力までポンプで排気されると、可動壁18は、以
下更に説明するように、第二の区画14を第一の区画1
2から隔離するような位置に置かれる。次にプロセスガ
スが、質量流量コントロ−ラ(図示せず)を有するガス
入口を通して第一のエンクロ−ジャ12内に流入し、第
一の区画12内へのガスの流量及びポンプ32による第
一の区画12からのガス排気量(pumping ra
te)は、制御され、第一の区画12内に所望の濃度
(concentration)及び圧力のプロセスガ
スを提供する。必要であれば、ガスはプラズマに加勢さ
れ(energized)或いは加勢されることなくウ
エハにフィルム層を堆積するのに用いられ、或いはそれ
はフィルム層をエッチングするためにプラズマに加勢さ
れ或いは第一の区画12内のタ−ゲットをスパッタする
ように加勢され、ウエハ8上に堆積層を形成するための
材料を提供する。一旦ウエハ8上へのフィルム層の堆積
或いはエッチングが完了すると、ポンプ32は第一の区
画12を排気し(evacuates)二つの区画1
2、14内の圧力を均圧し、プロセスガス及び潜在的汚
染物質を除去し、次に可動壁18が、二つの区画12、
14を再び開口部16を通して連結するように動かされ
る。
4に引っ込み、第二の区画14とポンプ32との間の連
通を許すとき、区画12及び14の両方を10-7ト−ル
(torr)の領域の圧力までポンプで排気できる能力
を有するのが好ましい。一旦チャンバ10がこのような
低い圧力までポンプで排気されると、可動壁18は、以
下更に説明するように、第二の区画14を第一の区画1
2から隔離するような位置に置かれる。次にプロセスガ
スが、質量流量コントロ−ラ(図示せず)を有するガス
入口を通して第一のエンクロ−ジャ12内に流入し、第
一の区画12内へのガスの流量及びポンプ32による第
一の区画12からのガス排気量(pumping ra
te)は、制御され、第一の区画12内に所望の濃度
(concentration)及び圧力のプロセスガ
スを提供する。必要であれば、ガスはプラズマに加勢さ
れ(energized)或いは加勢されることなくウ
エハにフィルム層を堆積するのに用いられ、或いはそれ
はフィルム層をエッチングするためにプラズマに加勢さ
れ或いは第一の区画12内のタ−ゲットをスパッタする
ように加勢され、ウエハ8上に堆積層を形成するための
材料を提供する。一旦ウエハ8上へのフィルム層の堆積
或いはエッチングが完了すると、ポンプ32は第一の区
画12を排気し(evacuates)二つの区画1
2、14内の圧力を均圧し、プロセスガス及び潜在的汚
染物質を除去し、次に可動壁18が、二つの区画12、
14を再び開口部16を通して連結するように動かされ
る。
【0023】チャンバ10が、第一の区画12内に例え
ばエッチングを行うためにプラズマプロセス環境を提供
するように形成され、また第二の区画14内の圧力が第
一の区画12内の圧力に近くなり或いはそれを超える
と、第二の区画14内に望まないにもかかわらずプラズ
マが生じる。チャンバスリットバルブ6に漏洩があり、
スリットバルブ6のところでチャンバ10の外側に取り
付けられたウエハ装填カセット内或いは隣接したトラン
スファチャンバ内にあるガスが第二の区画14に入り込
むのを許す場合には、第二の区画14の圧力は第一の区
画12の圧力に近づき或いはそれを超えうる。第二の区
画14の圧力が第一の区画12の圧力よりも確実に低く
なるようにし、それによってその中のガスが励起して
(excited)プラズマになるのを確実にするため
に、二次的(secondary)真空ポンプ33が第
二の区画14に取り付けられる。
ばエッチングを行うためにプラズマプロセス環境を提供
するように形成され、また第二の区画14内の圧力が第
一の区画12内の圧力に近くなり或いはそれを超える
と、第二の区画14内に望まないにもかかわらずプラズ
マが生じる。チャンバスリットバルブ6に漏洩があり、
スリットバルブ6のところでチャンバ10の外側に取り
付けられたウエハ装填カセット内或いは隣接したトラン
スファチャンバ内にあるガスが第二の区画14に入り込
むのを許す場合には、第二の区画14の圧力は第一の区
画12の圧力に近づき或いはそれを超えうる。第二の区
画14の圧力が第一の区画12の圧力よりも確実に低く
なるようにし、それによってその中のガスが励起して
(excited)プラズマになるのを確実にするため
に、二次的(secondary)真空ポンプ33が第
二の区画14に取り付けられる。
【0024】図1を参照すると、桶の形状をしたハウジ
ング41のベ−ス内のシ−ルされた開口部46を貫通し
て伸延する中空のステム44によって、可動壁18が第
二の区画14内に支持されている。そのシ−ルされた開
口部46は、可動壁18の下側から第二のエンクロージ
ャ14のベ−スまで伸延するベロ−ズを含むのが好まし
く、そのベロ−ズは、何らかのプロセス環境材料が第二
の区画14内に入って来たときステム44を保護し、ま
た第二の区画14とチャンバ10の外側との間に付加的
シ−ルを提供する。ステム44はハウジング41の外側
で、液圧ピストン或いは気圧ピストンのような駆動部材
49(図3から図5に示す)或いはステッパモ−タに連
結されたリ−ドネジ(lead screw)或いは他
の駆動装置に連結されている。ステム44は駆動部材4
9によって位置決めされ、可動壁18を図1及び図3に
示されるように引っ込んだ位置に位置決めし、また図5
に示されるように延びた位置に位置決めする。ステム4
4の内側は、チャンバのプロセスへの適用の要求に従っ
て、ガスや冷却剤や電気のようなユ−ティリティを可動
壁18に供給するように形成されている。
ング41のベ−ス内のシ−ルされた開口部46を貫通し
て伸延する中空のステム44によって、可動壁18が第
二の区画14内に支持されている。そのシ−ルされた開
口部46は、可動壁18の下側から第二のエンクロージ
ャ14のベ−スまで伸延するベロ−ズを含むのが好まし
く、そのベロ−ズは、何らかのプロセス環境材料が第二
の区画14内に入って来たときステム44を保護し、ま
た第二の区画14とチャンバ10の外側との間に付加的
シ−ルを提供する。ステム44はハウジング41の外側
で、液圧ピストン或いは気圧ピストンのような駆動部材
49(図3から図5に示す)或いはステッパモ−タに連
結されたリ−ドネジ(lead screw)或いは他
の駆動装置に連結されている。ステム44は駆動部材4
9によって位置決めされ、可動壁18を図1及び図3に
示されるように引っ込んだ位置に位置決めし、また図5
に示されるように延びた位置に位置決めする。ステム4
4の内側は、チャンバのプロセスへの適用の要求に従っ
て、ガスや冷却剤や電気のようなユ−ティリティを可動
壁18に供給するように形成されている。
【0025】図1及び図2には、可動壁18の構造の詳
細及び開口部16をシ−ルするための可動壁18の第一
の区画12のベ−ス20との密封係合の詳細が示されて
いる。添付図に示されまたここに説明されている多区画
形態(multiple compartment c
onfiguration)を有する好ましい実施例に
おいては、可動壁18はウエハ8上にフィルム層をエッ
チングすることができるように形成されている。プラズ
マがウエハ8上のフィルム層をエッチングするようにす
るためには、可動壁18は陰極(cathode)とし
て形成されなければならない。即ち負の(negati
ve)の電圧が、好ましくは接地されている(grou
nded)第一の区画12によって形成されたエンクロ
ージャに関して可動壁18上に維持されなければならな
い。しかしながら、可動壁18はまた、可動壁18の導
電部分(conductive porion)の接地
を防止するために、二つの区画12、14の壁から隔離
されなければならない。それ故、可動壁18は、外側の
電気的に絶縁されたベ−ス60と、陰極として可動壁1
8に電気的にバイアスをかける中間の導電(condu
ctive)部材62と、導電部材62の上に搭載され
たペデスタル63と、ペデスタル63の上に受容された
静電(electrostatic)チャック64とを
含む。
細及び開口部16をシ−ルするための可動壁18の第一
の区画12のベ−ス20との密封係合の詳細が示されて
いる。添付図に示されまたここに説明されている多区画
形態(multiple compartment c
onfiguration)を有する好ましい実施例に
おいては、可動壁18はウエハ8上にフィルム層をエッ
チングすることができるように形成されている。プラズ
マがウエハ8上のフィルム層をエッチングするようにす
るためには、可動壁18は陰極(cathode)とし
て形成されなければならない。即ち負の(negati
ve)の電圧が、好ましくは接地されている(grou
nded)第一の区画12によって形成されたエンクロ
ージャに関して可動壁18上に維持されなければならな
い。しかしながら、可動壁18はまた、可動壁18の導
電部分(conductive porion)の接地
を防止するために、二つの区画12、14の壁から隔離
されなければならない。それ故、可動壁18は、外側の
電気的に絶縁されたベ−ス60と、陰極として可動壁1
8に電気的にバイアスをかける中間の導電(condu
ctive)部材62と、導電部材62の上に搭載され
たペデスタル63と、ペデスタル63の上に受容された
静電(electrostatic)チャック64とを
含む。
【0026】可動壁18の絶縁性の(insulati
ve)ベ−ス60は、ステム44の上端部44a上に受
容されており、可動壁18が図5に示されるように一番
延びだした位置にあるとき、一部が開口部16内に受容
されておりまた一部が開口部16に隣接した第一の区画
12のベ−ス20の下側に対して受容されるように形成
された円筒状の(cylindrical)部材とする
のが好ましい。絶縁性のベ−ス60は、環状壁65と、
その環状壁65の下端部から外側に広がる円周シ−ルフ
ランジ68とによって形成された上部円筒形凹み(re
cess)66を含む。シ−ルフランジ68は、その上
に環状の上方に延びるリップ(lip)70と、そのリ
ップから絶縁性のベ−ス60のエッジまで広がる環状シ
−ル面71とを含む。導電部材62は、凹み66の中に
受容されており、またペデスタル63は、その上面に取
り付けられた静電チャック64と共に、導電部材62に
ボルト止め或いは他の方法でしっかりと取り付けられて
いる。可動壁18が、第一の区画12内でウエハ8の処
理のために出っ張った位置に置かれているときには、環
状壁65は、第一の区画12及び開口部16から部分的
に内側に延びており、またウエハ8は図2に示されるよ
うに静電チャック64の上に受容されている。絶縁性の
ベ−ス60の係合をベ−ス20の下側に対してシ−ルす
るために、ダブテ−ル形状(dovetail pro
file)を有するシ−ル溝21がベ−ス20の下側に
設けられており、開口部16の周囲に広がっており、ま
た図2に一番よく示されているように、Oリング或いは
他の形のシ−ル25がその中に受容されている。
ve)ベ−ス60は、ステム44の上端部44a上に受
容されており、可動壁18が図5に示されるように一番
延びだした位置にあるとき、一部が開口部16内に受容
されておりまた一部が開口部16に隣接した第一の区画
12のベ−ス20の下側に対して受容されるように形成
された円筒状の(cylindrical)部材とする
のが好ましい。絶縁性のベ−ス60は、環状壁65と、
その環状壁65の下端部から外側に広がる円周シ−ルフ
ランジ68とによって形成された上部円筒形凹み(re
cess)66を含む。シ−ルフランジ68は、その上
に環状の上方に延びるリップ(lip)70と、そのリ
ップから絶縁性のベ−ス60のエッジまで広がる環状シ
−ル面71とを含む。導電部材62は、凹み66の中に
受容されており、またペデスタル63は、その上面に取
り付けられた静電チャック64と共に、導電部材62に
ボルト止め或いは他の方法でしっかりと取り付けられて
いる。可動壁18が、第一の区画12内でウエハ8の処
理のために出っ張った位置に置かれているときには、環
状壁65は、第一の区画12及び開口部16から部分的
に内側に延びており、またウエハ8は図2に示されるよ
うに静電チャック64の上に受容されている。絶縁性の
ベ−ス60の係合をベ−ス20の下側に対してシ−ルす
るために、ダブテ−ル形状(dovetail pro
file)を有するシ−ル溝21がベ−ス20の下側に
設けられており、開口部16の周囲に広がっており、ま
た図2に一番よく示されているように、Oリング或いは
他の形のシ−ル25がその中に受容されている。
【0027】処理工程中は、可動壁18は今説明したよ
うに一番出っ張った位置にあり、区画12をシ−ルしな
がらウエハ8を第一の区画12内に支持している。この
ようにしてウエハ8と可動壁18の部分の両方を、第一
の区画12内に維持されているプロセス環境にさらすこ
とができる。可動壁18の露出した部分をプロセス環境
から保護するために、シュラウド72が、シ−ルフラン
ジ68から環状壁65の外側表面を被って上方向に延び
ており、ペデスタル63の上方外側エッジ内に配設され
た環状溝73内で、ペデスタル63の外側エッジの内側
で終わっている。
うに一番出っ張った位置にあり、区画12をシ−ルしな
がらウエハ8を第一の区画12内に支持している。この
ようにしてウエハ8と可動壁18の部分の両方を、第一
の区画12内に維持されているプロセス環境にさらすこ
とができる。可動壁18の露出した部分をプロセス環境
から保護するために、シュラウド72が、シ−ルフラン
ジ68から環状壁65の外側表面を被って上方向に延び
ており、ペデスタル63の上方外側エッジ内に配設され
た環状溝73内で、ペデスタル63の外側エッジの内側
で終わっている。
【0028】ウエハを可動壁に適切に固定するために、
またウエハ8を許容できる温度に維持するために、また
プラズマエッチングをする場合には、電力を供給し第一
の区画12内のガスを加勢して(energize)プ
ラズマにするために、可動壁18には異なるユ−ティリ
ティが供給されなければならない。典型的には、そのユ
−ティリティは冷却剤(coolants)、及び静電
チャック及び/または補助電気抵抗ヒ−タのための電力
とを含む。或いはその代わりに、ウエハ8の真空チャッ
クのために真空ラインが可動壁18まで伸延する。好ま
しくは、可動壁18へのユ−ティリティ用接続は、米国
特許第5228501号、テップマン(Tepman)
他、に開示されているように、ステム44の中空部の内
側を通して提供される。
またウエハ8を許容できる温度に維持するために、また
プラズマエッチングをする場合には、電力を供給し第一
の区画12内のガスを加勢して(energize)プ
ラズマにするために、可動壁18には異なるユ−ティリ
ティが供給されなければならない。典型的には、そのユ
−ティリティは冷却剤(coolants)、及び静電
チャック及び/または補助電気抵抗ヒ−タのための電力
とを含む。或いはその代わりに、ウエハ8の真空チャッ
クのために真空ラインが可動壁18まで伸延する。好ま
しくは、可動壁18へのユ−ティリティ用接続は、米国
特許第5228501号、テップマン(Tepman)
他、に開示されているように、ステム44の中空部の内
側を通して提供される。
【0029】チャンバ10が、エッチング用のチャンバ
として形成されている場合には、ウエハ8及び可動壁1
8は、典型的には、エッチイングプラズマからウエハ8
に伝達される熱を除去するために冷却されなければなら
ない。それ故、エッチングに応用する場合には、導電部
材64は水のような冷却剤が流される冷却路67を備え
るのが好ましく、また静電チャック64は複数の冷却剤
溝(図示せず)を備えている。そしてその冷却剤溝には
裏側冷却用のガスがガス供給構造(supply ar
rangement)69から流され、絶縁性のベ−ス
60、導電部材62及びペデスタル63を通過する。図
1に示される本発明の実施例においては、冷却剤溝は、
複数の円形溝及び少なくとも一本の半径方向分配溝を導
電部材62の下側に機械加工し、またプレ−ト76であ
ってそれを貫通して延びる冷却剤供給穴及び冷却剤戻り
穴を有するプレ−ト76を、導電部材62の下側に固定
する(fixing)ことによって提供される。本発明
に有用な従来からある冷却チャンネル及び裏側ガス分配
システムは、米国特許第4842683号、チェン(C
heng)他、に開示されている。以上述べたように、
これらの構造のためのユ−ティリティを供給するガス及
び冷却剤ラインはステム44を貫通して延びている。
として形成されている場合には、ウエハ8及び可動壁1
8は、典型的には、エッチイングプラズマからウエハ8
に伝達される熱を除去するために冷却されなければなら
ない。それ故、エッチングに応用する場合には、導電部
材64は水のような冷却剤が流される冷却路67を備え
るのが好ましく、また静電チャック64は複数の冷却剤
溝(図示せず)を備えている。そしてその冷却剤溝には
裏側冷却用のガスがガス供給構造(supply ar
rangement)69から流され、絶縁性のベ−ス
60、導電部材62及びペデスタル63を通過する。図
1に示される本発明の実施例においては、冷却剤溝は、
複数の円形溝及び少なくとも一本の半径方向分配溝を導
電部材62の下側に機械加工し、またプレ−ト76であ
ってそれを貫通して延びる冷却剤供給穴及び冷却剤戻り
穴を有するプレ−ト76を、導電部材62の下側に固定
する(fixing)ことによって提供される。本発明
に有用な従来からある冷却チャンネル及び裏側ガス分配
システムは、米国特許第4842683号、チェン(C
heng)他、に開示されている。以上述べたように、
これらの構造のためのユ−ティリティを供給するガス及
び冷却剤ラインはステム44を貫通して延びている。
【0030】チャンバ10が、化学蒸着或いは物理蒸着
に用いられる場合には、加熱エレメント(図示せず)が
導電部材62の中に置かれており、ウエハ8を加熱し、
堆積されたフィルム層の特性(properties)
及び分布(distribution)を高進する(e
nhance)。本発明に使用するのに適したそのよう
なヒ−タ構造は、米国特許5228501号、テップマ
ン(Tepman)他、に示された電気抵抗ヒ−タ構造
である。繰り返すが、このヒ−タのための動力接続は、
動力ケ−ブル(図示せず)をステム44の中空部の内側
を貫通して伸延させることによって提供するのが好まし
い。
に用いられる場合には、加熱エレメント(図示せず)が
導電部材62の中に置かれており、ウエハ8を加熱し、
堆積されたフィルム層の特性(properties)
及び分布(distribution)を高進する(e
nhance)。本発明に使用するのに適したそのよう
なヒ−タ構造は、米国特許5228501号、テップマ
ン(Tepman)他、に示された電気抵抗ヒ−タ構造
である。繰り返すが、このヒ−タのための動力接続は、
動力ケ−ブル(図示せず)をステム44の中空部の内側
を貫通して伸延させることによって提供するのが好まし
い。
【0031】図6を参照すると、静電チャック64に電
気動力を供給するために、ストラップ120が、静電チ
ャック64からペデスタル63の穴(apertur
e)118を貫通して布設されており、ここでそのスト
ラップはペデスタル63の下側に接着剤によって取り付
けられている。このストラップ120は、導電部材62
を通して延びるピンコネクタボア(bore)内に配設
されたピンコネクタ122に接続されており、ここでピ
ンコネクタ122は、その中に受容され、ストラップ1
20の導電部分と接触したコンタクトピン123を含
む。動力供給線125は、ステム44(図6には図示せ
ず)の中空部内側とピンコネクタ122に電気動力を供
給するための絶縁性のベ−ス60内の穴とを通して延び
ている。静電チャック64は、誘電性の層130、13
2内に包まれた中央の薄いフィルム状導電性コア134
を有する薄いフレキシブルな平坦な(planar)部
材であるのが好ましく、ストラップ120は、そのコア
134及び静電層130、132の延長(extens
ion)であるのが好ましい。ピンコネクタ122とス
トラップ120との間に連続的な電気接触を与えるため
に、ストラップ120の誘電層130は、コア134の
一部を露出するように除去され、この露出された部分
は、ピンコネクタ122の接触部(contact)1
23と一致するようにする。ペデスタル63の穴118
は、第一の区画から大気への、またウエハの裏側から導
電部材64とペデスタル63との間の空間への潜在的漏
洩路となる。それ故、シ−ルリング127が、導電部材
62のピンコネクタ122及びペデスタル63と導電部
材62との界面において導電部材を貫通する穴118と
の両方の回りにぐるりと布設されており、また第二のシ
−ルリング129が、ピンコネクタボアの外側周辺に配
設されており、ストラップ120付きのペデスタル63
の下側と導電部材62とをピンコネクタボアの周辺にお
いてシ−ルしている。
気動力を供給するために、ストラップ120が、静電チ
ャック64からペデスタル63の穴(apertur
e)118を貫通して布設されており、ここでそのスト
ラップはペデスタル63の下側に接着剤によって取り付
けられている。このストラップ120は、導電部材62
を通して延びるピンコネクタボア(bore)内に配設
されたピンコネクタ122に接続されており、ここでピ
ンコネクタ122は、その中に受容され、ストラップ1
20の導電部分と接触したコンタクトピン123を含
む。動力供給線125は、ステム44(図6には図示せ
ず)の中空部内側とピンコネクタ122に電気動力を供
給するための絶縁性のベ−ス60内の穴とを通して延び
ている。静電チャック64は、誘電性の層130、13
2内に包まれた中央の薄いフィルム状導電性コア134
を有する薄いフレキシブルな平坦な(planar)部
材であるのが好ましく、ストラップ120は、そのコア
134及び静電層130、132の延長(extens
ion)であるのが好ましい。ピンコネクタ122とス
トラップ120との間に連続的な電気接触を与えるため
に、ストラップ120の誘電層130は、コア134の
一部を露出するように除去され、この露出された部分
は、ピンコネクタ122の接触部(contact)1
23と一致するようにする。ペデスタル63の穴118
は、第一の区画から大気への、またウエハの裏側から導
電部材64とペデスタル63との間の空間への潜在的漏
洩路となる。それ故、シ−ルリング127が、導電部材
62のピンコネクタ122及びペデスタル63と導電部
材62との界面において導電部材を貫通する穴118と
の両方の回りにぐるりと布設されており、また第二のシ
−ルリング129が、ピンコネクタボアの外側周辺に配
設されており、ストラップ120付きのペデスタル63
の下側と導電部材62とをピンコネクタボアの周辺にお
いてシ−ルしている。
【0032】再び図1及び図3から図5を参照すると、
可動壁18は、第一の区画12と第二の区画14との間
を完全にシ−ルしなければならない。それ故、可動壁1
8は一体の(solid)シ−ルされた部材であるのが
好ましい。中間ウエハサポ−ト50(図3から図5に示
されている)が、馬蹄形部材として形成されている場合
には、可動壁18はこの馬蹄形部材を通過して基板を拾
い上げ或いは外す(disgorge)のであるが、可
動壁18を通る唯一の接続は、静電チャック64の電気
供給用のシ−ルされた接続である。しかしながら、好ま
しい中間ウエハサポ−ト50は、ロボットブレ−ド9か
ら可動壁18の上にウエハ8を移送するのを助けるため
に可動壁18を通して選択的に伸延するサポ−トピン8
0の使用を要し、また静電チャック動力接続、ヒ−タ動
力供給(使用する場合)冷却剤接続、導電部材62を陰
極(図示せず)としてバイアスをかけるための動力接続
及び裏側冷却ガス接続が、第一の区画12と第二の区画
14との間に潜在的な漏洩路を与える。ステム44を通
して、可動壁18のための電力、ガス及び冷却剤接続を
与えることによって、これらの接続を通す漏洩路はどの
ようなものも、チャンバ10の外側と第一の区画12と
の間を連通させる。更には、ピンコンダクタボア、及び
ピンコネクタボアとストラップ穴118の両方の回りの
導電部材62とペデスタル63の界面のシ−リングは、
ストラップ穴118を通す漏洩を防止する。しかしなが
ら、ピン80が伸延する可動壁18の穴は、第一の区画
12と第二の区画14との間の潜在的直接的漏洩路を提
供する。それ故、ピン80は可動壁18内でシ−ルでき
なければならない。
可動壁18は、第一の区画12と第二の区画14との間
を完全にシ−ルしなければならない。それ故、可動壁1
8は一体の(solid)シ−ルされた部材であるのが
好ましい。中間ウエハサポ−ト50(図3から図5に示
されている)が、馬蹄形部材として形成されている場合
には、可動壁18はこの馬蹄形部材を通過して基板を拾
い上げ或いは外す(disgorge)のであるが、可
動壁18を通る唯一の接続は、静電チャック64の電気
供給用のシ−ルされた接続である。しかしながら、好ま
しい中間ウエハサポ−ト50は、ロボットブレ−ド9か
ら可動壁18の上にウエハ8を移送するのを助けるため
に可動壁18を通して選択的に伸延するサポ−トピン8
0の使用を要し、また静電チャック動力接続、ヒ−タ動
力供給(使用する場合)冷却剤接続、導電部材62を陰
極(図示せず)としてバイアスをかけるための動力接続
及び裏側冷却ガス接続が、第一の区画12と第二の区画
14との間に潜在的な漏洩路を与える。ステム44を通
して、可動壁18のための電力、ガス及び冷却剤接続を
与えることによって、これらの接続を通す漏洩路はどの
ようなものも、チャンバ10の外側と第一の区画12と
の間を連通させる。更には、ピンコンダクタボア、及び
ピンコネクタボアとストラップ穴118の両方の回りの
導電部材62とペデスタル63の界面のシ−リングは、
ストラップ穴118を通す漏洩を防止する。しかしなが
ら、ピン80が伸延する可動壁18の穴は、第一の区画
12と第二の区画14との間の潜在的直接的漏洩路を提
供する。それ故、ピン80は可動壁18内でシ−ルでき
なければならない。
【0033】図3及び図7を参照すると、ピン80と共
に、中間ウエハサポ−ト50の好ましい形態が示されて
いる。本発明の好ましい実施例においては、中間サポ−
ト部材50は、可動壁18内のボア即ち穴82に受容さ
れた複数のサポ−トピン80(図7には一つだけ示され
ている)及び可動壁18と第二の区画14のベ−スとの
間に受容されたピンアクチュエ−タ84(図3にはその
全長が示されている)を含む。ピンアクチュエ−タ84
はピン80及び可動壁18と協働して、ピン80を可動
壁18から延び出させ、ウエハ8を図3に示されるよう
に可動壁18の両方に、且つピン80の上に支持し、次
にピン80を可動壁18の内側に引っ込ませ、図5に示
されるようにウエハ8を静電チャック64の上に置く。
に、中間ウエハサポ−ト50の好ましい形態が示されて
いる。本発明の好ましい実施例においては、中間サポ−
ト部材50は、可動壁18内のボア即ち穴82に受容さ
れた複数のサポ−トピン80(図7には一つだけ示され
ている)及び可動壁18と第二の区画14のベ−スとの
間に受容されたピンアクチュエ−タ84(図3にはその
全長が示されている)を含む。ピンアクチュエ−タ84
はピン80及び可動壁18と協働して、ピン80を可動
壁18から延び出させ、ウエハ8を図3に示されるよう
に可動壁18の両方に、且つピン80の上に支持し、次
にピン80を可動壁18の内側に引っ込ませ、図5に示
されるようにウエハ8を静電チャック64の上に置く。
【0034】図7に最も判りやすく示されているよう
に、ピン80の各々は、下部の太い直径のステム86
と、上部の細い直径のステム88及び中間フランジ90
を含む。可動壁18内の先に述べたボア82は、可動壁
18内でピン80の制約された垂直方向の運動を許すよ
うに形成されている。各ボア82は、可動壁18の絶縁
ベ−ス60を通して延びており、太い直径のステム86
を受容する小さい直径の部分92と、導電部材62を通
して延びており、中間フランジ90が受容される大きい
直径の部分94と、静電チャック64を通して延びてお
り、小さい直径のステム88が受容される上部96とを
含む。小さい直径の部分92と大きい直径の部分94の
交差部(intersection)は、環状シ−ル棚
(ledge)95を形成する。ボア82の部分92、
94及び96の相対的なサイズが、ボア82内の中間フ
ランジ90の垂直方向の動きを制限し、従ってピン80
のト−タルの垂直方向の動きを制限する。ボア82を選
択的にシ−ルするために、シ−ル溝91が中間フランジ
90の下側の内側に広がっており、Oリングシ−ルのよ
うなシ−ルリング93がシ−ル溝91内に配設されてお
り、ピン80が可動壁18内に引っ込んでいるときに、
環状シ−ル棚95に対してシ−ルする。
に、ピン80の各々は、下部の太い直径のステム86
と、上部の細い直径のステム88及び中間フランジ90
を含む。可動壁18内の先に述べたボア82は、可動壁
18内でピン80の制約された垂直方向の運動を許すよ
うに形成されている。各ボア82は、可動壁18の絶縁
ベ−ス60を通して延びており、太い直径のステム86
を受容する小さい直径の部分92と、導電部材62を通
して延びており、中間フランジ90が受容される大きい
直径の部分94と、静電チャック64を通して延びてお
り、小さい直径のステム88が受容される上部96とを
含む。小さい直径の部分92と大きい直径の部分94の
交差部(intersection)は、環状シ−ル棚
(ledge)95を形成する。ボア82の部分92、
94及び96の相対的なサイズが、ボア82内の中間フ
ランジ90の垂直方向の動きを制限し、従ってピン80
のト−タルの垂直方向の動きを制限する。ボア82を選
択的にシ−ルするために、シ−ル溝91が中間フランジ
90の下側の内側に広がっており、Oリングシ−ルのよ
うなシ−ルリング93がシ−ル溝91内に配設されてお
り、ピン80が可動壁18内に引っ込んでいるときに、
環状シ−ル棚95に対してシ−ルする。
【0035】ここで図3、4、5を参照すると、ピンア
クチュエ−タ84は、第二のエンクロ−ジャ14のスペ
−スの外側に配設されたピン駆動部材99と、第二のエ
ンクロ−ジャ14のベ−ス内のシ−ルされた接続を通し
て延びる駆動軸98と、可動壁18と第二のエンクロ−
ジャ14のベ−スとの中間に置かれたピン位置決めプレ
−ト100とを含む。ベロ−ズも駆動軸98の回りに備
えられ、それは第二の区画14のベ−スからピン位置決
めプレ−ト100の下側まで延びており、第二の区画1
4のベ−スを貫通する駆動軸98のシ−ルされた接続を
更にシ−ルしており、また第二の区画14内に受容され
た駆動軸98の部分の回りに防護壁(protecti
ve barrier)を提供している。ピン駆動部材
99は、液体圧或いは気体圧シリンダであってもよい
し、回転する案内(lead)ネジ状の案内ナットであ
ってもよいし、ウォ−ム駆動装置であってもよいし、第
一のエンクロージャ14内の種々の垂直方向位置にピン
位置決めプレ−ト100を位置決めすることのできる他
の装置であってもよい。
クチュエ−タ84は、第二のエンクロ−ジャ14のスペ
−スの外側に配設されたピン駆動部材99と、第二のエ
ンクロ−ジャ14のベ−ス内のシ−ルされた接続を通し
て延びる駆動軸98と、可動壁18と第二のエンクロ−
ジャ14のベ−スとの中間に置かれたピン位置決めプレ
−ト100とを含む。ベロ−ズも駆動軸98の回りに備
えられ、それは第二の区画14のベ−スからピン位置決
めプレ−ト100の下側まで延びており、第二の区画1
4のベ−スを貫通する駆動軸98のシ−ルされた接続を
更にシ−ルしており、また第二の区画14内に受容され
た駆動軸98の部分の回りに防護壁(protecti
ve barrier)を提供している。ピン駆動部材
99は、液体圧或いは気体圧シリンダであってもよい
し、回転する案内(lead)ネジ状の案内ナットであ
ってもよいし、ウォ−ム駆動装置であってもよいし、第
一のエンクロージャ14内の種々の垂直方向位置にピン
位置決めプレ−ト100を位置決めすることのできる他
の装置であってもよい。
【0036】引き続き図3、4、5を参照すると、ピン
80とピンアクチュエ−タ84の働き及び協働する状況
が示されている。先ず図3を参照すると、可動壁18及
びその中のピン80が示されており、サポ−トブレ−ド
9によるチャンバ10内におけるウエハ8の載置の状況
或いはサポ−トブレ−ド9によるチャンバ10からのウ
エハ8の移動状況が示されている。この位置では、ピン
位置決めプレ−ト100はピン駆動部材99によって第
二の区画14のベ−スまで完全に引っ込められており、
可動壁18は、スリットバルブ6が第二のエンクロ−ジ
ャ14を通して広がる位置よりも下にまで引っ込められ
ている。この引っ込んだ位置に可動壁18及びピン位置
決めプレ−ト100があるときには、ピン80の上端と
可動壁18の上面は、スリットバルブ6よりも下に位置
しており、ロボットブレ−ド9が自由に第二の区画14
の内側外側に動き図3に示すようにウエハを可動壁18
の上に置くことができるようになっている。
80とピンアクチュエ−タ84の働き及び協働する状況
が示されている。先ず図3を参照すると、可動壁18及
びその中のピン80が示されており、サポ−トブレ−ド
9によるチャンバ10内におけるウエハ8の載置の状況
或いはサポ−トブレ−ド9によるチャンバ10からのウ
エハ8の移動状況が示されている。この位置では、ピン
位置決めプレ−ト100はピン駆動部材99によって第
二の区画14のベ−スまで完全に引っ込められており、
可動壁18は、スリットバルブ6が第二のエンクロ−ジ
ャ14を通して広がる位置よりも下にまで引っ込められ
ている。この引っ込んだ位置に可動壁18及びピン位置
決めプレ−ト100があるときには、ピン80の上端と
可動壁18の上面は、スリットバルブ6よりも下に位置
しており、ロボットブレ−ド9が自由に第二の区画14
の内側外側に動き図3に示すようにウエハを可動壁18
の上に置くことができるようになっている。
【0037】一旦ウエハ8が第二の区画14内で可動壁
18上に置かれると、ピン駆動部材99がピン位置決め
プレ−ト100を第二の区画14の内側方向に動かし、
図4に示される位置まで上方向にピン80を動かす。そ
してそれによってウエハ8をロボットブレ−ド9から持
ち上げピン80の上に載せる。次に、ウエハ8が可動壁
18の上方でピン80上に支えられているとき、サポ−
トブレ−ド9は第二のエンクロ−ジャ14から引っ込
む。一旦サポ−トブレ−ド9が第二のエンクロ−ジャ1
4から抜き出されると、可動壁18はピン80から上方
向に動く。このときピン位置決めプレ−ト100は静止
しておりまたそれ故ピン80も静止している。そして、
可動壁18が第二の区画14内で上方向に動くにつれ
て、ピン80は可動壁18の中に引っ込む。次に可動壁
18は、図5に示されるように最も出っ張った位置まで
動き続け、ウエハ8を処理のために第一の区画12内に
置く。一旦ウエハ8が、可動壁18の一番上の表面を形
成する静電チャック64上に落ち着くと、ピン80は自
分自身の重量により、ピンボア82の底に向かって下に
動き、可動壁18内の穴82の中に完全に引っ込む。ま
た、ピン80が可動壁18内に引っ込んだ状態では、ピ
ンフランジ90の下側にあるシ−ル93が図7に示され
るようなボア82内の環状シ−ルフランジ95に係合
し、ピンボア82をシ−ルする。ピン80は、第一の区
画12と第二の区画14内にある僅かな圧力差にもかか
わらず、ピンボア82内で環状シ−ルフランジ95に対
してシ−ルするに十分な質量を有する。このようにし
て、ボア82内のシ−ル93は、絶縁ベ−ス60のシ−
ルフランジ68のシ−ル面71と共にベ−ス20の下側
に受容されたシ−ル25の係合及びストラップ穴118
のシ−ルと一緒になって、可動壁が図5に示されるよう
に出っ張った位置にあるとき、二つの区画12、14の
間を完全にシ−ルする。更に、第一の区画のベ−ス20
の下側は、シ−ル溝21と開口部16との間にある環状
の凹み23を含む。このようにして、可動壁18が第一
の区画12のベ−ス20の下側に当たった状態で置かれ
ているとき、可動壁18から上方向に延びる環状リップ
70は第一の区画12の環状の凹み23の中に入り込ん
でおり、シ−ル25を開口部16から分離し、そしてそ
れによって、第一のエンクロ−ジャ12からシ−ルフラ
ンジ68に向かって下方向に落ち得る粒子或いは他の汚
染物質がシ−ル25と接触するに至るのを防止する。
18上に置かれると、ピン駆動部材99がピン位置決め
プレ−ト100を第二の区画14の内側方向に動かし、
図4に示される位置まで上方向にピン80を動かす。そ
してそれによってウエハ8をロボットブレ−ド9から持
ち上げピン80の上に載せる。次に、ウエハ8が可動壁
18の上方でピン80上に支えられているとき、サポ−
トブレ−ド9は第二のエンクロ−ジャ14から引っ込
む。一旦サポ−トブレ−ド9が第二のエンクロ−ジャ1
4から抜き出されると、可動壁18はピン80から上方
向に動く。このときピン位置決めプレ−ト100は静止
しておりまたそれ故ピン80も静止している。そして、
可動壁18が第二の区画14内で上方向に動くにつれ
て、ピン80は可動壁18の中に引っ込む。次に可動壁
18は、図5に示されるように最も出っ張った位置まで
動き続け、ウエハ8を処理のために第一の区画12内に
置く。一旦ウエハ8が、可動壁18の一番上の表面を形
成する静電チャック64上に落ち着くと、ピン80は自
分自身の重量により、ピンボア82の底に向かって下に
動き、可動壁18内の穴82の中に完全に引っ込む。ま
た、ピン80が可動壁18内に引っ込んだ状態では、ピ
ンフランジ90の下側にあるシ−ル93が図7に示され
るようなボア82内の環状シ−ルフランジ95に係合
し、ピンボア82をシ−ルする。ピン80は、第一の区
画12と第二の区画14内にある僅かな圧力差にもかか
わらず、ピンボア82内で環状シ−ルフランジ95に対
してシ−ルするに十分な質量を有する。このようにし
て、ボア82内のシ−ル93は、絶縁ベ−ス60のシ−
ルフランジ68のシ−ル面71と共にベ−ス20の下側
に受容されたシ−ル25の係合及びストラップ穴118
のシ−ルと一緒になって、可動壁が図5に示されるよう
に出っ張った位置にあるとき、二つの区画12、14の
間を完全にシ−ルする。更に、第一の区画のベ−ス20
の下側は、シ−ル溝21と開口部16との間にある環状
の凹み23を含む。このようにして、可動壁18が第一
の区画12のベ−ス20の下側に当たった状態で置かれ
ているとき、可動壁18から上方向に延びる環状リップ
70は第一の区画12の環状の凹み23の中に入り込ん
でおり、シ−ル25を開口部16から分離し、そしてそ
れによって、第一のエンクロ−ジャ12からシ−ルフラ
ンジ68に向かって下方向に落ち得る粒子或いは他の汚
染物質がシ−ル25と接触するに至るのを防止する。
【0038】図8には、本発明のクラスタ具(clus
ter tool)装置(implementatio
n)の単純化された見取図が示されている。このクラス
タ具200は、複数のチャンバ10a−cを含み、その
チャンバの各々は上で述べた処理チャンバ10と同一で
ある。各々は、対応するロ−ドロック(loadloc
ks)202a−cを通して、トランスファチャンバ2
04に連結されている。ロ−ドロック202a−cの各
々は、区画化されたプロセスチャンバ10a−cの各々
のそれぞれのチャンバスリットバルブ6(図8には図示
せず)と芯が合っている。トランスファチャンバ204
もまた、ロ−ドロック202dを通して、ロ−ドロック
チャンバ206に連結されている。
ter tool)装置(implementatio
n)の単純化された見取図が示されている。このクラス
タ具200は、複数のチャンバ10a−cを含み、その
チャンバの各々は上で述べた処理チャンバ10と同一で
ある。各々は、対応するロ−ドロック(loadloc
ks)202a−cを通して、トランスファチャンバ2
04に連結されている。ロ−ドロック202a−cの各
々は、区画化されたプロセスチャンバ10a−cの各々
のそれぞれのチャンバスリットバルブ6(図8には図示
せず)と芯が合っている。トランスファチャンバ204
もまた、ロ−ドロック202dを通して、ロ−ドロック
チャンバ206に連結されている。
【0039】個々の区画化されたプロセスチャンバ10
a−cの各々及びクラスタ具200のトランスファチャ
ンバ204は、ウエハの処理中、真空圧に維持するのが
好ましい。好ましくは、トランスファチャンバ204内
の圧力は、区画化されたプロセスチャンバ10a−cの
いずれにおける圧力とも独立して維持される。それはこ
のトランスファチャンバ204のための真空ポンプ(図
示せず)を提供することによって行われる。更には、ロ
−ドロックチャンバ206は、基板をクラスタ具200
内に装填するために、スリットバルブ或いは他のゲ−ト
装置(図示せず)によってトランスファチャンバから隔
離されている間、大気に開かれており、次に特定用途の
ための(dedicated)真空ポンプ(図示せず)
によって許容できる真空圧にまで排気される。
a−cの各々及びクラスタ具200のトランスファチャ
ンバ204は、ウエハの処理中、真空圧に維持するのが
好ましい。好ましくは、トランスファチャンバ204内
の圧力は、区画化されたプロセスチャンバ10a−cの
いずれにおける圧力とも独立して維持される。それはこ
のトランスファチャンバ204のための真空ポンプ(図
示せず)を提供することによって行われる。更には、ロ
−ドロックチャンバ206は、基板をクラスタ具200
内に装填するために、スリットバルブ或いは他のゲ−ト
装置(図示せず)によってトランスファチャンバから隔
離されている間、大気に開かれており、次に特定用途の
ための(dedicated)真空ポンプ(図示せず)
によって許容できる真空圧にまで排気される。
【0040】区画化された処理チャンバ10a−c内で
基板を処理する間、区画化された処理チャンバ10a−
cのスリットバルブ6(図1には図示せず)は閉止位置
に維持するのが好ましい。スリットバルブ6を閉止位置
に維持することによって、トランスファチャンバ204
内のガスは第二の区画14(図1には図示せず)の中に
移動し或いは流れ込むことができず、チャンバ10a−
cの第二の区画14内の圧力は、第一の区画12内の圧
力よりも低く維持され、区画化されたチャンバ10a−
cがエッチング用のチャンバとして形成されている場
合、第二の区画14内にプラズマが生じないように確実
にすることができる。しかしながら、トランスファチャ
ンバ204が、区画化されたチャンバ10a−c内に維
持されたプロセス圧力に関して、十分低い圧力に維持さ
れるならば、そのときはスリットバルブ6はクラスタ具
200を使用している間ずっと開いたままにしておいて
よく、そしてそれらは第二の区画12の交換の間だけ閉
じることを要する。
基板を処理する間、区画化された処理チャンバ10a−
cのスリットバルブ6(図1には図示せず)は閉止位置
に維持するのが好ましい。スリットバルブ6を閉止位置
に維持することによって、トランスファチャンバ204
内のガスは第二の区画14(図1には図示せず)の中に
移動し或いは流れ込むことができず、チャンバ10a−
cの第二の区画14内の圧力は、第一の区画12内の圧
力よりも低く維持され、区画化されたチャンバ10a−
cがエッチング用のチャンバとして形成されている場
合、第二の区画14内にプラズマが生じないように確実
にすることができる。しかしながら、トランスファチャ
ンバ204が、区画化されたチャンバ10a−c内に維
持されたプロセス圧力に関して、十分低い圧力に維持さ
れるならば、そのときはスリットバルブ6はクラスタ具
200を使用している間ずっと開いたままにしておいて
よく、そしてそれらは第二の区画12の交換の間だけ閉
じることを要する。
【0041】プロセス環境が維持される第一の区画12
と中間ウエハサポ−ト50が配備されている第二の区画
14とを含むようなチャンバ10の配置は、先行技術の
チャンバの構成を清掃するための定期修理(turna
round)時間と比べて、チャンバを清掃するための
定期修理時間において著しい改善を可能とする。例え
ば、第一の区画12を、清浄な事前にベ−クアウトされ
た第一の区画12と迅速に交換することのできる能力
は、チャンバ清掃のための休止時間を著しく低減する。
加うるに、可動壁18が掃除されるとき或いは第一の区
画12が取り外すことなく清掃されなければならない場
合に、清掃用材料或いはプロセスは、単に可動壁18を
その一番延びきった位置に動かすことによって、第一の
区画12内に維持することができる。プラズマにさらさ
れそしてそれ故に清掃の必要がある可動壁の部分は、第
二の区画内に置かれる。このようにして、第二の区画1
4の表面に接触する水や他の清掃用材料がゼロ或いは最
小限になる。絞り用ポペットバルブ34から分離してポ
ンプ32を修理できるようにする絞り用ポペットバルブ
34の位置は、同様にチャンバ清掃に関わる休止時間を
低減する。更に、絶縁ベ−ス60、シ−ルド72及び静
電チャック64は、水を吸収しない材料で製造するのが
好ましく、それによってこれらの部品が清掃後ベ−クア
ウトする必要がなくなる。それ故、チャンバ10を清掃
しそれを生産に戻すためのサイクル時間は、8時間以上
かかったものを、可動壁18を清掃し、第一の区画12
を元の場所に戻し、第一の区画12への接続を回復する
のに必要な時間にまで短縮される。更に、スリットバル
ブ6を第二の区画14の壁を通して置くことによって、
スリットバルブ6はプロセス環境にさらされることから
保護される。それ故、スリットバルブ6は先行技術のチ
ャンバで必要であったようには、定期的な清掃を要しな
い。加うるに、可動壁18が第二のエンクロ−ジャ14
をプロセス環境からシ−ルしているので、スリットバル
ブ6はウエハ8の処理中閉じる必要がない。特に、第一
の区画内で非エッチング環境(non−etch en
vironments)が走っている(run)ときに
は特にそうである。そして、閉じなければならないの
は、チャンバ10が清掃のために手入れをされていると
きだけである。このようにして、スリットバルブ6は先
行技術のチャンバよりも開閉回数を少なくすることがで
き、それ故、必要な手入れも最小限になる。また、スリ
ットバルブはプロセス環境にさらされておらず、先行技
術のチャンバの構造では必要であった定期的な清掃を要
しないので、トランスファチャンバ204を通してプロ
セスチャンバ10につなげられている他のチャンバは、
プロセスチャンバ10が清掃されている間休止する必要
がない。最後に、第二の区画14内にプロセス化学清浄
環境(process chemistry clea
n environment)を維持することによっ
て、第二の区画14、スリットバルブ8及び中間ウエハ
サポ−ト50、及び可動壁18用の駆動機構の寿命が延
びる。それは、これらの部品がプロセスガスやプラズマ
からの汚染物質や腐食性のアタックにさらされることが
ないからである。
と中間ウエハサポ−ト50が配備されている第二の区画
14とを含むようなチャンバ10の配置は、先行技術の
チャンバの構成を清掃するための定期修理(turna
round)時間と比べて、チャンバを清掃するための
定期修理時間において著しい改善を可能とする。例え
ば、第一の区画12を、清浄な事前にベ−クアウトされ
た第一の区画12と迅速に交換することのできる能力
は、チャンバ清掃のための休止時間を著しく低減する。
加うるに、可動壁18が掃除されるとき或いは第一の区
画12が取り外すことなく清掃されなければならない場
合に、清掃用材料或いはプロセスは、単に可動壁18を
その一番延びきった位置に動かすことによって、第一の
区画12内に維持することができる。プラズマにさらさ
れそしてそれ故に清掃の必要がある可動壁の部分は、第
二の区画内に置かれる。このようにして、第二の区画1
4の表面に接触する水や他の清掃用材料がゼロ或いは最
小限になる。絞り用ポペットバルブ34から分離してポ
ンプ32を修理できるようにする絞り用ポペットバルブ
34の位置は、同様にチャンバ清掃に関わる休止時間を
低減する。更に、絶縁ベ−ス60、シ−ルド72及び静
電チャック64は、水を吸収しない材料で製造するのが
好ましく、それによってこれらの部品が清掃後ベ−クア
ウトする必要がなくなる。それ故、チャンバ10を清掃
しそれを生産に戻すためのサイクル時間は、8時間以上
かかったものを、可動壁18を清掃し、第一の区画12
を元の場所に戻し、第一の区画12への接続を回復する
のに必要な時間にまで短縮される。更に、スリットバル
ブ6を第二の区画14の壁を通して置くことによって、
スリットバルブ6はプロセス環境にさらされることから
保護される。それ故、スリットバルブ6は先行技術のチ
ャンバで必要であったようには、定期的な清掃を要しな
い。加うるに、可動壁18が第二のエンクロ−ジャ14
をプロセス環境からシ−ルしているので、スリットバル
ブ6はウエハ8の処理中閉じる必要がない。特に、第一
の区画内で非エッチング環境(non−etch en
vironments)が走っている(run)ときに
は特にそうである。そして、閉じなければならないの
は、チャンバ10が清掃のために手入れをされていると
きだけである。このようにして、スリットバルブ6は先
行技術のチャンバよりも開閉回数を少なくすることがで
き、それ故、必要な手入れも最小限になる。また、スリ
ットバルブはプロセス環境にさらされておらず、先行技
術のチャンバの構造では必要であった定期的な清掃を要
しないので、トランスファチャンバ204を通してプロ
セスチャンバ10につなげられている他のチャンバは、
プロセスチャンバ10が清掃されている間休止する必要
がない。最後に、第二の区画14内にプロセス化学清浄
環境(process chemistry clea
n environment)を維持することによっ
て、第二の区画14、スリットバルブ8及び中間ウエハ
サポ−ト50、及び可動壁18用の駆動機構の寿命が延
びる。それは、これらの部品がプロセスガスやプラズマ
からの汚染物質や腐食性のアタックにさらされることが
ないからである。
【0042】中間ウエハサポ−ト50を第二の区画14
の中に維持するということは、またプロセス区画の設計
変更を可能にする。即ちチャンバ10がプラズマエッチ
ング或いはスパッタリングのようなプラズマプロセスの
ために用いられる場合に、電場における歪み(dist
ortion)を低減するために、第一の区画12の設
計変更ができる。例えば、プラズマプロセス環境を支持
するために用いられる電場内に、そのすぐ近くに非対称
の中間ウエハサポ−ト50がないことにより、そうでな
ければチャンバ内に存在するはずの電場内の非対称性が
低減される。加うるに、第一の区画12は実質的に対称
形に作ることができる。それは例えば、壁、カバ−28
の内面及び垂直軸回りの可動壁18の表面のような第二
のエンクロ−ジャの表面に完全な対称性を与えることに
よってでき、非対称の中間ウエハサポ−ト50を補償す
る必要なしに、プラズマ中の電場歪みを低減する。この
ことは、排気オリフィス22をカバ−28の中央頂部領
域(central top area)を通して置く
ことにより達成でき、それによって図に示されるような
片持ち梁状に延びる排気オリフィス22を排除できる。
加うるに、カバ−28内に周辺チャンネル(circu
mferential channel)を形成するこ
とによってガスが供給される。このように第二のエンク
ロ−ジャ12を改変し、またステム44の長手方向の軸
に関して対称形である可動壁18を提供することによっ
て、第一の区画には対称形の空間(volume)が備
わり、その空間の基部(base)に、可動壁18及び
ウエハ8の回りのプロセスガス及び電場の一様な分布を
促す。
の中に維持するということは、またプロセス区画の設計
変更を可能にする。即ちチャンバ10がプラズマエッチ
ング或いはスパッタリングのようなプラズマプロセスの
ために用いられる場合に、電場における歪み(dist
ortion)を低減するために、第一の区画12の設
計変更ができる。例えば、プラズマプロセス環境を支持
するために用いられる電場内に、そのすぐ近くに非対称
の中間ウエハサポ−ト50がないことにより、そうでな
ければチャンバ内に存在するはずの電場内の非対称性が
低減される。加うるに、第一の区画12は実質的に対称
形に作ることができる。それは例えば、壁、カバ−28
の内面及び垂直軸回りの可動壁18の表面のような第二
のエンクロ−ジャの表面に完全な対称性を与えることに
よってでき、非対称の中間ウエハサポ−ト50を補償す
る必要なしに、プラズマ中の電場歪みを低減する。この
ことは、排気オリフィス22をカバ−28の中央頂部領
域(central top area)を通して置く
ことにより達成でき、それによって図に示されるような
片持ち梁状に延びる排気オリフィス22を排除できる。
加うるに、カバ−28内に周辺チャンネル(circu
mferential channel)を形成するこ
とによってガスが供給される。このように第二のエンク
ロ−ジャ12を改変し、またステム44の長手方向の軸
に関して対称形である可動壁18を提供することによっ
て、第一の区画には対称形の空間(volume)が備
わり、その空間の基部(base)に、可動壁18及び
ウエハ8の回りのプロセスガス及び電場の一様な分布を
促す。
【0043】プロセス環境が維持できる別の第一の区画
12、及び処理中に第一の区画12から隔離される第二
の区画14とを提供するためのチャンバ10の特定の形
態は、プロセス或いはチャンバの特定の要求に対処する
ように変えることができる。例えば、可動壁18は、開
口部16を選択的に開閉するために蝶つがい支持しても
よい(hinged)。別の形態においては、二つの区
画の位置関係は逆にしてもよく、そのようにすると下側
の区画がプロセス環境を受け入れ、可動壁18が下方向
に動き、両区画間の開口部をシ−ルする。同様に、それ
らの区画は、隣接した側壁を貫通する穴をもって並べて
(side by side)置いてもよく、そのよう
にすると、可動壁は水平方向に動き開口部をシ−ルする
ようになる。加うるに、ここに特に説明したプロセス以
外のプロセスも、多区画チャンバによる利益を享受でき
る。
12、及び処理中に第一の区画12から隔離される第二
の区画14とを提供するためのチャンバ10の特定の形
態は、プロセス或いはチャンバの特定の要求に対処する
ように変えることができる。例えば、可動壁18は、開
口部16を選択的に開閉するために蝶つがい支持しても
よい(hinged)。別の形態においては、二つの区
画の位置関係は逆にしてもよく、そのようにすると下側
の区画がプロセス環境を受け入れ、可動壁18が下方向
に動き、両区画間の開口部をシ−ルする。同様に、それ
らの区画は、隣接した側壁を貫通する穴をもって並べて
(side by side)置いてもよく、そのよう
にすると、可動壁は水平方向に動き開口部をシ−ルする
ようになる。加うるに、ここに特に説明したプロセス以
外のプロセスも、多区画チャンバによる利益を享受でき
る。
【0044】
【発明の効果】以上述べたように、本発明によれば、第
一の区画と第2の区画とを有するので、装置の分解修理
に要する時間が大幅に低減される。
一の区画と第2の区画とを有するので、装置の分解修理
に要する時間が大幅に低減される。
【図1】エッチングチャンバとして形成された本発明の
プロセスチャンバの部分断面斜視図である。
プロセスチャンバの部分断面斜視図である。
【図2】図1のプロセスチャンバの部分断面図である。
【図3】図1のプロセスチャンバの断面図である。
【図4】図1のプロセスチャンバの断面図であり、ウエ
ハ取扱い部材がチャンバ内にウエハを支持するように位
置付けられているところを示す図である。
ハ取扱い部材がチャンバ内にウエハを支持するように位
置付けられているところを示す図である。
【図5】図1のプロセスチャンバの断面図であり、可動
壁がプロセス環境をチャンバのある部分から隔離するよ
うに位置付けられた状態を示す図である。
壁がプロセス環境をチャンバのある部分から隔離するよ
うに位置付けられた状態を示す図である。
【図6】図1のチャンバの可動壁の部分断面図であり、
静電チャックのパワ−接続を示す図である。
静電チャックのパワ−接続を示す図である。
【図7】ウエハ支持ピンの好ましい形態を示す、図1の
チャンバの可動壁の部分断面図である。
チャンバの可動壁の部分断面図である。
【図8】本発明の複数の区画化された基板処理チャンバ
を利用するクラスタツ−ルの単純化した線図による上面
図である。
を利用するクラスタツ−ルの単純化した線図による上面
図である。
6…スリットバルブ、8…ウエハ、10…チャンバー、
12…第一の区画、14…第二の区画、18…可動壁、
16…開口部、20…ベース、22…排気オリフィス、
24…上部カバーフランジ、28…カバー、30…シー
ルリング、32…ポンプ、34…ポペットバルブ、37
…ゲートバルブ、40…プレート、45…ポンプ支持フ
ランジ、41…桶状ハウジング、43…搭載フランジ、
44…ステム、46…開口部、49…駆動部材、60…
ベース、62…導電部材、63…ペデスタル、64…静
電チャック、80…ピン、120…ストラップ、122
…ピンコネクタ、123…コンタクトピン。
12…第一の区画、14…第二の区画、18…可動壁、
16…開口部、20…ベース、22…排気オリフィス、
24…上部カバーフランジ、28…カバー、30…シー
ルリング、32…ポンプ、34…ポペットバルブ、37
…ゲートバルブ、40…プレート、45…ポンプ支持フ
ランジ、41…桶状ハウジング、43…搭載フランジ、
44…ステム、46…開口部、49…駆動部材、60…
ベース、62…導電部材、63…ペデスタル、64…静
電チャック、80…ピン、120…ストラップ、122
…ピンコネクタ、123…コンタクトピン。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェラルド ゼット. イン アメリカ合衆国, カリフォルニア州 95014, キュパティノ, ビリッチ プ レイス 10132 (72)発明者 ドナルド オルガド アメリカ合衆国, カリフォルニア州 94040, マウンテン ヴュー, シャワ ーズ ドライヴ ナンバーケー432 49
Claims (68)
- 【請求項1】 中に基板処理環境を維持するための第一
の区画であって、導電性部分を有する第一の区画と、 中に可動基板支持部材を有する第二の区画と、 前記第一の区画と前記第二の区画との間にある共通の開
口部であって、前記導電性部分を貫通しており、前記第
一の区画内の処理環境を隔離するために前記基板支持部
材で選択的にシ−ルすることのできる開口部とを備え、 前記第一の区画は取りはずし可能であり、付加的な第一
の区画と交換可能である、 基板処理用装置。 - 【請求項2】 前記第一の区画がワンピ−スの取りはず
し可能要素である、請求項1記載の装置。 - 【請求項3】 前記第一の区画と前記第二の区画とが共
通の壁を共有しており、前区共通の開口部が前記共通の
壁を通して広がっている、請求項1記載の装置。 - 【請求項4】 前記基板支持部材が、前記第一の区画に
維持される環境に前記第二の区画の内容物をさらすため
に引っ込んだ位置に置くことができ、前記基板支持部材
が前記共通の壁に接触し前記開口部を塞ぎ、前記第一の
区画を前記第二の区画から隔離するために出っ張った位
置に位置決めすることができる、請求項3記載の装置。 - 【請求項5】 前記第一と第二の区画に共通でありまた
前記第一の区画のための排気通路を画成している延長部
材であって、終端にポンプ開口部とバルブ開口部とを有
し、前記ポンプ開口部と連通する真空ポンプを搭載する
のに適しており、前記区画と前記ポンプとの間の前記通
路の少なくとも一部を塞ぐために前記通路へまたそこか
ら動かすことのできる要素を有する第一のバルブを搭載
するのに更に適している延長部材を更に含む、請求項1
記載の装置。 - 【請求項6】 前記延長部材と前記ポンプとの間に置か
れた第二のバルブを更に含み、第一のバルブ或いは第一
の区画或いは両方が取り去られたとき前記ポンプをシ−
ルし、或いは前記ポンプが取り去られたとき前記第一の
バルブと前記第一の区画とをシ−ルする、請求項5記載
の装置。 - 【請求項7】 前記第一と第二の区画が共通の壁を画成
する共通のベ−スを共有しており、前記共通の開口部が
前記共通の壁を貫通して広がっており、前記ベ−スが排
気通路を備えた延長部を画成しており、前記第一の区画
が、前記共通のベ−スから動かすことができる、請求項
1記載の装置。 - 【請求項8】 前記第二の区画から広がるサポ−トフラ
ンジであって、前記第一の区画のための排気オリフィス
を画成しており、前記排気オリフィスの終端部が前記サ
ポ−トフランジに画成されたバルブ開口部とポンプ開口
部とを有する、サポ−トフランジとを更に含み、 前記サポ−トフランジが、前記ポンプ開口部と連通した
真空ポンプと、前記ポンプと前記第一の区画との間の排
気通路を部分的に或いは完全に塞ぐことのできる前記バ
ルブ開口部と連通したバルブとを支持するのに適してい
る、請求項1記載の装置。 - 【請求項9】 前記第二の区画が基板装填開口部を画成
しており、ゲ−トバルブが前記装填開口部を開閉するた
めに前記開口部と関連して備えられており、前記第二の
区画が、前記基板サポ−ト部材と共に前記ゲ−トバルブ
によってシ−ルでき、前記ゲ−トバルブが前記基板処理
環境にさらされる必要がないようになっている、請求項
1記載の装置。 - 【請求項10】 前記基板を前記第二の区画上に置き、
或いはそこから基板を取り去るために、基板を前記装填
開口部を通して広げるように第一の位置に置くことがで
き、また前記第一の区画と前記第二の区画とを隔離しま
た前記基板を処理のために前記第一の区画内に置くよう
に、前記基板支持部材が前記開口部を被うように第二の
位置に置くことができる、請求項1記載の装置。 - 【請求項11】 前記第二の区画が基板装填開口部を画
成しており、前記装填開口部において前記第二の区画に
連結された基板トランスファチャンバと、前記トランス
ファチャンバに連結された第二の処理チャンバとを備
え、 それによって、前記装填開口部が、前記第一の区画内に
おける基板の処理工程の間、開かれた位置に維持され
る、請求項1記載の装置。 - 【請求項12】 前記装填開口部に隣接しており、また
前記第一の区画及び第二の区画のいずれか一方から前記
装填開口部をシ−ルするために選択的に位置決めされる
ゲ−ト装置を更に含む、請求項11記載の装置。 - 【請求項13】 前記第二の区画に口をつながれ、また
前記第一の区画内における基板の処理中前記第二の区画
内の圧力を前記第一の区画内の圧力よりも低く維持する
ように形成された二次的真空ポンプを更に含む、請求項
12記載の装置。 - 【請求項14】 導電性部分を有する第一の区画を提供
する工程と、 第二の区画を提供する工程と、 前記導電性部分を貫通する、前記第一の区画と前記第二
の区画との間の共通開口部を提供する工程と、 前記共通開口部をシ−ルするために前記導電性部分と接
触することができまた前記共通の開口部上に選択的に置
くことができる可動壁を提供する工程と、 前記可動壁が前記共通開口部を塞ぐように置かれたと
き、前記第一の区画内に処理環境を維持する工程と、 前記第一の区画を取り除き、それを清浄なベ−クアウト
された別の第一の区画と交換する工程であって、元の第
一の区画内で少なくとも一つの基板の処理が行われた後
に行われる工程とを備える、清浄なプロセスチャンバ環
境を維持する方法。 - 【請求項15】 前記共通の開口部を塞ぐために前記可
動壁を置く工程と、前記第一の区画の内側を解放する工
程と、 前記可動壁を前記共通開口部を塞ぐための位置に維持し
ている間に前記第一の区画を掃除する工程とを更に含
む、請求項14記載の方法。 - 【請求項16】 前記第一の区画が、ベ−スであってそ
れを貫通して広がる共通の開口部を有するベ−スを含
み、 前記第一の区画の前記ベ−スが前記第二の区画の前記カ
バ−を形成する、請求項14記載の方法。 - 【請求項17】 前記第一の区画と前記第二の区画とが
共通の壁を共有する、請求項14記載の方法。 - 【請求項18】 第一の区画を提供する工程と、 第二の区画を提供する工程と、 前記第一の区画と前記第二の区画との間に共通の開口部
を広げる工程と、 可動壁を提供する工程と、 前記第一の区画を前記第二の区画から隔離するために前
記開口部上に前記可動壁を置く工程と、 前記可動壁が前記共通の開口部上に維持されている間に
前記第一の区画を清掃する工程とを含む、プロセスチャ
ンバを清掃する方法。 - 【請求項19】 前記可動壁が前記共通の開口部上に維
持されている間に前記第二の区画をベ−クアウトする工
程を更に含む、請求項18記載の方法。 - 【請求項20】 第一の区画を取り去り、それを清掃さ
れ且つベ−クアウトされた第一の区画と置き換える工程
を更に含む、請求項18記載の方法。 - 【請求項21】 第一の区画と、 第二の区画と、ここで前記第一と第二の区画はそれらの
間に第一の開口部を有する共通の境界を有し、前記第二
の区画は、基板が前記第二の区画に運び込まれまた取り
出されるように前記第一の開口部から間隔をおいて設け
られた第二の開口部を有し、 前記第二の区画内に配設された基板支持部材であって、
一般的に第一の位置と第二の位置との間で動くことがで
き、前記第一の位置にあるときには、前記第二の開口部
を介して基板を受容するような位置にあり、前記第二の
位置にあるときには、前記第一の開口部内に挿入され、
前記開口部をシ−ルしまた同時に前記部材上に支持され
た基板を処理のために前記第一の区画内に置く、基板支
持部材とを備える、 基板処理チャンバ組立体。 - 【請求項22】 前記第二の区画の環境が前記第一の開
口部のシ−リングと共に前記ウエハ支持部材によって制
御されるのを可能とするように前記第二の開口部にシ−
ルが備えられている、請求項21記載の組立体。 - 【請求項23】 第一の区画であってその中に選択的に
維持できる処理環境を有する第一の区画と、 導電性の部分を有する第二の区画と、 前記導電部分を貫通して広がり、その結果前記第一の区
画と前記第二の区画との間に広がる開口部と、 前記第一の区画と前記第二の区画とに提供され、またそ
の上にウエハを受容するために前記第一の区画内に置く
ことができ、また更に前記第一の区画を前記第二の区画
から隔離しまた前記第一の区画内に選択的に維持された
処理環境に前記ウエハをさらすために前記第一の区画内
に前記ウエハを置くために、前記開口部上方に置くこと
ができる、可動壁とを備える、 半導体ウエハ処理用装置。 - 【請求項24】 前記第一の区画が、前記第二の区画か
ら取り去ることができる、請求項23記載の装置。 - 【請求項25】 前記第二の区画内に受容され、また前
記第一の区画内に維持された処理環境から隔離された、
中間ウエハサポ−トを更に含む、請求項23記載の装
置。 - 【請求項26】 前記第一の区画が、排気オリフィスで
あってその中にポンプ開口部を有し、そのポンプ開口部
は前記オリフィスから広がっている、排気オリフィスを
含み、 前記第二の区画は、ポンプサポ−トフランジを含み、 前記ポンプ開口部は、前記ポンプフランジ内に取り外し
可能に受容されている、請求項23記載の装置。 - 【請求項27】 第一の区画を提供する工程と、 第二の区画を提供する工程と、 前記第一の区画と前記第二の区画との間に共通の壁を提
供する工程と、 前記第一の区画と前記第二の区画との間の前記共通の壁
を貫通して開口部を広げる工程と、 前記第一の区画と前記第二の区画に供される可動壁を提
供する工程と、 前記可動壁上にウエハを置くために前記第二の区画内に
前記可動壁を置く工程と、 前記第一の区画を前記第二の区画から隔離し、また処理
環境が前記第一の区画内に維持されているとき、前記ウ
エハを前記第一の区画と連通した状態に置くために、前
記区画間の開口部をカバ−するような位置に前記可動壁
を置く工程とを備える、 ウエハ処理の方法。 - 【請求項28】 前記第一の区画のベ−スを貫通する前
記開口部を提供する工程と、 前記開口部に隣接する前記第一の区画の前記ベ−スの下
側にシ−ルを提供する工程と、 前記可動壁を前記シ−ルと接触させる工程とを含む、 請求項27記載の方法。 - 【請求項29】 フィルム層が前記第一の区画の表面上
に形成されるまで前記チャンバ内でウエハを順次処理す
る工程と、 前記第一の区画を取り外し、新しい清浄な第一の区画と
置き換える工程とを含む、請求項27記載の方法。 - 【請求項30】 前記第二の区画内にスリットバルブと
ウエハ取り扱い装置とを更に含む、請求項27記載の方
法。 - 【請求項31】 前記可動壁が電気的な絶縁性部分を含
み、可動壁の前記電的な絶縁性部分が前記開口部の周辺
と係合し、前記第一の区画と前記第二の区画とを隔離
し、前記第一の区画内にプラズマが維持できる、請求項
27記載の方法。 - 【請求項32】 前記第一の区画と連通する排気チャン
ネルを提供する工程と、 前記排気チャンネルと連通するポンプを提供する工程と
を更に含む、請求項27記載の方法。 - 【請求項33】 前記排気チャンネルを選択的に塞ぐた
めのバルブを提供する工程と、 前記ポンプを絞るために前記バルブで前記排気チャンネ
ルを絞る工程とを更に含む、請求項31記載の方法。 - 【請求項34】 支持部材上にウエハを載置するための
装置であって、 少なくとも一つの小さい直径の部分と一つの大きい直径
の部分とを有するピンと、 前記支持部材を貫通して伸延し、且つ少なくとも一つの
小さい直径の部分と一つの大きい直径の部分とを有する
ボアと、 前記ピンと前記ボアとの間のシ−ルであって、前記ボア
を通過する物質の通路を塞ぐために前記ピンと連結して
置かれたシ−ルとを含む、 装置。 - 【請求項35】 前記ボアが、前記ボアの前記小さい直
径の部分と前記ボアの前記大きい直径の部分との間に広
がる環状の棚を含み、 前記ピンが、前記小さい直径の部分と前記大きい直径の
部分との間に広がる環状面を含み、 前記棚が前記面と選択的に係合する、 請求項34記載の装置。 - 【請求項36】 第一の区画と、第二の区画と、前記第
一の区画と前記第二の区画との間に広がる開口部とを更
に含み、前記支持部材が前記第二の区画内で可動であ
り、 それにより、前記可動壁が、その上に基板を受容するた
めに前記第二の区画内に置かれ、前記第一の区画内に前
記基板を置くために、且つ前記第一の区画を前記第二の
区画からシ−ルするために、前記開口部上方に更に置く
ことができる、請求項34記載の装置。 - 【請求項37】 第二の区画内に維持される処理環境に
さらすように基板を載置しながら、上に基板を受容する
ために、第一の区画内に置かれ、更に、前記第一の区画
と前記第二の区画との間に広がる開口部をシ−ルするよ
うに置かれる基板支持部材であって、前記開口部の周辺
部において前記開口部をシ−ルするために、前記第一の
区画と前記第二の区画との間に広がる前記開口部の前記
周辺部の回りに係合するフランジ部を有する絶縁ベ−ス
と、 前記絶縁ベ−ス上に受容され、また前記第二の区画内に
維持されるガス状環境内でプラズマを維持するために陰
極を形成するように電気的にバイアスを掛けることので
きる導電部材とを備える、 基板支持部材。 - 【請求項38】 前記基板支持部材を貫通する複数のピ
ンボアと、 前記ピンボアの各々の中に受容されるピンであって、そ
の各々が、前記支持部材から選択的に伸延でき、前記支
持部材上に位置決めするために基板を受容し、また前記
支持部材から取り去るために前記支持部材から前記基板
を持ち上げるピンとを更に含む、請求項37記載の基板
支持部材。 - 【請求項39】 貫通開口部を有する壁を有する第一の
区画と、 ベ−ス上に受容された第二の区画と、 基板を受容するために前記第二の区画の中で位置決めが
でき、また更に、前記開口部を密閉的にカバ−し、前記
基板を処理のために前記第一の区画内に置くために位置
決めできる可動壁と、を備え、 ここで前記開口部は前記第一の区画と前記第二の区画と
の間を連通するように位置が決められており、 前記可動壁が、前記壁にシ−ルする絶縁ベ−スを含む、
基板処理チャンバ。 - 【請求項40】 前記可動壁が前記開口部をシ−ルする
ような位置にあるとき前記開口部の周辺部回りに位置す
るフランジを前記絶縁ベ−スが含む、請求項39記載の
基板処理チャンバ。 - 【請求項41】 基板を装填しまた非装填する区画と基
板処理装置の処理区画との間の通路をシ−ルする方法で
あり、また基板が前記装置の前記装填しまた非装填する
区画に装填されまた非装填され、基板が前記処理区画内
で処理される、通路をシ−ルする方法であって、 前記装填しまた非装填する区画内に、基板受容面を有す
る、可動支持部材を提供する工程と、 前記支持部材上に絶縁ベ−スを提供する工程と、 前記開口部をシ−ルするために、前記開口部の周辺部に
前記絶縁ベ−スの一部を置くために、前記可動支持部材
を動かす工程と、を含む方法。 - 【請求項42】 前記装填しまた非装填する区画と前記
処理区画との間に共通の壁を提供する工程と、 前記共通の壁を貫通する開口部を提供する工程とを更に
含む、 請求項41記載の方法。 - 【請求項43】 前記処理区画上にシ−ルを提供する工
程と、 前記開口部をシ−ルするために前記絶縁ベ−スを前記シ
−ルに接触させる工程とを更に含む、 請求項42記載の方法。 - 【請求項44】 前記処理区画内にリップ凹みを伸延さ
せ、前記開口部の周辺部に周辺方向に前記リップ凹みを
伸延させる工程と、 前記絶縁ベ−ス上にリップを提供し、前記可動支持部材
が前記開口部をシ−ルする位置に置かれているとき、前
記リップを前記リップ凹み内に置く工程とを更に含む、
請求項43記載の方法。 - 【請求項45】 処理空間とそれから広がる真空ポ−ト
とを有する第一の区画と、 閉じ込め空間と支持部分であって、その上にポンプ搭載
フランジを有する支持部分とを有する第二の区画と、 前記フランジ上に受容されるポンプとを備え、 前記真空ポ−トが、前記真空ポンプと連通するように伸
延し、前記第一の区画と前記第二の区画の両方のための
真空源として前記真空ポンプを使えるようにしている、
基板処理チャンバ。 - 【請求項46】 前記真空ポンプが、前記第一の区画の
前記処理空間と連通する排気オリフィスと、前記ポンプ
フランジの内側に伸延するスリ−ブとを含む、請求項4
5記載の基板処理チャンバ。 - 【請求項47】 前記排気オリフィスと前記スリ−ブと
の間に相互配設された絞り部分を更に含む、請求項46
記載の基板処理チャンバ。 - 【請求項48】 処理空間と排気ポ−トとを画成する第
一の区画と、 閉じ込め空間を画成する第二の区画と、 前記第一の空間と前記第二の空間との間に広がる開口部
と、 支持部材であって、その上に基板を受容するように、ま
た前記処理チャンバ内の処理環境にさらすように基板を
置いている間は前記開口部を塞ぐように、選択的に位置
決めできる支持部材と、 前記処理空間上に配設されたカバ−とを備え、 前記処理空間と前記排気ポ−トとが、一体の材料から形
成されている、基板処理チャンバ。 - 【請求項49】 処理空間を提供する工程と、 基板装填空間を提供する工程と、 前記処理空間と前記基板装填空間との間に開口部を提供
する工程と、 基板のための支持部材を提供する工程と、 前記基板装填空間内に前記支持部材を置き、基板をその
上に置く工程と、 前記開口部を前記支持部材でシ−ルしながら、前記処理
空間にさらされるように前記基板を置くために、前記支
持部材をその上の前記基板と一緒に動かす工程と、 第一の圧力において前記処理空間内に処理環境を維持す
る工程と、 前記処理空間内における基板処理の間、前記第一の圧力
よりも低い圧力を有する真空を前記基板装填空間内に維
持する工程とを備える、 基板を処理する方法。 - 【請求項50】前記処理空間が、前記基板装填空間と少
なくとも一つの共通壁を共有する閉じ込め空間によって
提供され、また前記開口部が前記共通壁を貫通して提供
される、請求項49記載の方法。 - 【請求項51】 前記支持部材上に絶縁性部分を提供す
る工程と、 前記絶縁性部分を前記共通壁と接触させる工程とを更に
含む、請求項50記載の方法。 - 【請求項52】 前記支持部材上に伝導性部材を提供す
る工程を更に含む、請求項50記載の方法。 - 【請求項53】 処理空間を提供する工程と、 閉じ込め空間を提供する工程と、 前記処理空間と前記閉じ込め空間との間に共通の壁を提
供する工程と、 前記共通の壁に開口部を提供する工程と、 支持部材上に基板を置き、また前記支持部材をその上の
基板と共に前記開口部上に置き、それによって前記開口
部をシ−ルする工程と、 前記支持部材内に電極を提供する工程と、 前記処理空間に処理ガス環境を提供する工程と、 前記処理ガスをプラズマ状態に維持するために前記電極
にバイアスをかける工程と、 前記処理ガスがプラズマ状態に維持されているとき、前
記処理空間内の圧力よりも低い圧力を前記閉じ込め空間
内に維持する工程とを含む、 基板を処理する方法。 - 【請求項54】 前記支持部材と前記共通の壁との間に
シ−ルを提供する工程を更に含む、請求項53記載の方
法。 - 【請求項55】 前記処理空間と連通する第一の真空ポ
ンプを提供する工程と、 前記支持部材を前記開口部上に置くことによって前記閉
じ込め空間から前記真空ポンプを隔離する工程とを更に
含む、 請求項54記載の方法。 - 【請求項56】 前記処理空間を前記開口部を通して前
記閉じ込め空間と連通させる工程と、 前記処理空間と前記閉じ込め空間とを前記第一のポンプ
によって第一の真空圧までポンプ引きする工程と、 前記開口部をカバ−し、前記処理空間を前記閉じ込め空
間からシ−ルするように前記支持部材を置く工程と、 前記処理空間内に処理ガス環境を導入し、それにより前
記処理空間内の圧力を増す工程と、 前記処理空間内で前記処理ガス環境をプラズマにするた
めに加勢する工程と、 前記支持部材で前記開口部をシ−ルすることによって前
記処理空間の圧力よりも低い圧力を前記閉じ込め空間内
に維持する工程とを含む、 請求項55記載方法。 - 【請求項57】 前記開口部を通して前記処理空間を前
記閉じ込め空間と連通させる工程と、 前記処理空間と前記閉じ込め空間とを前記第一のポンプ
で第一の真空圧までポンプ引きする工程と、 前記開口部をカバ−し、前記処理空間を前記閉じ込め空
間からシ−ルするように前記支持部材を置く工程と、 前記処理空間に処理ガス環境を導入し、それによって前
記処理空間内の圧力を増す工程と、 前記処理空間内で前記処理ガス環境をプラズマにするた
めに加勢する工程と、 前記閉じ込め空間と連通する第二のポンプを提供する工
程と、 前記開口部を前記支持部材でシ−ルし、前記閉じ込め空
間を前記第二のポンプでポンプ引きすることによって、
前記処理空間の圧力よりも低い圧力を前記閉じ込め空間
内に維持する工程とを含む、 請求項56記載の方法。 - 【請求項58】 改善された修理性のために基板処理チ
ャンバを形成する方法であって、 処理空間と、ポンプ開口部と、前記処理空間と前記ポン
プ開口部との間を連通する排気オリフィスとを含む第一
の区画を提供する工程と、 シ−ルできる開口部を通して前記第一の区画と連通する
第二の区画を提供する工程と、 前記排気オリフィスを絞るために絞り開口部を提供する
工程と、 前記排気オリフィスを選択的に絞るために前記絞りオリ
フィスに絞りバルブを提供する工程と、 前記絞り開口部を前記ポンプ開口部から別々につながる
ように置く工程と、 前記ポンプ開口部と連通する真空ポンプを提供する工程
とを備える、方法。 - 【請求項59】前記第二の区画にポンプ支持フランジを
提供する工程と、 前記ポンプ支持フランジと前記ポンプ開口部との芯を合
わせる工程と、 前記真空ポンプを前記ポンプ支持フランジ上に支持する
工程とを更に含む、 請求項58記載の方法。 - 【請求項60】 前記ポンプフランジ表面を前記処理空
間内に維持される環境から隔離するために、前記ポンプ
フランジを貫通するスリ−ブとして前記ポンプ開口部を
伸延させる工程を更に含む、 請求項59記載の方法。 - 【請求項61】 前記ポンプ支持フランジがポンプ搭載
開口部を画成し、 前記ポンプ搭載開口部が前記絞り開口部と同一線上に並
んでいる(co−linearly aligne
d)、 請求項60記載の方法。 - 【請求項62】 前記絞りバルブが、前記絞り開口部に
関して直線上に動きうるプレ−トを有するポペットバル
ブであり、 前記プレ−トが前記排気オリフィスの部分を前記真空ポ
ンプと連通しないように塞ぐ、 請求項58記載の方法。 - 【請求項63】 前記第一の区画の外側の面が前記第二
の区画の壁を形成する、請求項58記載の方法。 - 【請求項64】 前記第二の区画が基板取り扱い区画と
更に連通している、請求項58記載の方法。 - 【請求項65】 前記第二の区画と前記基板取り扱い区
画との間にあるアクセスポ−ト上にゲ−トバルブを提供
する工程と、 前記基板取り扱い区画内に基板取り扱い部材を提供する
工程と、 基板を前記第二の区画に置きまたそこから取り去るため
に、前記取り扱い部材を前記アクセスポ−トを通して選
択的に伸延させる工程と、 前記第一の区画が第二の区画から取り去られるとき以外
には、前記ゲ−トバルブを開位置に維持する工程とを含
む、 請求項64の記載の方法。 - 【請求項66】 前記第二の区画内に基板支持部材を置
く工程と、 前記シ−ルできる開口部をカバ−しまたシ−ルするため
に、前記支持部材を置く工程とを更に含む、 請求項58記載の方法。 - 【請求項67】 前記支持部材が前記シ−ルできる開口
部をシ−ルするような位置に置かれている間に、前記可
動支持部材を修理する工程を更に含む、 請求項66記載の方法。 - 【請求項68】 前記第二の区画内に基板支持部材を置
く工程と、 前記支持部材上に受容するために、前記基板取り扱い部
材と一緒に、前記基板取り扱い区画から基板を動かす工
程と、 前記基板を前記支持部材上に受容する工程と、 前記シ−ルできる開口部をカバ−しまたシ−ルするよう
に、前記支持部材をその上の基板と一緒に置く工程と、 前記処理空間内に処理環境を提供する工程とを更に含
む、 請求項65記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/296,043 US5730801A (en) | 1994-08-23 | 1994-08-23 | Compartnetalized substrate processing chamber |
US08/296043 | 1994-08-23 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH08172037A true JPH08172037A (ja) | 1996-07-02 |
JP3162607B2 JP3162607B2 (ja) | 2001-05-08 |
Family
ID=23140361
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP21481495A Expired - Fee Related JP3162607B2 (ja) | 1994-08-23 | 1995-08-23 | 区画化された基板処理チャンバ |
Country Status (5)
Country | Link |
---|---|
US (2) | US5730801A (ja) |
EP (2) | EP0898299B1 (ja) |
JP (1) | JP3162607B2 (ja) |
KR (2) | KR960009004A (ja) |
DE (2) | DE69507757T2 (ja) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000254480A (ja) * | 1998-12-23 | 2000-09-19 | Applied Materials Inc | 統合ポンプ装置を有する処理装置 |
JP2006509905A (ja) * | 2002-08-01 | 2006-03-23 | テクマシーン | 基板の真空処理装置 |
JP2007239103A (ja) * | 2006-03-08 | 2007-09-20 | Tokyo Electron Ltd | 処理システムのためのシーリングのデバイスおよび方法 |
JP2009512206A (ja) * | 2005-10-11 | 2009-03-19 | アビザ テクノロジー リミティド | 容積式ポンプ・チャンバー |
JP2009266888A (ja) * | 2008-04-22 | 2009-11-12 | Ulvac Japan Ltd | エッチング装置 |
Families Citing this family (541)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5885353A (en) * | 1996-06-21 | 1999-03-23 | Micron Technology, Inc. | Thermal conditioning apparatus |
US5879128A (en) * | 1996-07-24 | 1999-03-09 | Applied Materials, Inc. | Lift pin and support pin apparatus for a processing chamber |
US6120640A (en) * | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
KR100256440B1 (ko) * | 1997-03-07 | 2000-05-15 | 윤종용 | 반도체 제조공정 중 광학적 분석용 액적 가공방법, 그리고 그를 위한 설비 및 그의 제어 방법 |
US6045620A (en) * | 1997-07-11 | 2000-04-04 | Applied Materials, Inc. | Two-piece slit valve insert for vacuum processing system |
US6079928A (en) * | 1997-08-08 | 2000-06-27 | Brooks Automation, Inc. | Dual plate gas assisted heater module |
US6084215A (en) * | 1997-11-05 | 2000-07-04 | Tokyo Electron Limited | Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein |
US6749687B1 (en) * | 1998-01-09 | 2004-06-15 | Asm America, Inc. | In situ growth of oxide and silicon layers |
US5931626A (en) * | 1998-01-16 | 1999-08-03 | Brooks Automation Inc. | Robot mounting de-coupling technique |
JPH11288995A (ja) * | 1998-04-04 | 1999-10-19 | Tokyo Electron Ltd | 搬送システム及び処理装置 |
US6517303B1 (en) * | 1998-05-20 | 2003-02-11 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle |
US6215897B1 (en) | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Automated substrate processing system |
US6338756B2 (en) | 1998-06-30 | 2002-01-15 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6192827B1 (en) * | 1998-07-03 | 2001-02-27 | Applied Materials, Inc. | Double slit-valve doors for plasma processing |
US6461675B2 (en) | 1998-07-10 | 2002-10-08 | Cvc Products, Inc. | Method for forming a copper film on a substrate |
US6231289B1 (en) | 1998-08-08 | 2001-05-15 | Brooks Automation, Inc. | Dual plate gas assisted heater module |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6328858B1 (en) | 1998-10-01 | 2001-12-11 | Nexx Systems Packaging, Llc | Multi-layer sputter deposition apparatus |
US6217272B1 (en) | 1998-10-01 | 2001-04-17 | Applied Science And Technology, Inc. | In-line sputter deposition system |
US6178361B1 (en) * | 1998-11-20 | 2001-01-23 | Karl Suss America, Inc. | Automatic modular wafer substrate handling device |
US6258220B1 (en) * | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6294836B1 (en) | 1998-12-22 | 2001-09-25 | Cvc Products Inc. | Semiconductor chip interconnect barrier material and fabrication method |
US6245655B1 (en) | 1999-04-01 | 2001-06-12 | Cvc Products, Inc. | Method for planarized deposition of a material |
US6557237B1 (en) * | 1999-04-08 | 2003-05-06 | Applied Materials, Inc. | Removable modular cell for electro-chemical plating and method |
US6551488B1 (en) * | 1999-04-08 | 2003-04-22 | Applied Materials, Inc. | Segmenting of processing system into wet and dry areas |
US6585876B2 (en) | 1999-04-08 | 2003-07-01 | Applied Materials Inc. | Flow diffuser to be used in electro-chemical plating system and method |
US6440261B1 (en) | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
DE60035948T2 (de) * | 1999-06-19 | 2008-05-15 | Asm Genitech Korea Ltd. | Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films |
US6298685B1 (en) | 1999-11-03 | 2001-10-09 | Applied Materials, Inc. | Consecutive deposition system |
US6347919B1 (en) * | 1999-12-17 | 2002-02-19 | Eaton Corporation | Wafer processing chamber having separable upper and lower halves |
EP1126508A3 (en) * | 2000-02-16 | 2005-03-30 | Applied Materials, Inc. | Processing apparatus having integrated pumping system |
US6627995B2 (en) | 2000-03-03 | 2003-09-30 | Cvc Products, Inc. | Microelectronic interconnect material with adhesion promotion layer and fabrication method |
US6660086B1 (en) * | 2000-03-06 | 2003-12-09 | Innovative Coatings, Inc. | Method and apparatus for extruding a coating upon a substrate surface |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6235656B1 (en) | 2000-07-03 | 2001-05-22 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US6562141B2 (en) | 2000-07-03 | 2003-05-13 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US7011039B1 (en) * | 2000-07-07 | 2006-03-14 | Applied Materials, Inc. | Multi-purpose processing chamber with removable chamber liner |
US6821912B2 (en) | 2000-07-27 | 2004-11-23 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6682288B2 (en) | 2000-07-27 | 2004-01-27 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6530733B2 (en) | 2000-07-27 | 2003-03-11 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6630053B2 (en) | 2000-08-22 | 2003-10-07 | Asm Japan K.K. | Semiconductor processing module and apparatus |
US7018504B1 (en) | 2000-09-11 | 2006-03-28 | Asm America, Inc. | Loadlock with integrated pre-clean chamber |
US6444263B1 (en) | 2000-09-15 | 2002-09-03 | Cvc Products, Inc. | Method of chemical-vapor deposition of a material |
CN100469948C (zh) * | 2000-10-03 | 2009-03-18 | 应用材料有限公司 | 一旦进入金属沉积用来倾斜基片的方法和相关设备 |
JP2002110570A (ja) | 2000-10-04 | 2002-04-12 | Asm Japan Kk | 半導体製造装置用ガスラインシステム |
US6825447B2 (en) * | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US6998579B2 (en) | 2000-12-29 | 2006-02-14 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US20020083897A1 (en) * | 2000-12-29 | 2002-07-04 | Applied Materials, Inc. | Full glass substrate deposition in plasma enhanced chemical vapor deposition |
US6765178B2 (en) | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6609869B2 (en) | 2001-01-04 | 2003-08-26 | Asm America | Transfer chamber with integral loadlock and staging station |
US6695318B2 (en) * | 2001-01-17 | 2004-02-24 | Tokyo Electron Limited | Electronic device processing equipment having contact gasket between chamber parts |
US6791097B2 (en) * | 2001-01-18 | 2004-09-14 | Varian Semiconductor Equipment Associates, Inc. | Adjustable conductance limiting aperture for ion implanters |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6476367B2 (en) | 2001-02-20 | 2002-11-05 | Chartered Semiconductor Manufacturing Ltd. | Auto-bake out system |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US6518193B1 (en) * | 2001-03-09 | 2003-02-11 | Lsi Logic Corporation | Substrate processing system |
US6506994B2 (en) | 2001-06-15 | 2003-01-14 | Applied Materials, Inc. | Low profile thick film heaters in multi-slot bake chamber |
US6663333B2 (en) * | 2001-07-13 | 2003-12-16 | Axcelis Technologies, Inc. | Wafer transport apparatus |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP2003037146A (ja) * | 2001-07-24 | 2003-02-07 | Asm Japan Kk | バッファ機構を有する半導体製造装置及び方法 |
JP3660896B2 (ja) * | 2001-07-26 | 2005-06-15 | 株式会社日立製作所 | プラズマ処理装置のメンテナンス方法 |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6753506B2 (en) * | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6899507B2 (en) * | 2002-02-08 | 2005-05-31 | Asm Japan K.K. | Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US20050102916A1 (en) * | 2002-02-21 | 2005-05-19 | Yoshinobu Izawa | Fluid, powder or grain feed tank |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20030159653A1 (en) * | 2002-02-28 | 2003-08-28 | Dando Ross S. | Manifold assembly for feeding reactive precursors to substrate processing chambers |
US6899765B2 (en) * | 2002-03-29 | 2005-05-31 | Applied Materials Israel, Ltd. | Chamber elements defining a movable internal chamber |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US20030194825A1 (en) * | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6869838B2 (en) * | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US6743736B2 (en) * | 2002-04-11 | 2004-06-01 | Micron Technology, Inc. | Reactive gaseous deposition precursor feed apparatus |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6896730B2 (en) * | 2002-06-05 | 2005-05-24 | Micron Technology, Inc. | Atomic layer deposition apparatus and methods |
US7018517B2 (en) * | 2002-06-21 | 2006-03-28 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
AU2003258237A1 (en) * | 2002-08-26 | 2004-03-11 | Tokyo Electron Limited | Reduced volume plasma reactor |
KR100429296B1 (ko) * | 2002-09-09 | 2004-04-29 | 한국전자통신연구원 | 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법 |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
DE10247051A1 (de) * | 2002-10-09 | 2004-04-22 | Polymer Latex Gmbh & Co Kg | Latex und Verfahren zu seiner Herstellung |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
JP4257576B2 (ja) * | 2003-03-25 | 2009-04-22 | ローム株式会社 | 成膜装置 |
US7357115B2 (en) * | 2003-03-31 | 2008-04-15 | Lam Research Corporation | Wafer clamping apparatus and method for operating the same |
JP4152802B2 (ja) * | 2003-05-09 | 2008-09-17 | 日本エー・エス・エム株式会社 | 薄膜形成装置 |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US6911256B2 (en) * | 2003-06-30 | 2005-06-28 | Imation Corp. | Buffer layers for magnetic media with a plastic substrate |
US7100954B2 (en) * | 2003-07-11 | 2006-09-05 | Nexx Systems, Inc. | Ultra-thin wafer handling system |
US7026581B2 (en) * | 2003-08-22 | 2006-04-11 | Axcelis Technologies, Inc. | Apparatus for positioning an elevator tube |
JP4397655B2 (ja) * | 2003-08-28 | 2010-01-13 | キヤノンアネルバ株式会社 | スパッタリング装置、電子部品製造装置及び電子部品製造方法 |
US20050092601A1 (en) * | 2003-10-29 | 2005-05-05 | Harald Herchen | Electrochemical plating cell having a diffusion member |
US20050092602A1 (en) * | 2003-10-29 | 2005-05-05 | Harald Herchen | Electrochemical plating cell having a membrane stack |
US7500822B2 (en) * | 2004-04-09 | 2009-03-10 | Edwards Vacuum, Inc. | Combined vacuum pump load-lock assembly |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
CN103199039B (zh) * | 2004-06-02 | 2016-01-13 | 应用材料公司 | 电子装置制造室及其形成方法 |
US7394076B2 (en) * | 2004-08-18 | 2008-07-01 | New Way Machine Components, Inc. | Moving vacuum chamber stage with air bearing and differentially pumped grooves |
US7445015B2 (en) * | 2004-09-30 | 2008-11-04 | Lam Research Corporation | Cluster tool process chamber having integrated high pressure and vacuum chambers |
WO2006052919A1 (en) * | 2004-11-08 | 2006-05-18 | New Way Machine Components, Inc. | Non-contact porous air bearing and glass flattening device |
US20060102467A1 (en) * | 2004-11-15 | 2006-05-18 | Harald Herchen | Current collimation for thin seed and direct plating |
JP4384109B2 (ja) * | 2005-01-05 | 2009-12-16 | 三星モバイルディスプレイ株式會社 | 蒸着システム用蒸着源の駆動軸及びこれを具備した蒸着システム |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
KR101213390B1 (ko) * | 2005-02-22 | 2012-12-18 | 잭틱스 인코포레이티드 | 서브챔버를 가지는 에칭 챔버 |
US7467916B2 (en) * | 2005-03-08 | 2008-12-23 | Asm Japan K.K. | Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20070020475A1 (en) * | 2005-07-21 | 2007-01-25 | Prince Kendall W | Primed substrate and method for making the same |
US20070034228A1 (en) | 2005-08-02 | 2007-02-15 | Devitt Andrew J | Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays |
US7687098B1 (en) * | 2005-08-26 | 2010-03-30 | Charlie W. Chi | Chemical mechanical vapor deposition device for production of bone substitute material |
US20070116872A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US7581916B2 (en) * | 2006-07-14 | 2009-09-01 | Ulvac-Phi, Inc. | Sample introduction and transfer system and method |
US7976898B2 (en) | 2006-09-20 | 2011-07-12 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
KR101355638B1 (ko) * | 2006-11-09 | 2014-01-29 | 한국에이에스엠지니텍 주식회사 | 원자층 증착 장치 |
CN101205605B (zh) * | 2006-12-18 | 2012-01-11 | 东京毅力科创株式会社 | 用于热增强和等离子体增强气相沉积的装置及操作方法 |
US7993457B1 (en) * | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
JP4746003B2 (ja) * | 2007-05-07 | 2011-08-10 | リンテック株式会社 | 移載装置及び移載方法 |
US8282735B2 (en) * | 2007-11-27 | 2012-10-09 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US20090151872A1 (en) * | 2007-12-17 | 2009-06-18 | Tugrul Samir | Low cost high conductance chamber |
JP5312856B2 (ja) * | 2008-06-27 | 2013-10-09 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US9289795B2 (en) | 2008-07-01 | 2016-03-22 | Precision Coating Innovations, Llc | Pressurization coating systems, methods, and apparatuses |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8216376B1 (en) * | 2009-01-15 | 2012-07-10 | Intermolecular, Inc. | Method and apparatus for variable conductance |
US8440048B2 (en) | 2009-01-28 | 2013-05-14 | Asm America, Inc. | Load lock having secondary isolation chamber |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20100304027A1 (en) * | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
TWI408766B (zh) * | 2009-11-12 | 2013-09-11 | Hitachi High Tech Corp | Vacuum processing device |
US9091371B2 (en) * | 2010-12-27 | 2015-07-28 | Kenneth K L Lee | Single axis gate valve for vacuum applications |
US20120201959A1 (en) * | 2011-02-04 | 2012-08-09 | Applied Materials, Inc. | In-Situ Hydroxylation System |
US8801950B2 (en) * | 2011-03-07 | 2014-08-12 | Novellus Systems, Inc. | Reduction of a process volume of a processing chamber using a nested dynamic inert volume |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9309603B2 (en) | 2011-09-14 | 2016-04-12 | Applied Materials, Inc | Component cleaning in a metal plating apparatus |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9616457B2 (en) | 2012-04-30 | 2017-04-11 | Innovative Coatings, Inc. | Pressurization coating systems, methods, and apparatuses |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
WO2014039194A1 (en) | 2012-09-07 | 2014-03-13 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9353439B2 (en) | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
JP6291878B2 (ja) * | 2014-01-31 | 2018-03-14 | シンフォニアテクノロジー株式会社 | ロードポート及びefem |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR101736854B1 (ko) * | 2015-10-29 | 2017-05-17 | 세메스 주식회사 | 기판 처리 장치 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US20180142355A1 (en) * | 2016-11-18 | 2018-05-24 | Adnanotek Corp. | System integrating atomic layer deposition and reactive ion etching |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11802340B2 (en) * | 2016-12-12 | 2023-10-31 | Applied Materials, Inc. | UHV in-situ cryo-cool chamber |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9947517B1 (en) * | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP7053991B2 (ja) * | 2017-03-28 | 2022-04-13 | セントラル硝子株式会社 | ドライエッチング方法、半導体素子の製造方法及びチャンバークリーニング方法 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US10600623B2 (en) | 2018-05-28 | 2020-03-24 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
KR20220163508A (ko) * | 2018-05-31 | 2022-12-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 극도의 균일성의 가열식 기판 지지 조립체 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
WO2020214327A1 (en) | 2019-04-19 | 2020-10-22 | Applied Materials, Inc. | Ring removal from processing chamber |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20220027888A (ko) * | 2019-06-06 | 2022-03-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 최하부 퍼지 가스 유동 균일성을 개선하기 위한 배플 구현 |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP7197739B2 (ja) * | 2021-05-10 | 2022-12-27 | ピコサン オーワイ | 基板処理装置及び方法 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2766022A (en) * | 1954-06-03 | 1956-10-09 | Virtis Company Inc | Mixing equipment |
JPS5291650A (en) * | 1976-01-29 | 1977-08-02 | Toshiba Corp | Continuous gas plasma etching apparatus |
JPS5378170A (en) * | 1976-12-22 | 1978-07-11 | Toshiba Corp | Continuous processor for gas plasma etching |
IT1203089B (it) * | 1976-03-03 | 1989-02-15 | Int Plasma Corp | Procedimento ed apparecchiatura per eseguire reazioni chimiche nella regione della scarica luminescente di un plasma |
JPS53121469A (en) * | 1977-03-31 | 1978-10-23 | Toshiba Corp | Gas etching unit |
US4100055A (en) * | 1977-06-10 | 1978-07-11 | Varian Associates, Inc. | Target profile for sputtering apparatus |
JPS5421175A (en) * | 1977-07-18 | 1979-02-17 | Tokyo Ouka Kougiyou Kk | Improvement of plasma reaction processor |
US4158589A (en) * | 1977-12-30 | 1979-06-19 | International Business Machines Corporation | Negative ion extractor for a plasma etching apparatus |
US4231695A (en) * | 1978-07-10 | 1980-11-04 | Weston Sr Robert M | Cargo loading and unloading apparatus for trucks |
JPS55141570A (en) * | 1979-04-18 | 1980-11-05 | Anelva Corp | Dry etching apparatus |
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4419923A (en) * | 1979-07-17 | 1983-12-13 | Nisshin Kogyo Kabushiki Kaisha | Toggle assembly for vehicles or cars |
US5024747A (en) * | 1979-12-21 | 1991-06-18 | Varian Associates, Inc. | Wafer coating system |
US4585920A (en) * | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
US4547247A (en) * | 1984-03-09 | 1985-10-15 | Tegal Corporation | Plasma reactor chuck assembly |
US4539062A (en) * | 1984-03-12 | 1985-09-03 | Tegal Corporation | Modular plasma reactor with local atmosphere |
US4534314A (en) * | 1984-05-10 | 1985-08-13 | Varian Associates, Inc. | Load lock pumping mechanism |
US4793975A (en) * | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
JPS63204726A (ja) * | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US4795299A (en) * | 1987-04-15 | 1989-01-03 | Genus, Inc. | Dial deposition and processing apparatus |
US4861563A (en) * | 1987-05-14 | 1989-08-29 | Spectrum Cvd, Inc. | Vacuum load lock |
DE3827343A1 (de) * | 1988-08-12 | 1990-02-15 | Leybold Ag | Vorrichtung nach dem karussel-prinzip zum beschichten von substraten |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
JP2502661B2 (ja) * | 1988-03-04 | 1996-05-29 | 松下電器産業株式会社 | 気相成長装置 |
JPH0231420A (ja) * | 1988-05-20 | 1990-02-01 | Tegal Corp | プラズマリアクタ用ウエハークランプ装置 |
US5076205A (en) * | 1989-01-06 | 1991-12-31 | General Signal Corporation | Modular vapor processor system |
US5242566A (en) | 1990-04-23 | 1993-09-07 | Applied Materials, Inc. | Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter |
JPH0426760A (ja) * | 1990-05-21 | 1992-01-29 | Fuji Electric Co Ltd | スパッタリング装置 |
JPH04308090A (ja) * | 1991-04-05 | 1992-10-30 | M B K Maikurotetsuku:Kk | 気相化学反応生成装置のロードロック機構 |
US5154730A (en) * | 1991-05-17 | 1992-10-13 | Materials Research Corporation | Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module |
DE4117969C2 (de) * | 1991-05-31 | 2000-11-09 | Balzers Ag Liechtenstein | Vakuumkammer |
FR2682047B1 (fr) * | 1991-10-07 | 1993-11-12 | Commissariat A Energie Atomique | Reacteur de traitement chimique en phase gazeuse. |
JPH05218176A (ja) * | 1992-02-07 | 1993-08-27 | Tokyo Electron Tohoku Kk | 熱処理方法及び被処理体の移載方法 |
JPH0613361A (ja) * | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
US5460684A (en) * | 1992-12-04 | 1995-10-24 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5800686A (en) * | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
-
1994
- 1994-08-23 US US08/296,043 patent/US5730801A/en not_active Expired - Lifetime
-
1995
- 1995-08-21 DE DE69507757T patent/DE69507757T2/de not_active Expired - Fee Related
- 1995-08-21 EP EP98113358A patent/EP0898299B1/en not_active Expired - Lifetime
- 1995-08-21 EP EP95113112A patent/EP0698915B1/en not_active Expired - Lifetime
- 1995-08-21 DE DE69531365T patent/DE69531365T2/de not_active Expired - Lifetime
- 1995-08-23 JP JP21481495A patent/JP3162607B2/ja not_active Expired - Fee Related
- 1995-08-23 KR KR1019950026769A patent/KR960009004A/ko active IP Right Grant
-
1997
- 1997-09-02 US US08/916,161 patent/US5883017A/en not_active Expired - Lifetime
-
2002
- 2002-08-20 KR KR1020020049156A patent/KR100401796B1/ko not_active IP Right Cessation
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000254480A (ja) * | 1998-12-23 | 2000-09-19 | Applied Materials Inc | 統合ポンプ装置を有する処理装置 |
JP2006509905A (ja) * | 2002-08-01 | 2006-03-23 | テクマシーン | 基板の真空処理装置 |
JP2009512206A (ja) * | 2005-10-11 | 2009-03-19 | アビザ テクノロジー リミティド | 容積式ポンプ・チャンバー |
JP2007239103A (ja) * | 2006-03-08 | 2007-09-20 | Tokyo Electron Ltd | 処理システムのためのシーリングのデバイスおよび方法 |
JP2009266888A (ja) * | 2008-04-22 | 2009-11-12 | Ulvac Japan Ltd | エッチング装置 |
Also Published As
Publication number | Publication date |
---|---|
EP0898299B1 (en) | 2003-07-23 |
US5730801A (en) | 1998-03-24 |
DE69507757T2 (de) | 1999-09-23 |
DE69531365T2 (de) | 2004-05-27 |
US5883017A (en) | 1999-03-16 |
DE69531365D1 (de) | 2003-08-28 |
DE69507757D1 (de) | 1999-03-25 |
EP0898299A1 (en) | 1999-02-24 |
EP0698915B1 (en) | 1999-02-10 |
JP3162607B2 (ja) | 2001-05-08 |
KR960009004A (ko) | 1996-03-22 |
KR100401796B1 (ko) | 2003-10-17 |
EP0698915A1 (en) | 1996-02-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH08172037A (ja) | 区画化された基板処理チャンバ | |
US20210035785A1 (en) | Substrate processing apparatus | |
KR100624273B1 (ko) | 플라즈마 처리 장치 | |
KR102056725B1 (ko) | 진공 처리 장치의 조립 방법 | |
US6103069A (en) | Chamber design with isolation valve to preserve vacuum during maintenance | |
EP1243016B1 (en) | Method and apparatus for ionized physical vapor deposition | |
KR100373878B1 (ko) | 기판상의박막층을에칭하기위한장치및방법,그리고이를위하여기판지지부재의수용부상에기판을위치시키고클램핑시키는장치및방법 | |
WO2012057987A2 (en) | Deposition ring and electrostatic chuck for physical vapor deposition chamber | |
WO2000060653A1 (fr) | Dispositif de traitement au plasma, procede de maintenance et procede d'installation dudit dispositif | |
US20240258136A1 (en) | Substrate processing module and method of moving a workpiece | |
US20210375650A1 (en) | High temperature and vacuum isolation processing mini-environments | |
US20240332046A1 (en) | Isolated volume seals and method of forming an isolated volume within a processing chamber | |
JP4367959B2 (ja) | プラズマ処理装置 | |
US20230212735A1 (en) | Substrate processing system | |
WO2021257225A1 (en) | High temperature face plate for deposition application | |
US11674227B2 (en) | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure | |
US20200321202A1 (en) | Shield kit for process chamber | |
KR20210118384A (ko) | 기판 처리 장치 및 방법 | |
EP1193326A2 (en) | Apparatus for reducing particle residues in a semiconductor processing chamber | |
JPH088189A (ja) | プラズマ気相成長装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20010123 |
|
LAPS | Cancellation because of no payment of annual fees |