JP3162607B2 - 区画化された基板処理チャンバ - Google Patents
区画化された基板処理チャンバInfo
- Publication number
- JP3162607B2 JP3162607B2 JP21481495A JP21481495A JP3162607B2 JP 3162607 B2 JP3162607 B2 JP 3162607B2 JP 21481495 A JP21481495 A JP 21481495A JP 21481495 A JP21481495 A JP 21481495A JP 3162607 B2 JP3162607 B2 JP 3162607B2
- Authority
- JP
- Japan
- Prior art keywords
- compartment
- substrate
- opening
- processing
- support member
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims description 295
- 238000012545 processing Methods 0.000 title claims description 213
- 238000000034 method Methods 0.000 claims description 172
- 230000008569 process Effects 0.000 claims description 123
- 238000007789 sealing Methods 0.000 claims description 38
- 238000012546 transfer Methods 0.000 claims description 38
- 238000004140 cleaning Methods 0.000 claims description 21
- 239000004065 semiconductor Substances 0.000 claims description 7
- 230000000284 resting effect Effects 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 96
- 239000007789 gas Substances 0.000 description 38
- 239000010408 film Substances 0.000 description 21
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 19
- 239000000463 material Substances 0.000 description 17
- 238000005530 etching Methods 0.000 description 14
- 238000004891 communication Methods 0.000 description 13
- 239000000356 contaminant Substances 0.000 description 12
- 239000002826 coolant Substances 0.000 description 11
- 238000000151 deposition Methods 0.000 description 9
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 9
- 239000004568 cement Substances 0.000 description 8
- 230000008021 deposition Effects 0.000 description 8
- 230000005684 electric field Effects 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000012423 maintenance Methods 0.000 description 5
- 230000008439 repair process Effects 0.000 description 5
- 239000011538 cleaning material Substances 0.000 description 4
- 238000009826 distribution Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000001816 cooling Methods 0.000 description 3
- 238000003754 machining Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 230000000737 periodic effect Effects 0.000 description 3
- 230000002093 peripheral effect Effects 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 230000000712 assembly Effects 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 108010062580 Concanavalin A Proteins 0.000 description 1
- 244000273618 Sphenoclea zeylanica Species 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000003912 environmental pollution Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 210000004072 lung Anatomy 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67751—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
- Y10S438/908—Utilizing cluster apparatus
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Physical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Weting (AREA)
Description
【0001】
【発明の属する技術分野】本発明は、集積回路及び他の
デバイスが基板上に形成される、半導体処理の分野に関
し、特に、本発明は半導体ウエハ及び他の基板上にマイ
クロエレクトロニクスデバイスを形成するのに役立つ処
理チャンバに関する。
デバイスが基板上に形成される、半導体処理の分野に関
し、特に、本発明は半導体ウエハ及び他の基板上にマイ
クロエレクトロニクスデバイスを形成するのに役立つ処
理チャンバに関する。
【0002】
【従来の技術】半導体処理チャンバは、集積回路や他の
半導体デバイスをウエハ上に製造するための処理環境を
提供するのに用いられる。ウエハ上に集積回路を形成す
るために、それらは順次処理される。即ち、先ず第一に
金属の、或いは誘電性の或いは絶縁性の材料のフィルム
層がウエハ上に堆積される堆積チャンバ内で、次にその
堆積されたフィルム層上にマスクが形成されるリトグラ
フィ処理チャンバ内で、そして次にその前の工程で堆積
されたフィルム層の選択された部分がエッチングされる
エッチングチャンバ内で、順次処理される。そのウエハ
を処理するのに、一つ或いはそれ以上のイオン注入及び
不動態化(passivation)工程を用いてもよい。ウエハ上
にフィルム層を繰り返し堆積し、そのフィルム層上にマ
スクを形成し、そして次にそのマスクによって露出され
たフィルム層の領域を選択的にエッチングすることによ
って、集積回路デバイスがウエハ上に製造される。
半導体デバイスをウエハ上に製造するための処理環境を
提供するのに用いられる。ウエハ上に集積回路を形成す
るために、それらは順次処理される。即ち、先ず第一に
金属の、或いは誘電性の或いは絶縁性の材料のフィルム
層がウエハ上に堆積される堆積チャンバ内で、次にその
堆積されたフィルム層上にマスクが形成されるリトグラ
フィ処理チャンバ内で、そして次にその前の工程で堆積
されたフィルム層の選択された部分がエッチングされる
エッチングチャンバ内で、順次処理される。そのウエハ
を処理するのに、一つ或いはそれ以上のイオン注入及び
不動態化(passivation)工程を用いてもよい。ウエハ上
にフィルム層を繰り返し堆積し、そのフィルム層上にマ
スクを形成し、そして次にそのマスクによって露出され
たフィルム層の領域を選択的にエッチングすることによ
って、集積回路デバイスがウエハ上に製造される。
【0003】先行技術の半導体エッチング及び堆積チャ
ンバの殆どは幾つかの共通の特徴を有している。例え
ば、そのようなチャンバの殆どは、ウエハが処理のため
に受け入れられる真空エンクロージャの回りに作られて
いる。質量流量コントローラを有するガス入口と、ゲー
トバルブを通して真空ポンプに接続された絞られた排出
口とがチャンバエンクロージャと連通し、ウエハ処理の
ために必要な真空条件及び処理ガス流れを提供する。そ
のエンクロージャ内にはウエハ支持部材が置かれてお
り、堆積或いはエッチング処理中に、エンクロージャ内
のウエハのための安定した置場所を提供する。スリット
バルブが、エンクロージャの壁を通して伸延しており、
ロボットブレードがウエハを支持部材上に置き、またウ
エハをそこから取り去ることができるようにしている。
ンバの殆どは幾つかの共通の特徴を有している。例え
ば、そのようなチャンバの殆どは、ウエハが処理のため
に受け入れられる真空エンクロージャの回りに作られて
いる。質量流量コントローラを有するガス入口と、ゲー
トバルブを通して真空ポンプに接続された絞られた排出
口とがチャンバエンクロージャと連通し、ウエハ処理の
ために必要な真空条件及び処理ガス流れを提供する。そ
のエンクロージャ内にはウエハ支持部材が置かれてお
り、堆積或いはエッチング処理中に、エンクロージャ内
のウエハのための安定した置場所を提供する。スリット
バルブが、エンクロージャの壁を通して伸延しており、
ロボットブレードがウエハを支持部材上に置き、またウ
エハをそこから取り去ることができるようにしている。
【0004】チャンバ内でエッチング或いは堆積処理工
程を行うために、プロセスガスが真空エンクロージャを
通して流される。そのガスは、化学蒸着(chemical vapo
r deposition)の場合と同様に、ウエハ上にフィルムを
堆積し、或いはそのガスは解離ガス原子を提供する。そ
してその原子は、エンクロージャ内の電場にさらされる
と、励起されてプラズマになる。そのプラズマは、ウエ
ハ上に既に堆積されたフィルム層を選択的にエッチング
するエッチングプラズマを形成し、或いはそのプラズマ
は物理蒸着(physical vapor deposition)の場合と同様
に、ターゲットをスパッタしウエハ上に堆積フィルム層
を形成するための材料を提供するのに用いられる。その
フィルム層がウエハ上に形成された後、或いは前工程で
ウエハ上に形成された堆積層がエッチングされた後に、
処理ガスはエンクロージャから排出され、またそのウエ
ハはスリットバルブを通してエンクロージャから取り出
される。
程を行うために、プロセスガスが真空エンクロージャを
通して流される。そのガスは、化学蒸着(chemical vapo
r deposition)の場合と同様に、ウエハ上にフィルムを
堆積し、或いはそのガスは解離ガス原子を提供する。そ
してその原子は、エンクロージャ内の電場にさらされる
と、励起されてプラズマになる。そのプラズマは、ウエ
ハ上に既に堆積されたフィルム層を選択的にエッチング
するエッチングプラズマを形成し、或いはそのプラズマ
は物理蒸着(physical vapor deposition)の場合と同様
に、ターゲットをスパッタしウエハ上に堆積フィルム層
を形成するための材料を提供するのに用いられる。その
フィルム層がウエハ上に形成された後、或いは前工程で
ウエハ上に形成された堆積層がエッチングされた後に、
処理ガスはエンクロージャから排出され、またそのウエ
ハはスリットバルブを通してエンクロージャから取り出
される。
【0005】以上述べた各プロセスの間、フィルム層
が、エンクロージャの壁、支持部材、スリットバルブ、
エンクロージャの入口などの表面を含むエンクロージャ
の露出した表面上にも形成され、またエンクロージャの
排出口及びポンプを含むチャンバ支持機器の中にさえも
形成される。チャンバの表面上に形成されたフィルム層
は、堆積処理の場合と同様に、主として堆積層材料から
成り、或いはエッチングプロセスの場合と同様に、主と
してエッチングの副産物から成る。このフィルム層は砕
けやすく、その場所に残されると、ウエハ上に堆積しう
る汚染粒子をエンクロージャ内に形成することになる。
十分な大きさの汚染粒子一個が一つのウエハ上に堆積す
ると、そのウエハ上に形成される一或いはそれ以上の半
導体デバイスに欠陥が生じる。それ故、エンクロージャ
は定期的に掃除をし、これらの汚染物質を除去しなけれ
ばならない。
が、エンクロージャの壁、支持部材、スリットバルブ、
エンクロージャの入口などの表面を含むエンクロージャ
の露出した表面上にも形成され、またエンクロージャの
排出口及びポンプを含むチャンバ支持機器の中にさえも
形成される。チャンバの表面上に形成されたフィルム層
は、堆積処理の場合と同様に、主として堆積層材料から
成り、或いはエッチングプロセスの場合と同様に、主と
してエッチングの副産物から成る。このフィルム層は砕
けやすく、その場所に残されると、ウエハ上に堆積しう
る汚染粒子をエンクロージャ内に形成することになる。
十分な大きさの汚染粒子一個が一つのウエハ上に堆積す
ると、そのウエハ上に形成される一或いはそれ以上の半
導体デバイスに欠陥が生じる。それ故、エンクロージャ
は定期的に掃除をし、これらの汚染物質を除去しなけれ
ばならない。
【0006】チャンバの内面を掃除するためには、真空
エンクロージャのカバー或いは他のアクセスパネルを取
り除き、エンクロージャの内面を外部にさらさなければ
ならない。次に、エンクロージャの内壁及び他の表面上
に形成されたフィルム層は、水及び/或いは他の材料に
よって掃除される。更に、真空ポンプやスロットルバル
ブのような、プロセス環境にさらされる他のチャンバ部
品もまたチャンバから取り出され、その内部ポンプ及び
バルブの表面が掃除できるようにする。清掃後、そのポ
ンプ、バルブ及びカバーは元に戻され、エンクロージャ
は再び稼働圧力までポンプにより排気される。エンクロ
ージャの表面を清掃するのに水が使われ、また清掃プロ
セス中に金属のエンクロージャ表面に水が吸収されるの
で、チャンバ内に満足で安定した真空圧が維持されるた
めには、その水がエンクロージャから除去されなければ
ならない。それ故、チャンバは高温においてベークアウ
トされ、エンクロージャの表面から水を追い出すのを助
け、そのようにして安定した真空が維持できる乾いたエ
ンクロージャ環境を提供する。このベークアウト期間は
典型的には、少なくとも8時間継続する。
エンクロージャのカバー或いは他のアクセスパネルを取
り除き、エンクロージャの内面を外部にさらさなければ
ならない。次に、エンクロージャの内壁及び他の表面上
に形成されたフィルム層は、水及び/或いは他の材料に
よって掃除される。更に、真空ポンプやスロットルバル
ブのような、プロセス環境にさらされる他のチャンバ部
品もまたチャンバから取り出され、その内部ポンプ及び
バルブの表面が掃除できるようにする。清掃後、そのポ
ンプ、バルブ及びカバーは元に戻され、エンクロージャ
は再び稼働圧力までポンプにより排気される。エンクロ
ージャの表面を清掃するのに水が使われ、また清掃プロ
セス中に金属のエンクロージャ表面に水が吸収されるの
で、チャンバ内に満足で安定した真空圧が維持されるた
めには、その水がエンクロージャから除去されなければ
ならない。それ故、チャンバは高温においてベークアウ
トされ、エンクロージャの表面から水を追い出すのを助
け、そのようにして安定した真空が維持できる乾いたエ
ンクロージャ環境を提供する。このベークアウト期間は
典型的には、少なくとも8時間継続する。
【0007】プロセスチャンバを清掃しベークアウトす
るのに要する時間は、プロセスチャンバの使用者にとっ
ては作業休止時間、ダウンタイムである。これらの期間
においてはチャンバ内でウエハ処理が行えないからであ
る。チャンバのダウンタイムの長さは、プロセスチャン
バがトランスファチャンバを通して複数の他のプロセス
チャンバに連結され、プロセスチャンバスリットバルブ
が清掃されなければならないときには、更に複合的に倍
増する。スリットバルブは、それが清掃されている間は
少なくとも開いていなければならない。プロセスチャン
バのカバーは、スリットバルブに近づくためには取り除
かれなければならないので、その開いたスリットバルブ
はそれが清掃されるときには、トランスファチャンバに
大気条件を連通させることになる。更には、スリットバ
ルブ或いはチャンバの清掃中にそのスリットバルブが開
かれているときには、水或いは他の物質がトランスファ
チャンバの表面に接触し、その結果、プロセスチャンバ
が清掃され再び密封された後に安定した真空を達成する
ためにはトランスファチャンバのベークアウトを必要と
することになる。スリットバルブがトランスファチャン
バに対して開かれている時間の間、そのトランスファチ
ャンバと他の全てのプロセスチャンバとの間の連通を中
断し、一つのプロセスチャンバを清掃しても、トランス
ファチャンバにつながれた他のプロセスチャンバのいず
れをも汚染することがないことを確実にしなければなら
ない。それ故、プロセスチャンバスリットバルブが清掃
されている間は、トランスファチャンバにつながれた他
のプロセスチャンバの各々は使用することができず、或
いはスリットバルブが開かれているときに他のプロセス
チャンバ内に既に置かれたウエハのみが処理でき、また
それらのウエハは、スリットバルブが閉じられトランス
ファチャンバを隔離し、そのトランスファチャンバがポ
ンプで排気され、また必要ならばベークアウトされるま
で、その他のプロセスチャンバから取り出すことができ
ない。
るのに要する時間は、プロセスチャンバの使用者にとっ
ては作業休止時間、ダウンタイムである。これらの期間
においてはチャンバ内でウエハ処理が行えないからであ
る。チャンバのダウンタイムの長さは、プロセスチャン
バがトランスファチャンバを通して複数の他のプロセス
チャンバに連結され、プロセスチャンバスリットバルブ
が清掃されなければならないときには、更に複合的に倍
増する。スリットバルブは、それが清掃されている間は
少なくとも開いていなければならない。プロセスチャン
バのカバーは、スリットバルブに近づくためには取り除
かれなければならないので、その開いたスリットバルブ
はそれが清掃されるときには、トランスファチャンバに
大気条件を連通させることになる。更には、スリットバ
ルブ或いはチャンバの清掃中にそのスリットバルブが開
かれているときには、水或いは他の物質がトランスファ
チャンバの表面に接触し、その結果、プロセスチャンバ
が清掃され再び密封された後に安定した真空を達成する
ためにはトランスファチャンバのベークアウトを必要と
することになる。スリットバルブがトランスファチャン
バに対して開かれている時間の間、そのトランスファチ
ャンバと他の全てのプロセスチャンバとの間の連通を中
断し、一つのプロセスチャンバを清掃しても、トランス
ファチャンバにつながれた他のプロセスチャンバのいず
れをも汚染することがないことを確実にしなければなら
ない。それ故、プロセスチャンバスリットバルブが清掃
されている間は、トランスファチャンバにつながれた他
のプロセスチャンバの各々は使用することができず、或
いはスリットバルブが開かれているときに他のプロセス
チャンバ内に既に置かれたウエハのみが処理でき、また
それらのウエハは、スリットバルブが閉じられトランス
ファチャンバを隔離し、そのトランスファチャンバがポ
ンプで排気され、また必要ならばベークアウトされるま
で、その他のプロセスチャンバから取り出すことができ
ない。
【0008】プロセスチャンバの清掃及びベークアウト
に起因するダウンタイムに加えて、多くのチャンバメン
テナンス処置がダウンタイムの一因となる。例えば、ポ
ンプ及びポンプ絞り弁の手入れがしばしばダウンタイム
の一因となる。典型的な先行技術のプロセスチャンバに
おいては、スロットルバルブがチャンバエンクロージャ
とポンプとの間に置かれる。もしスロットルバルブが、
ポンプを掃除する必要がないのに修理しなければなら
ず、或いは掃除しなければならない場合、スロットルバ
ルブに近づくためにポンプも取り除かなければならな
い。ポンプ及びスロットルバルブを取り除くために必要
な時間は、スロットルバルブだけを取り除くのに必要な
時間よりも非常に長く、この時間の差がまたチャンバの
ダウンタイムの一因となる。更に、一旦ポンプがチャン
バから取り外され、その内面が大気にさらされると、ポ
ンプが安定した真空圧を維持することができるようにす
るためには、ポンプそれ自身がベークアウトされなけれ
ばならないし或いは他の方法で安定化されなければなら
ない。
に起因するダウンタイムに加えて、多くのチャンバメン
テナンス処置がダウンタイムの一因となる。例えば、ポ
ンプ及びポンプ絞り弁の手入れがしばしばダウンタイム
の一因となる。典型的な先行技術のプロセスチャンバに
おいては、スロットルバルブがチャンバエンクロージャ
とポンプとの間に置かれる。もしスロットルバルブが、
ポンプを掃除する必要がないのに修理しなければなら
ず、或いは掃除しなければならない場合、スロットルバ
ルブに近づくためにポンプも取り除かなければならな
い。ポンプ及びスロットルバルブを取り除くために必要
な時間は、スロットルバルブだけを取り除くのに必要な
時間よりも非常に長く、この時間の差がまたチャンバの
ダウンタイムの一因となる。更に、一旦ポンプがチャン
バから取り外され、その内面が大気にさらされると、ポ
ンプが安定した真空圧を維持することができるようにす
るためには、ポンプそれ自身がベークアウトされなけれ
ばならないし或いは他の方法で安定化されなければなら
ない。
【0009】ウエハをロボットブレードから支持部材ま
で移送するのに用いられる中間ウエハ支持体のような、
チャンバエンクロージャ内の可動部品の存在はまたチャ
ンバのダウンタイムの原因となる。チャンバエンクロー
ジャ内の可動部品は、チャンバ内のプロセス環境にさら
されているので、チャンバの使用中に堆積或いは汚染物
質層を受け取る。この汚染物質層は、ウエハ上の粒子汚
染物質の第一の源である。それはこれらの部品が動くこ
とによって、処理中にその上に堆積した汚染物質層の部
分を解放する傾向があるからである。それ故、これらの
表面は定期的に清掃されなければならず、そのことによ
りチャンバを清掃するのに必要な時間が増える。
で移送するのに用いられる中間ウエハ支持体のような、
チャンバエンクロージャ内の可動部品の存在はまたチャ
ンバのダウンタイムの原因となる。チャンバエンクロー
ジャ内の可動部品は、チャンバ内のプロセス環境にさら
されているので、チャンバの使用中に堆積或いは汚染物
質層を受け取る。この汚染物質層は、ウエハ上の粒子汚
染物質の第一の源である。それはこれらの部品が動くこ
とによって、処理中にその上に堆積した汚染物質層の部
分を解放する傾向があるからである。それ故、これらの
表面は定期的に清掃されなければならず、そのことによ
りチャンバを清掃するのに必要な時間が増える。
【0010】出願人に知られた一つの先行技術の装置
は、基板装填環境から分離された区画(compartment)内
にプロセス環境を維持し、またそのようにして、支持部
材上に基板を置くのに用いられる基板装填装置からプロ
セス環境を少なくとも部分的に隔離していた。MRCか
ら入手できるエクリップススパッタツール(Eclipse spu
tter tool)として知られるこのマルチステーション(mul
ti-station)装置は、ファイヤウォール(fire wall)を通
して複数の別々のプロセスステーションに接続された、
大型メインチャンバを含んでいた。各プロセスステーシ
ョンは、チャンバ穴(aperture)の上方のファイヤウォー
ルの外側に置かれていた。複数の基板ヒータが、そのメ
インチャンバ内に置かれており、各ヒータは特定のプロ
セスステーションのために使用された。複数の穴を有す
る大きい回転トランスファプレートが、前記ファイヤウ
ォールに実質的に平行に置かれていた。一つの基板が、
前記回転プレート内の穴の各々の中に支持され、各基板
が前記メインチャンバ内で動くことができ、ファイヤウ
ォールの穴の各々と芯が一致するように位置付けられ、
そのようにしてプロセスステーションの各々と芯が一致
するようになる。
は、基板装填環境から分離された区画(compartment)内
にプロセス環境を維持し、またそのようにして、支持部
材上に基板を置くのに用いられる基板装填装置からプロ
セス環境を少なくとも部分的に隔離していた。MRCか
ら入手できるエクリップススパッタツール(Eclipse spu
tter tool)として知られるこのマルチステーション(mul
ti-station)装置は、ファイヤウォール(fire wall)を通
して複数の別々のプロセスステーションに接続された、
大型メインチャンバを含んでいた。各プロセスステーシ
ョンは、チャンバ穴(aperture)の上方のファイヤウォー
ルの外側に置かれていた。複数の基板ヒータが、そのメ
インチャンバ内に置かれており、各ヒータは特定のプロ
セスステーションのために使用された。複数の穴を有す
る大きい回転トランスファプレートが、前記ファイヤウ
ォールに実質的に平行に置かれていた。一つの基板が、
前記回転プレート内の穴の各々の中に支持され、各基板
が前記メインチャンバ内で動くことができ、ファイヤウ
ォールの穴の各々と芯が一致するように位置付けられ、
そのようにしてプロセスステーションの各々と芯が一致
するようになる。
【0011】MRCマルチステーションツールは、一以
上のプロセスステーションを通して順番に基板を処理す
るのに用いられた。ここで、スパッタ環境がプロセスス
テーションの各々の中に維持される。稼働の際は、基板
は装填位置にある回転プレート中の穴の中に装填され、
マルチステーションツール全体を通して処理のために回
転された。基板に処理工程を行うために、基板は先ず個
別のプロセスステーションの上において芯が一致され、
次に基板ヒータが、メインチャンバ内の引っ込んだ位置
から出っ張った位置まで動かされた。そのヒータは、基
板を加熱するためにその基板の裏側を回転壁穴内に係合
するプレート部分と、回転プレートに対して係合し、そ
の回転プレートをファイヤウォールに押し付ける出っ張
った環状壁を備えた。環状壁の回転プレートに対する界
面(interface)部分、及び回転プレートとファイヤウォ
ールとの間にシールが提供され、スパッタプロセスのた
めのシールされたステーションを作った。一旦全てのヒ
ータが出っ張った位置に動かされると、スパッタ堆積環
境がプロセスステーションの各々に作り出される。その
プロセスがプロセスステーション中で完了した後に、ヒ
ータの各々はそれぞれのプロセスチャンバから引っ込
み、回転プレートが回転し、基板を次のプロセスステー
ションに置く。
上のプロセスステーションを通して順番に基板を処理す
るのに用いられた。ここで、スパッタ環境がプロセスス
テーションの各々の中に維持される。稼働の際は、基板
は装填位置にある回転プレート中の穴の中に装填され、
マルチステーションツール全体を通して処理のために回
転された。基板に処理工程を行うために、基板は先ず個
別のプロセスステーションの上において芯が一致され、
次に基板ヒータが、メインチャンバ内の引っ込んだ位置
から出っ張った位置まで動かされた。そのヒータは、基
板を加熱するためにその基板の裏側を回転壁穴内に係合
するプレート部分と、回転プレートに対して係合し、そ
の回転プレートをファイヤウォールに押し付ける出っ張
った環状壁を備えた。環状壁の回転プレートに対する界
面(interface)部分、及び回転プレートとファイヤウォ
ールとの間にシールが提供され、スパッタプロセスのた
めのシールされたステーションを作った。一旦全てのヒ
ータが出っ張った位置に動かされると、スパッタ堆積環
境がプロセスステーションの各々に作り出される。その
プロセスがプロセスステーション中で完了した後に、ヒ
ータの各々はそれぞれのプロセスチャンバから引っ込
み、回転プレートが回転し、基板を次のプロセスステー
ションに置く。
【0012】MRCマルチステーションツールの構成は
幾つかの固有の制限を有する。特に、このツールは本来
的に、ステーション間のお互いの汚染を被りやすい。そ
れは回転プレートの一部が、基板がプロセスステーショ
ンの各々の中での処理のために回転される際、各プロセ
ス環境にさらされるからである。このようにして、異な
ったプロセスステーション中で基板上に異なった材料が
堆積されるときは、その特定のプロセスステーション中
に存在する材料以外の材料から成る不純物が、回転プレ
ートから解放されてメインチャンバ内に入り込みうる。
更には、プロセスステーションの各々に維持されている
プロセス環境の痕跡程度の極めて微量な物質が、ヒータ
が個別のプロセスステーションから引っ込んだときに、
メインチャンバ中に放出される。これらの痕跡程度の量
の汚染物質は、メインチャンバ中に、及びプロセスステ
ーション中に混じり込み、且つ蓄積し、大きいメインチ
ャンバを含むマルチステーションツール全体が、個別の
プロセスステーション中に維持されているプロセス環境
の汚染を防止するために清掃されなければならないよう
な点にまで達する。最後には、処理量(throughput)がツ
ール中で行われる最も遅い処理によって制限されるの
で、そのツールの稼働は遅くなる。
幾つかの固有の制限を有する。特に、このツールは本来
的に、ステーション間のお互いの汚染を被りやすい。そ
れは回転プレートの一部が、基板がプロセスステーショ
ンの各々の中での処理のために回転される際、各プロセ
ス環境にさらされるからである。このようにして、異な
ったプロセスステーション中で基板上に異なった材料が
堆積されるときは、その特定のプロセスステーション中
に存在する材料以外の材料から成る不純物が、回転プレ
ートから解放されてメインチャンバ内に入り込みうる。
更には、プロセスステーションの各々に維持されている
プロセス環境の痕跡程度の極めて微量な物質が、ヒータ
が個別のプロセスステーションから引っ込んだときに、
メインチャンバ中に放出される。これらの痕跡程度の量
の汚染物質は、メインチャンバ中に、及びプロセスステ
ーション中に混じり込み、且つ蓄積し、大きいメインチ
ャンバを含むマルチステーションツール全体が、個別の
プロセスステーション中に維持されているプロセス環境
の汚染を防止するために清掃されなければならないよう
な点にまで達する。最後には、処理量(throughput)がツ
ール中で行われる最も遅い処理によって制限されるの
で、そのツールの稼働は遅くなる。
【0013】
【発明が解決しようとする課題】チャンバ清掃のための
分解修理時間を低減するようなプロセスチャンバが必要
とされており、また、複数のチャンバが一つのトランス
ファチャンバに接続されている場合に、そのうち一つの
チャンバの清掃のために、トランスファチャンバに接続
された他のチャンバを停止することを要しないような配
置が必要とされている。
分解修理時間を低減するようなプロセスチャンバが必要
とされており、また、複数のチャンバが一つのトランス
ファチャンバに接続されている場合に、そのうち一つの
チャンバの清掃のために、トランスファチャンバに接続
された他のチャンバを停止することを要しないような配
置が必要とされている。
【0014】
【課題を解決するための手段】本発明は、プロセスチャ
ンバが少なくとも二つのコンパートメント(compartmen
t)に分割され、その二つのコンパートメントのうちの一
つのみにプロセス環境が維持できるような、プロセスチ
ャンバ内で、ウエハを処理するための方法及び装置を提
供する。係るチャンバは、少なくとも第一のコンパート
メントと、第二のコンパートメントと、これら二つのコ
ンパートメントの間の連通穴(communication aperture)
を覆うような配置をとることにより、第一のコンパート
メントと第二のコンパートメントとを互いにシールする
ことが可能な、可動壁(movable wall)とを備える。
ンバが少なくとも二つのコンパートメント(compartmen
t)に分割され、その二つのコンパートメントのうちの一
つのみにプロセス環境が維持できるような、プロセスチ
ャンバ内で、ウエハを処理するための方法及び装置を提
供する。係るチャンバは、少なくとも第一のコンパート
メントと、第二のコンパートメントと、これら二つのコ
ンパートメントの間の連通穴(communication aperture)
を覆うような配置をとることにより、第一のコンパート
メントと第二のコンパートメントとを互いにシールする
ことが可能な、可動壁(movable wall)とを備える。
【0015】本発明の一つの局面においては、プロセス
環境が維持される方のコンパートメント全体を取り外し
て、ベークアウト済みのコンパートメントに交換するこ
とが可能であり、それによって、プロセスコンパートメ
ントの掃除が必要な場合に迅速な分解修理が可能とな
る。更に加えるに、プロセスチャンバをそのままで掃除
する場合は、可動壁を連通穴に置くことで、清掃材料が
処理に使用しない方のコンパートメントの表面に接触す
ることを防止し、また上方のコンパートメントが開かれ
ているとき、処理に使用しないコンパートメントが大気
条件にさらされるのを防止する。処理に使用しないコン
パートメントを清掃材料からまた大気条件から隔離する
ことによって、清掃後チャンバ全体をベークアウトしな
ければならなかった先行技術におけるよりも短いベーク
アウト時間が可能になるように図られている。
環境が維持される方のコンパートメント全体を取り外し
て、ベークアウト済みのコンパートメントに交換するこ
とが可能であり、それによって、プロセスコンパートメ
ントの掃除が必要な場合に迅速な分解修理が可能とな
る。更に加えるに、プロセスチャンバをそのままで掃除
する場合は、可動壁を連通穴に置くことで、清掃材料が
処理に使用しない方のコンパートメントの表面に接触す
ることを防止し、また上方のコンパートメントが開かれ
ているとき、処理に使用しないコンパートメントが大気
条件にさらされるのを防止する。処理に使用しないコン
パートメントを清掃材料からまた大気条件から隔離する
ことによって、清掃後チャンバ全体をベークアウトしな
ければならなかった先行技術におけるよりも短いベーク
アウト時間が可能になるように図られている。
【0016】本発明の更に別の局面においては、前記可
動壁は、基板支持部材として形成されており、また第二
のコンパートメント内に置くことができ、ウエハをその
上に置き或いはそこから取り除くことができるようにな
っており、また更に前記二つのコンパートメントの間の
穴(aperture)をシールするようにチャンバ内に置くこと
ができ、また同時に第一のコンパートメント内に処理の
ためにウエハを置くことができるようになっている。
動壁は、基板支持部材として形成されており、また第二
のコンパートメント内に置くことができ、ウエハをその
上に置き或いはそこから取り除くことができるようにな
っており、また更に前記二つのコンパートメントの間の
穴(aperture)をシールするようにチャンバ内に置くこと
ができ、また同時に第一のコンパートメント内に処理の
ためにウエハを置くことができるようになっている。
【0017】本発明の更なる局面においては、ポンプオ
リフィスを絞るために用いられるバルブは、ポンプを取
り除くことなく、またポンプのポンピング部品を大気に
さらすことなく、チャンバから取り外すことができる。
本発明の別の局面においては、スリットバルブ及び/ま
たはウエハ取扱い機器は、第二のコンパートメント内に
置かれており、最小限の可動部品だけがプロセス環境に
さらされるようになっている。第二のコンパートメント
内の可動部品を隔離し、そのようにして処理環境による
汚染を低減しあるいは排除することによって、可動部品
を清掃するまでにチャンバ内で行うことのできるウエハ
処理稼働数が増大する。本発明のさらに別の局面におい
ては、ウエハをロボットアームから移送し基板支持部材
上に移送するための中間ウエハ支持部は、基板支持部材
内に受容されまたそれによって選択的にシールされる複
数のピンと、第二のコンパートメント内に配設されそれ
によってプロセス環境及びその汚染物質から有利に隔離
されたピンアクチュエータとを含む。
リフィスを絞るために用いられるバルブは、ポンプを取
り除くことなく、またポンプのポンピング部品を大気に
さらすことなく、チャンバから取り外すことができる。
本発明の別の局面においては、スリットバルブ及び/ま
たはウエハ取扱い機器は、第二のコンパートメント内に
置かれており、最小限の可動部品だけがプロセス環境に
さらされるようになっている。第二のコンパートメント
内の可動部品を隔離し、そのようにして処理環境による
汚染を低減しあるいは排除することによって、可動部品
を清掃するまでにチャンバ内で行うことのできるウエハ
処理稼働数が増大する。本発明のさらに別の局面におい
ては、ウエハをロボットアームから移送し基板支持部材
上に移送するための中間ウエハ支持部は、基板支持部材
内に受容されまたそれによって選択的にシールされる複
数のピンと、第二のコンパートメント内に配設されそれ
によってプロセス環境及びその汚染物質から有利に隔離
されたピンアクチュエータとを含む。
【0018】本発明に従った構成には、共通開口部によ
って第二のコンパートメントに接続される第一のコンパ
ートメントを有する基板処理装置が含まれる。この第一
のコンパートメントは、導電性の部分を有していてもよ
い。開口部は、導電性部分の中を貫くような構成が与え
られていてもよい。一方のコンパートメントの可動の基
板支持部材が移動して、開口部を選択的にシールして、
第一のコンパートメントの中の処理環境を隔離し、ま
た、第一のコンパートメントは取り外し可能であり、且
つ、別の第一のコンパートメントと交換可能とされる。
第一のコンパートメントは、一体の取り外し可能な要素
であり、処理装置に装着する前に清掃及びベークアウト
が可能である。第一のコンパートメント及び第二のコン
パートメントは共通の壁を共有し、この共通の壁の中を
共通の開口部が伸びている。基板支持部材は、収縮の位
置にあっては、開口部を抜ける通路を開かせて、第一の
コンパートメントの環境を第二のコンパートメントにさ
らすようにすることができる。また、基板支持部材が伸
張の位置にあれば、基板支持部材が共通の壁と接触して
開口部をブロックし、第一のコンパートメントを第二の
コンパートメントから隔離することができる。
って第二のコンパートメントに接続される第一のコンパ
ートメントを有する基板処理装置が含まれる。この第一
のコンパートメントは、導電性の部分を有していてもよ
い。開口部は、導電性部分の中を貫くような構成が与え
られていてもよい。一方のコンパートメントの可動の基
板支持部材が移動して、開口部を選択的にシールして、
第一のコンパートメントの中の処理環境を隔離し、ま
た、第一のコンパートメントは取り外し可能であり、且
つ、別の第一のコンパートメントと交換可能とされる。
第一のコンパートメントは、一体の取り外し可能な要素
であり、処理装置に装着する前に清掃及びベークアウト
が可能である。第一のコンパートメント及び第二のコン
パートメントは共通の壁を共有し、この共通の壁の中を
共通の開口部が伸びている。基板支持部材は、収縮の位
置にあっては、開口部を抜ける通路を開かせて、第一の
コンパートメントの環境を第二のコンパートメントにさ
らすようにすることができる。また、基板支持部材が伸
張の位置にあれば、基板支持部材が共通の壁と接触して
開口部をブロックし、第一のコンパートメントを第二の
コンパートメントから隔離することができる。
【0019】第一のコンパートメントと第二のコンパー
トメントとは、それぞれが、排気通路(例えばオリフィ
ス)を画成する延長部(例えばフランジ)を有していて
もよい。この延長部は、ポンプ開口部とバルブ開口部の
ところで終了する。延長部は、ポンプ開口部と連通する
真空ポンプを載置(支持)することができるようになっ
ており、また、更に、通路を出入りする部品を有する第
一のバルブを載置して、コンパートメントとポンプの間
の通路の少なくとも一部又は全部をブロックするように
構成されていてもよい。延長部は更に、延長部とポンプ
の間に位置する第二のバルブを有して、第一のバルブと
第一のコンパートメントの双方又は一方を取り外す際ポ
ンプをシールし、あるいは、ポンプを取り外す際第一の
バルブと第一のコンパートメントをシールしてもよい。
トメントとは、それぞれが、排気通路(例えばオリフィ
ス)を画成する延長部(例えばフランジ)を有していて
もよい。この延長部は、ポンプ開口部とバルブ開口部の
ところで終了する。延長部は、ポンプ開口部と連通する
真空ポンプを載置(支持)することができるようになっ
ており、また、更に、通路を出入りする部品を有する第
一のバルブを載置して、コンパートメントとポンプの間
の通路の少なくとも一部又は全部をブロックするように
構成されていてもよい。延長部は更に、延長部とポンプ
の間に位置する第二のバルブを有して、第一のバルブと
第一のコンパートメントの双方又は一方を取り外す際ポ
ンプをシールし、あるいは、ポンプを取り外す際第一の
バルブと第一のコンパートメントをシールしてもよい。
【0020】この第一のコンパートメントは、共通のベ
ースに支持され且つ又は共通のベースから取り出し可能
であるように、構成されていてもよい。
ースに支持され且つ又は共通のベースから取り出し可能
であるように、構成されていてもよい。
【0021】構成の1つでは、ポンプ開口部及びバルブ
開口部が調心され、フランジが、バルブとポンプを正反
対の位置に装着できるようになっている。
開口部が調心され、フランジが、バルブとポンプを正反
対の位置に装着できるようになっている。
【0022】第二のコンパートメントは、基板搬入開口
部を画成し、この開口部に関してゲートバルブが与えら
れて開口部の開閉を行うように、構成されてもよい。こ
の構成では、第二のコンパートメントは、ゲートバルブ
と基板支持部材とによりシールできるため、ゲートバル
ブが基板処理の環境に曝露されなくてもよい。
部を画成し、この開口部に関してゲートバルブが与えら
れて開口部の開閉を行うように、構成されてもよい。こ
の構成では、第二のコンパートメントは、ゲートバルブ
と基板支持部材とによりシールできるため、ゲートバル
ブが基板処理の環境に曝露されなくてもよい。
【0023】別の構成では、駆動部材が第二のコンパー
トメントの中に与えられ、可動の基板支持部材を移動さ
せてもよい。
トメントの中に与えられ、可動の基板支持部材を移動さ
せてもよい。
【0024】基板支持部材は、搬入開口部を介して基板
を第二のコンパートメントに出し入れできるような第一
の位置をとることができる。また、基板支持部材は、共
通開口部を覆うことで、第一のコンパートメントを第二
のコンパートメントから遮断しつつ基板を処理のため第
一のコンパートメント内に配置させるような、第二の位
置をとることができる。
を第二のコンパートメントに出し入れできるような第一
の位置をとることができる。また、基板支持部材は、共
通開口部を覆うことで、第一のコンパートメントを第二
のコンパートメントから遮断しつつ基板を処理のため第
一のコンパートメント内に配置させるような、第二の位
置をとることができる。
【0025】基板支持部材は、陰極を有していてもよ
い。
い。
【0026】エッチングプロセスの環境、化学蒸着プロ
セスの環境、又は、物理蒸着の環境が、第一のコンパー
トメントに維持されてもよい。
セスの環境、又は、物理蒸着の環境が、第一のコンパー
トメントに維持されてもよい。
【0027】この装置は、搬入開口部のところで第二の
コンパートメントとつながる基板移送チャンバと、この
移送チャンバとつながる第二の処理チャンバとを有して
いてもよく、この場合、第一のコンパートメントで基板
が処理されている間は、搬入開口部が開の位置に維持さ
れている。
コンパートメントとつながる基板移送チャンバと、この
移送チャンバとつながる第二の処理チャンバとを有して
いてもよく、この場合、第一のコンパートメントで基板
が処理されている間は、搬入開口部が開の位置に維持さ
れている。
【0028】この装置は、搬入開口部を第一のコンパー
トメントと第二のコンパートメントの何れかからシール
するように選択的にその位置が与えられるゲート装置
を、搬入開口部に近接して有していてもよい。
トメントと第二のコンパートメントの何れかからシール
するように選択的にその位置が与えられるゲート装置
を、搬入開口部に近接して有していてもよい。
【0029】またこの装置は、第二のコンパートメント
に通じる第二の真空ポンプを有していてもよく、この第
二の真空ポンプは、基板処理が第一のコンパートメント
で行われている間第二のコンパートメントの圧力を第一
のコンパートメントの圧力よりも低く維持するように構
成されていてもよい。
に通じる第二の真空ポンプを有していてもよく、この第
二の真空ポンプは、基板処理が第一のコンパートメント
で行われている間第二のコンパートメントの圧力を第一
のコンパートメントの圧力よりも低く維持するように構
成されていてもよい。
【0030】本発明に従った、清浄なプロセスチャンバ
を維持する方法では、第一のコンパートメントを与え、
第二のコンパートメントを与え、第一のコンパートメン
トと第二のコンパートメントとの間にある共通開口部を
与え、共通開口部をシールするために共通の開口部の上
に選択的に配置させることが可能な可動壁を与え、可動
壁を共通開口部に移動させて共通開口部を塞ぎつつ、第
一のコンパートメント内に処理環境を維持し、元の第一
のコンパートメント内で基板が少なくとも一枚処理され
た後に、第一のコンパートメントを取り除き、それをベ
ークアウト済みの清浄な別の第一のコンパートメントと
交換する。第一のコンパートメントは、導電性の部分を
有していてもよく、ここでは、可動壁がこの導電性部分
と接触可能である。
を維持する方法では、第一のコンパートメントを与え、
第二のコンパートメントを与え、第一のコンパートメン
トと第二のコンパートメントとの間にある共通開口部を
与え、共通開口部をシールするために共通の開口部の上
に選択的に配置させることが可能な可動壁を与え、可動
壁を共通開口部に移動させて共通開口部を塞ぎつつ、第
一のコンパートメント内に処理環境を維持し、元の第一
のコンパートメント内で基板が少なくとも一枚処理され
た後に、第一のコンパートメントを取り除き、それをベ
ークアウト済みの清浄な別の第一のコンパートメントと
交換する。第一のコンパートメントは、導電性の部分を
有していてもよく、ここでは、可動壁がこの導電性部分
と接触可能である。
【0031】本発明に従った方法では更に、共通開口部
を塞ぐように可動壁を配置させ、第一のコンパートメン
トを露出させ、共通開口部を塞ぐ配置に可動壁を維持し
つつ第一のコンパートメントを清掃する。第一のコンパ
ートメントは、それ自身を貫く共通開口部を有するベー
スを有していてもよく、この第一のコンパートメントの
ベースは、第二のコンパートメントのカバーを形成す
る。第一のコンパートメントと第二のコンパートメント
は、共通の壁を共有していてもよい。
を塞ぐように可動壁を配置させ、第一のコンパートメン
トを露出させ、共通開口部を塞ぐ配置に可動壁を維持し
つつ第一のコンパートメントを清掃する。第一のコンパ
ートメントは、それ自身を貫く共通開口部を有するベー
スを有していてもよく、この第一のコンパートメントの
ベースは、第二のコンパートメントのカバーを形成す
る。第一のコンパートメントと第二のコンパートメント
は、共通の壁を共有していてもよい。
【0032】プロセスチャンバを清掃する方法は、第一
のコンパートメントを与え、第二のコンパートメントを
与え、第一のコンパートメントと第二のコンパートメン
トとの間に共通の開口部を伸張させ、可動壁を提供し、
第一のコンパートメントを第二のコンパートメントから
遮断するために、開口部上に可動壁を配置させ、可動壁
を共通の開口部上に維持しつつ、第一のコンパートメン
トを清掃し、可動壁を共通の開口部上に維持しつつ、第
二のコンパートメントをベークアウトする。
のコンパートメントを与え、第二のコンパートメントを
与え、第一のコンパートメントと第二のコンパートメン
トとの間に共通の開口部を伸張させ、可動壁を提供し、
第一のコンパートメントを第二のコンパートメントから
遮断するために、開口部上に可動壁を配置させ、可動壁
を共通の開口部上に維持しつつ、第一のコンパートメン
トを清掃し、可動壁を共通の開口部上に維持しつつ、第
二のコンパートメントをベークアウトする。
【0033】この方法では、第一のコンパートメントを
取り出し、これを清浄なベークアウトされた別の第一の
コンパートメントと交換してもよい。
取り出し、これを清浄なベークアウトされた別の第一の
コンパートメントと交換してもよい。
【0034】また、用いる装置は、第一のコンパートメ
ントと、第二のコンパートメントと、基板支持部材とを
備え、第一のコンパートメントと第二のコンパートメン
トとの間には、第一の開口部を有する共通の開口部があ
り、第二のコンパートメントへの基板の搬入出を可能に
する第二の開口部が、第一の開口部から隔てられて第二
のコンパートメントに存在していてもよい。基板支持部
材は、およそ、第一の位置と第二の位置との間で可動で
あるが、この第一の位置とは、第二の開口部を介して基
板を受容するための基板支持部材の位置であり、第二の
位置とは、基板 支持部材を第一の開口部内に挿入して開
口部を塞ぎつつ、同時に、基板支持部材上に支持された
基板を処理のために第一のコンパートメント内に配置す
るような、基板支持部材の位置のことである。また、組
立体は、前記第一のコンパートメントと第二のコンパー
トメントとの間に共有され共通の境界として機能する共
通の壁を有していてもよい。構成の1つでは、第一のコ
ンパートメントが第一の境界壁を有し、第二のコンパー
トメントが第二の境界壁を有し、第一の開口部が、第一
の境界壁と第二の境界壁の双方の中を通って伸びてい
る。
ントと、第二のコンパートメントと、基板支持部材とを
備え、第一のコンパートメントと第二のコンパートメン
トとの間には、第一の開口部を有する共通の開口部があ
り、第二のコンパートメントへの基板の搬入出を可能に
する第二の開口部が、第一の開口部から隔てられて第二
のコンパートメントに存在していてもよい。基板支持部
材は、およそ、第一の位置と第二の位置との間で可動で
あるが、この第一の位置とは、第二の開口部を介して基
板を受容するための基板支持部材の位置であり、第二の
位置とは、基板 支持部材を第一の開口部内に挿入して開
口部を塞ぎつつ、同時に、基板支持部材上に支持された
基板を処理のために第一のコンパートメント内に配置す
るような、基板支持部材の位置のことである。また、組
立体は、前記第一のコンパートメントと第二のコンパー
トメントとの間に共有され共通の境界として機能する共
通の壁を有していてもよい。構成の1つでは、第一のコ
ンパートメントが第一の境界壁を有し、第二のコンパー
トメントが第二の境界壁を有し、第一の開口部が、第一
の境界壁と第二の境界壁の双方の中を通って伸びてい
る。
【0035】第一のコンパートメントは、1つの単位と
して取り外し可能であり、このとき、第一のコンパート
メントの分解、クリーニング及び保持の間、基板支持部
材が第二の位置にあるかぎり第二のコンパートメントの
環境を維持できるように行うことができる。
して取り外し可能であり、このとき、第一のコンパート
メントの分解、クリーニング及び保持の間、基板支持部
材が第二の位置にあるかぎり第二のコンパートメントの
環境を維持できるように行うことができる。
【0036】第二の開口部にシールを与えることによ
り、第二のコンパートメントの環境の制御を、基板支持
部材による第一の開口部のシールと共同してできるよう
にしてもよい。組立体は、第二の開口部を介して第二の
コンパートメントと連通する基板移送チャンバを有して
いてもよく、この移送チャンバは、同様に第二の処理チ
ャンバ組立体の少なくとも1つに連通するような基板移
送アームを有していてもよい。組立体は、第二の開口部
を制御するゲート装置を有していてもよく、この場合、
処理チャンバ組立体の第一のコンパートメントの1つ以
上を分解及び保守をしている間は、移送チャンバの通常
の動作が、残りのチャンバ組立体の動作と共に維持され
てもよい。第一のコンパートメントは、略凸状のチャン
バであってもよい。
り、第二のコンパートメントの環境の制御を、基板支持
部材による第一の開口部のシールと共同してできるよう
にしてもよい。組立体は、第二の開口部を介して第二の
コンパートメントと連通する基板移送チャンバを有して
いてもよく、この移送チャンバは、同様に第二の処理チ
ャンバ組立体の少なくとも1つに連通するような基板移
送アームを有していてもよい。組立体は、第二の開口部
を制御するゲート装置を有していてもよく、この場合、
処理チャンバ組立体の第一のコンパートメントの1つ以
上を分解及び保守をしている間は、移送チャンバの通常
の動作が、残りのチャンバ組立体の動作と共に維持され
てもよい。第一のコンパートメントは、略凸状のチャン
バであってもよい。
【0037】本発明に従った構成は、1つ以上の基板処
理チャンバを備えるマルチチャンバ式の基板処理システ
ムであって、チャンバのそれぞれが、第一のコンパート
メントと第二のコンパートメントとを有し、第一の開口
部を有する共通の境界を第一のコンパートメントと第二
のコンパートメントとの間に有していてもよい。第二の
コンパートメントは、第二のコンパートメントへのウエ
ハの搬入出を可能にする第二の開口部を第一の開口部か
ら間隔をおいて具備していてもよい。このシステムの基
板移送チャンバは、プロセスチャンバの第二の開口部の
それぞれを介してプロセスチャンバのそれぞれと連通す
るそれぞれの出口を有している。出口の1つをこれと連
通する第二の開口部と共にシールするための、1つ以上
のゲート装置が具備される。第二のコンパートメントの
それぞれの中にある基板支持体は、伸張位置と収縮位置
の間を移動可能である。この基板支持体は、基板の設置
又は取り出しのための収縮位置にあるときは、第二の開
口部と近接している。また、伸張位置にあるときは、基
板支持体は、開口部に対しシールを与えるように係合し
て、第二のチャンバを第一のコンパートメントの中にあ
る処理環境から隔離しつつ、対応する第一のコンパート
メントの中に基板支持体を配置させて、第一のコンパー
トメントの中の処理環境の基板支持体の処理を可能にす
る。1つ以上の基板処理のための第一のコンパートメン
トのメンテナンスには、ゲート装置が不必要であり、ま
た、これが、第二のコンパートメントの環境又は移送チ
ャンバ若しくは残りの処理チャンバの通常の動作に影響
することはない。第一のコンパートメントは第二のコン
パートメントの上方に配置されてもよい。この装置は、
プロセスチャンバのゲート出口と基板移送チャンバを選
択的にシールするためのゲート装置を少なくとも1つ有
していてもよい。
理チャンバを備えるマルチチャンバ式の基板処理システ
ムであって、チャンバのそれぞれが、第一のコンパート
メントと第二のコンパートメントとを有し、第一の開口
部を有する共通の境界を第一のコンパートメントと第二
のコンパートメントとの間に有していてもよい。第二の
コンパートメントは、第二のコンパートメントへのウエ
ハの搬入出を可能にする第二の開口部を第一の開口部か
ら間隔をおいて具備していてもよい。このシステムの基
板移送チャンバは、プロセスチャンバの第二の開口部の
それぞれを介してプロセスチャンバのそれぞれと連通す
るそれぞれの出口を有している。出口の1つをこれと連
通する第二の開口部と共にシールするための、1つ以上
のゲート装置が具備される。第二のコンパートメントの
それぞれの中にある基板支持体は、伸張位置と収縮位置
の間を移動可能である。この基板支持体は、基板の設置
又は取り出しのための収縮位置にあるときは、第二の開
口部と近接している。また、伸張位置にあるときは、基
板支持体は、開口部に対しシールを与えるように係合し
て、第二のチャンバを第一のコンパートメントの中にあ
る処理環境から隔離しつつ、対応する第一のコンパート
メントの中に基板支持体を配置させて、第一のコンパー
トメントの中の処理環境の基板支持体の処理を可能にす
る。1つ以上の基板処理のための第一のコンパートメン
トのメンテナンスには、ゲート装置が不必要であり、ま
た、これが、第二のコンパートメントの環境又は移送チ
ャンバ若しくは残りの処理チャンバの通常の動作に影響
することはない。第一のコンパートメントは第二のコン
パートメントの上方に配置されてもよい。この装置は、
プロセスチャンバのゲート出口と基板移送チャンバを選
択的にシールするためのゲート装置を少なくとも1つ有
していてもよい。
【0038】また、装置は、自身の中に選択的に維持で
きる処理環境を有する第一のコンパートメントと、第二
のコンパートメントと、この第一のコンパートメントと
第二のコンパートメントとの間を貫く開口部と、第一の
コンパートメントと第二のコンパートメントとの両方の
ための可動壁とを備えるように構成されてもよい。この
可動壁は、第一のコンパートメント内に位置をとる際
に、自身の上にウエハを受容することが可能であり、ま
た、可動壁は、開口部を覆うように配置することで、第
一のコンパートメントを第二のコンパートメントから隔
離しつつ、可動壁上に受容されているウエハを第一のコ
ンパートメントの中に配置し、もって、第一のコンパー
トメント内に維持される処理環境にウエハを曝露するこ
とが可能となる構成を有していてもよい。第二のコンパ
ートメントは、導電性の部分を有していてもよく、ま
た、開口部が、この導電部分の中を通って伸張してもよ
い。第一のコンパートメントは、第二のコンパートメン
トのカバーを形成するベースを有していてもよい。開口
部は、第一のコンパートメントのベースを通って伸張し
ていてもよい。可動壁は、第一のコンパートメントのベ
ースに対して係合するシールフランジを有する絶縁部材
を有していてもよい。この装置は、第一のコンパートメ
ントのベースに配置されたシールを更に有していてもよ
い。シールフランジが第一のコンパートメントのベース
に係合しているとき、このシールはシールフランジと係
合することが可能である。シールフランジは、自身から
伸張するシール保護のリップを有し、第一のコンパート
メントのベースは、凹みを自身に有し、このリップはこ
の凹みに受容可能である。装置は更に、第二のエンクロ
ージャの壁を貫通して伸びるスリットバルブを有してい
てもよい。装置は更に、可動壁を貫通するボアを少なく
とも1つと、このボアの中に選択的に配置可能なウエハ
支持体とを有していてもよい。このボアは、可動壁を貫
通して伸張し、更に、そのベースで形成される環状フラ
ンジを有する大きい中間ボアを更に有している。ピン
が、大きい中間ボア内に選択的に配置される大きい中間
部を有し、この中間部は、この大きい部分を環状フラン
ジに対して選択的にシールして、ボアを選択的にシール
するシール部材を有している。この装置は更に、ポンプ
開口と、ポペットバルブ開口部と、ポンプ開口部に配置
されるポンプと、ポペットバルブ開口部に配置されるポ
ペットバルブとを有し、ポペットバルブは絞り弁に配置
されている。
きる処理環境を有する第一のコンパートメントと、第二
のコンパートメントと、この第一のコンパートメントと
第二のコンパートメントとの間を貫く開口部と、第一の
コンパートメントと第二のコンパートメントとの両方の
ための可動壁とを備えるように構成されてもよい。この
可動壁は、第一のコンパートメント内に位置をとる際
に、自身の上にウエハを受容することが可能であり、ま
た、可動壁は、開口部を覆うように配置することで、第
一のコンパートメントを第二のコンパートメントから隔
離しつつ、可動壁上に受容されているウエハを第一のコ
ンパートメントの中に配置し、もって、第一のコンパー
トメント内に維持される処理環境にウエハを曝露するこ
とが可能となる構成を有していてもよい。第二のコンパ
ートメントは、導電性の部分を有していてもよく、ま
た、開口部が、この導電部分の中を通って伸張してもよ
い。第一のコンパートメントは、第二のコンパートメン
トのカバーを形成するベースを有していてもよい。開口
部は、第一のコンパートメントのベースを通って伸張し
ていてもよい。可動壁は、第一のコンパートメントのベ
ースに対して係合するシールフランジを有する絶縁部材
を有していてもよい。この装置は、第一のコンパートメ
ントのベースに配置されたシールを更に有していてもよ
い。シールフランジが第一のコンパートメントのベース
に係合しているとき、このシールはシールフランジと係
合することが可能である。シールフランジは、自身から
伸張するシール保護のリップを有し、第一のコンパート
メントのベースは、凹みを自身に有し、このリップはこ
の凹みに受容可能である。装置は更に、第二のエンクロ
ージャの壁を貫通して伸びるスリットバルブを有してい
てもよい。装置は更に、可動壁を貫通するボアを少なく
とも1つと、このボアの中に選択的に配置可能なウエハ
支持体とを有していてもよい。このボアは、可動壁を貫
通して伸張し、更に、そのベースで形成される環状フラ
ンジを有する大きい中間ボアを更に有している。ピン
が、大きい中間ボア内に選択的に配置される大きい中間
部を有し、この中間部は、この大きい部分を環状フラン
ジに対して選択的にシールして、ボアを選択的にシール
するシール部材を有している。この装置は更に、ポンプ
開口と、ポペットバルブ開口部と、ポンプ開口部に配置
されるポンプと、ポペットバルブ開口部に配置されるポ
ペットバルブとを有し、ポペットバルブは絞り弁に配置
されている。
【0039】構成の1つでは、第一のコンパートメント
は、第二のコンパートメントから取り外しが可能であ
る。
は、第二のコンパートメントから取り外しが可能であ
る。
【0040】構成の1つでは、第二のコンパートメント
内に受容され第一のコンパートメントに維持されるプロ
セス環境から隔離される中間ウエハ支持体を、装置は有
していてもよい。
内に受容され第一のコンパートメントに維持されるプロ
セス環境から隔離される中間ウエハ支持体を、装置は有
していてもよい。
【0041】構成の1つでは、第一のコンパートメント
は、ポンプ開口部を自身の中に有し自身から伸張する排
気オリフィスを有し、第二のコンパートメントは、ポン
プ支持フランジを有し、ポンプ開口部はポンプフランジ
の中に取り出し可能なように受容される。
は、ポンプ開口部を自身の中に有し自身から伸張する排
気オリフィスを有し、第二のコンパートメントは、ポン
プ支持フランジを有し、ポンプ開口部はポンプフランジ
の中に取り出し可能なように受容される。
【0042】第一のコンパートメントの構成は、対称的
であってもよい。
であってもよい。
【0043】本発明に従ってウエハを処理する方法は、
第一のコンパートメントを与え、第二のコンパートメン
トを与え、第一のコンパートメントと第二のコンパート
メントとの間に共通の壁を与え、この共通の壁に開口部
を与え、第一のコンパートメントと第二のコンパートメ
ントに供される可動壁を与え、第二のコンパートメント
内に可動壁を配置し可動壁の上にウエハを置き、第一の
コンパートメントを第二のコンパートメントから遮断
し、第一のコンパートメント内に処理環境が維持されて
いる際、第一のコンパートメントを第二のコンパートメ
ントから隔離しつつ、ウエハを第一のコンパートメント
に連通させるよう、可動壁を、開口部を覆う位置に配置
させる。この方法は、更に、第一のコンパートメントの
ベースを貫通する開口部を提供し、開口部に隣接する第
一のコンパートメントのベースの下側にシールを提供
し、可動壁をシールと接触させてもよい。また、この方
法は、更に、フィルム層が第一のコンパートメントの表
面上に形成されるまでチャンバ内でウエハを順次処理
し、第一のコンパートメントを取り外し、新しい清浄な
第一のコンパートメントと置き換えてもよい。本発明に
従った装置が更に、第二のコンパートメント内にスリッ
トバルブとウエハ取り扱い装置とを備えることにより、
この方法は更に、チャンバ内でウエハを少なくとも2枚
に対して順次処理を行う際、スリットバルブを開の位置
に維持してもよい。この方法は更に、少なくとも1つの
ボアを可動壁を貫通して伸張させ、可動壁に支持ピンを
与え、支持ピンの一部を可動壁の上方に伸張させ、ウエ
ハをその上に載せ、ピンをボアの内側へと引っ込めてウ
エハが可動壁上に載るようにしボアをシールしてもよ
い。ボアをシールする際は、ボアにシールフランジを与
え、ピン上にシール面を与え、ピンのシール面にシール
を与え、シールフランジに対してシールを係合させても
よい。シールフランジは環状であってもよい。可動壁
は、導電性の部分を有していてもよく、この可動壁の導
電性部分は、開口部の周縁と係合して、第一のコンパ ー
トメントと第二のコンパートメントを隔離してもよい。
このような方法及び構成では、プラズマは、第一のコン
パートメントにプラズマが維持される。この方法は更
に、第一のコンパートメントと連通する排気チャンネル
を提供し、排気チャンネルと連通するポンプを提供して
もよい。また、この方法は更に、排気チャンネルを選択
的に塞ぐためのバルブを提供し、ポンプを絞るためにバ
ルブで排気チャンネルを絞ってもよい。また、本発明に
従った方法は更に、第一のコンパートメントと連通する
排気チャンネルを提供し、排気チャンネルと連通するポ
ンプを提供し、ポンプと排気オリフィスとの間にゲート
バルブを提供し、第一のコンパートメントがチャンバか
ら取り出される前にゲートバルブを閉めて、ポンプが大
気にさらされることを防止してもよい。この方法は更
に、第一のコンパートメントと連通する排気チャンネル
を提供し、排気チャンネルと連通するポンプを提供し、
ポンプと排気オリフィスとの間にゲートバルブを提供
し、ゲートバルブを閉め、絞り弁を取り出してもよい。
第一のコンパートメントを与え、第二のコンパートメン
トを与え、第一のコンパートメントと第二のコンパート
メントとの間に共通の壁を与え、この共通の壁に開口部
を与え、第一のコンパートメントと第二のコンパートメ
ントに供される可動壁を与え、第二のコンパートメント
内に可動壁を配置し可動壁の上にウエハを置き、第一の
コンパートメントを第二のコンパートメントから遮断
し、第一のコンパートメント内に処理環境が維持されて
いる際、第一のコンパートメントを第二のコンパートメ
ントから隔離しつつ、ウエハを第一のコンパートメント
に連通させるよう、可動壁を、開口部を覆う位置に配置
させる。この方法は、更に、第一のコンパートメントの
ベースを貫通する開口部を提供し、開口部に隣接する第
一のコンパートメントのベースの下側にシールを提供
し、可動壁をシールと接触させてもよい。また、この方
法は、更に、フィルム層が第一のコンパートメントの表
面上に形成されるまでチャンバ内でウエハを順次処理
し、第一のコンパートメントを取り外し、新しい清浄な
第一のコンパートメントと置き換えてもよい。本発明に
従った装置が更に、第二のコンパートメント内にスリッ
トバルブとウエハ取り扱い装置とを備えることにより、
この方法は更に、チャンバ内でウエハを少なくとも2枚
に対して順次処理を行う際、スリットバルブを開の位置
に維持してもよい。この方法は更に、少なくとも1つの
ボアを可動壁を貫通して伸張させ、可動壁に支持ピンを
与え、支持ピンの一部を可動壁の上方に伸張させ、ウエ
ハをその上に載せ、ピンをボアの内側へと引っ込めてウ
エハが可動壁上に載るようにしボアをシールしてもよ
い。ボアをシールする際は、ボアにシールフランジを与
え、ピン上にシール面を与え、ピンのシール面にシール
を与え、シールフランジに対してシールを係合させても
よい。シールフランジは環状であってもよい。可動壁
は、導電性の部分を有していてもよく、この可動壁の導
電性部分は、開口部の周縁と係合して、第一のコンパ ー
トメントと第二のコンパートメントを隔離してもよい。
このような方法及び構成では、プラズマは、第一のコン
パートメントにプラズマが維持される。この方法は更
に、第一のコンパートメントと連通する排気チャンネル
を提供し、排気チャンネルと連通するポンプを提供して
もよい。また、この方法は更に、排気チャンネルを選択
的に塞ぐためのバルブを提供し、ポンプを絞るためにバ
ルブで排気チャンネルを絞ってもよい。また、本発明に
従った方法は更に、第一のコンパートメントと連通する
排気チャンネルを提供し、排気チャンネルと連通するポ
ンプを提供し、ポンプと排気オリフィスとの間にゲート
バルブを提供し、第一のコンパートメントがチャンバか
ら取り出される前にゲートバルブを閉めて、ポンプが大
気にさらされることを防止してもよい。この方法は更
に、第一のコンパートメントと連通する排気チャンネル
を提供し、排気チャンネルと連通するポンプを提供し、
ポンプと排気オリフィスとの間にゲートバルブを提供
し、ゲートバルブを閉め、絞り弁を取り出してもよい。
【0044】本発明に従った基板支持部材上にウエハを
配置するための装置は、少なくとも一つの直径の小さい
部分と、一つの直径の大きい部分とを有するピンと、基
板支持部材の中を伸び、且つ、少なくとも一つの直径の
小さい部分と一つの直径の大きい部分とを有するボア
と、ピンとボアとの間のシールであって、ボアを通過す
る物質の通路を塞ぐためにピンと連結して置かれるシー
ルとを有している。シールは、ピンの直径の大きい部分
とボアの直径の小さな部分との間に配置されていてもよ
い。ピンの直径の小さな部分は、シールを貫通して伸張
していてもよい。ピンの直径の大きな部分は、ピンの直
径の小さな部分の端部同士の間で軸の方向に配置されて
いてもよい。ボアは、ボアの直径の小さな部分とボアの
直径の大きな部分との間に伸びる環状の棚を有していて
もよく、また、ピンは、直径の大きな部分と直径の小さ
な部分との間に伸びる環状面を有していてもよく、ま
た、この棚はこの面と選択的に係合することができる。
この装置は更に、環状面に受容されるリングシールを有
していてもよい。この装置は更に、第一のコンパートメ
ントと、第二のコンパートメントと、第一のコンパート
メントと第二のコンパートメントとの間に伸びる開口部
とを有し、基板支持部材が第二のコンパートメン ト内で
可動であり、可動壁が、自身の上に基板を受容するため
に第二のコンパートメント内に配置され、また、可動壁
が、第一のコンパートメント内に基板を置くために且つ
第一のコンパートメントを第二のコンパートメントから
シールするために、開口部上方に更に配置される。第一
のコンパートメントと第二のコンパートメントは、別々
の圧力で維持されていてもよい。第一のコンパートメン
トの真空圧力は、第二のコンパートメントの真空圧力を
超え、ピンは、ピンとボアの間にシールを与える係合状
態が維持されるに十分な質量を有している。
配置するための装置は、少なくとも一つの直径の小さい
部分と、一つの直径の大きい部分とを有するピンと、基
板支持部材の中を伸び、且つ、少なくとも一つの直径の
小さい部分と一つの直径の大きい部分とを有するボア
と、ピンとボアとの間のシールであって、ボアを通過す
る物質の通路を塞ぐためにピンと連結して置かれるシー
ルとを有している。シールは、ピンの直径の大きい部分
とボアの直径の小さな部分との間に配置されていてもよ
い。ピンの直径の小さな部分は、シールを貫通して伸張
していてもよい。ピンの直径の大きな部分は、ピンの直
径の小さな部分の端部同士の間で軸の方向に配置されて
いてもよい。ボアは、ボアの直径の小さな部分とボアの
直径の大きな部分との間に伸びる環状の棚を有していて
もよく、また、ピンは、直径の大きな部分と直径の小さ
な部分との間に伸びる環状面を有していてもよく、ま
た、この棚はこの面と選択的に係合することができる。
この装置は更に、環状面に受容されるリングシールを有
していてもよい。この装置は更に、第一のコンパートメ
ントと、第二のコンパートメントと、第一のコンパート
メントと第二のコンパートメントとの間に伸びる開口部
とを有し、基板支持部材が第二のコンパートメン ト内で
可動であり、可動壁が、自身の上に基板を受容するため
に第二のコンパートメント内に配置され、また、可動壁
が、第一のコンパートメント内に基板を置くために且つ
第一のコンパートメントを第二のコンパートメントから
シールするために、開口部上方に更に配置される。第一
のコンパートメントと第二のコンパートメントは、別々
の圧力で維持されていてもよい。第一のコンパートメン
トの真空圧力は、第二のコンパートメントの真空圧力を
超え、ピンは、ピンとボアの間にシールを与える係合状
態が維持されるに十分な質量を有している。
【0045】本発明に従った構成は、基板処理装置の第
二のコンパートメント内の、基板を受容するための第二
の配置と、基板処理装置の第一のコンパートメント内に
維持される処理環境にさらす位置を基板に与えつつ第一
のコンパートメントと第二のコンパートメントとの間の
開口部をシールする第一の配置とを、とることができる
基板支持部材を有している。基板支持部材は、開口部の
周辺部で開口部をシールするために、第二のコンパート
メントと第一のコンパートメントとの間に伸びる、開口
部の周辺部の周りに係合するフランジ部、を有する絶縁
ベースを有している。導電部材は、絶縁ベースの上に受
容され、導電部材にバイアスを与えることにより、第一
のコンパートメント内に維持されるガス環境にプラズマ
を維持するカソードを形成する。基板支持部材は更に、
導電性部材を包囲する絶縁部材から伸張する壁を有して
いてもよい。フランジが環状の壁に放射状外向きに配置
されていてもよい。基板支持部材は更に、フランジと第
二のコンパートメントの下側との間で開口部周縁の周囲
に伸びるシールを更に有していてもよい。フランジは更
に、自身から伸びるリップを更に有していてもよく、こ
のリップは、開口の周縁の周りの第二のコンパートメン
トの壁に具備される凹みに受容可能である。リップは、
フランジがシールと接触する位置で放射方向内向きに配
置される。基板支持部材は更に、導電部材を受容し基板
のための受容面を形成するペデスタルを更に有してい
る。基板支持部材は更に、ペデスタル上に受容され基板
をペデスタルにチャックする静電チャックを有していて
もよい。この基板支持部材は更に、自身を貫通して伸び
る複数のピンボアと、これらピンボアのそれぞれの中に
受容されるピンとを有していてもよい。ピンのそれぞれ
は、基板支持部材から選択的に伸張可能で、基板を受容
して基板支持部材の位置決めをしてもよく、また、基板
を取り除くために基板を基板支持部材から持ち上げても
よい。ピンボアは、第一のコンパートメントと第二のコ
ンパートメントの間で連通していてもよい。基板支持部
材は、更に、シール部材を有してピンボアをシールして
もよい。ピンボアは、基板支持部材から第一のコンパー
トメントの中まで伸張する小直径ボアと、小直径ボアか
ら伸張する大直径ボアとを有していてもよく、大直径ボ
アと小直径ボアは、これらが交わるところに環状シール
面を与えてもよい。ピンは、直径の大きな部分と、少な
くとも1つの直径の小さな部分とを有していてもよい。
この直径の大きな部分と直径の小さな部分との交点は、
環状の面を形成し、また、ピンが基板支持部材の中に引
き込められたときに、シールがこの環状の面に受容さ
れ、更に、このシールは環状のシール面に受容され、第
一のコンパートメントと第二のコンパートメントの間の
ボアをシールする。
二のコンパートメント内の、基板を受容するための第二
の配置と、基板処理装置の第一のコンパートメント内に
維持される処理環境にさらす位置を基板に与えつつ第一
のコンパートメントと第二のコンパートメントとの間の
開口部をシールする第一の配置とを、とることができる
基板支持部材を有している。基板支持部材は、開口部の
周辺部で開口部をシールするために、第二のコンパート
メントと第一のコンパートメントとの間に伸びる、開口
部の周辺部の周りに係合するフランジ部、を有する絶縁
ベースを有している。導電部材は、絶縁ベースの上に受
容され、導電部材にバイアスを与えることにより、第一
のコンパートメント内に維持されるガス環境にプラズマ
を維持するカソードを形成する。基板支持部材は更に、
導電性部材を包囲する絶縁部材から伸張する壁を有して
いてもよい。フランジが環状の壁に放射状外向きに配置
されていてもよい。基板支持部材は更に、フランジと第
二のコンパートメントの下側との間で開口部周縁の周囲
に伸びるシールを更に有していてもよい。フランジは更
に、自身から伸びるリップを更に有していてもよく、こ
のリップは、開口の周縁の周りの第二のコンパートメン
トの壁に具備される凹みに受容可能である。リップは、
フランジがシールと接触する位置で放射方向内向きに配
置される。基板支持部材は更に、導電部材を受容し基板
のための受容面を形成するペデスタルを更に有してい
る。基板支持部材は更に、ペデスタル上に受容され基板
をペデスタルにチャックする静電チャックを有していて
もよい。この基板支持部材は更に、自身を貫通して伸び
る複数のピンボアと、これらピンボアのそれぞれの中に
受容されるピンとを有していてもよい。ピンのそれぞれ
は、基板支持部材から選択的に伸張可能で、基板を受容
して基板支持部材の位置決めをしてもよく、また、基板
を取り除くために基板を基板支持部材から持ち上げても
よい。ピンボアは、第一のコンパートメントと第二のコ
ンパートメントの間で連通していてもよい。基板支持部
材は、更に、シール部材を有してピンボアをシールして
もよい。ピンボアは、基板支持部材から第一のコンパー
トメントの中まで伸張する小直径ボアと、小直径ボアか
ら伸張する大直径ボアとを有していてもよく、大直径ボ
アと小直径ボアは、これらが交わるところに環状シール
面を与えてもよい。ピンは、直径の大きな部分と、少な
くとも1つの直径の小さな部分とを有していてもよい。
この直径の大きな部分と直径の小さな部分との交点は、
環状の面を形成し、また、ピンが基板支持部材の中に引
き込められたときに、シールがこの環状の面に受容さ
れ、更に、このシールは環状のシール面に受容され、第
一のコンパートメントと第二のコンパートメントの間の
ボアをシールする。
【0046】本発明に従った基板処理チャンバは、コン
パートメント壁を有する第一のコンパートメントと、コ
ンパートメント壁に受けられた第二のコンパートメント
と、基板を受容するための前記第二のコンパートメント
の中の第一の位置と開口部をシールするようにカバーし
且つ基板を処理のため第一のコンパートメント内に配置
させる第二の位置とをとり得る可動壁とを備え、コンパ
ートメント壁には、第一のコンパートメントと第二のコ
ンパートメントとの間を連通させる開口部が与えられて
おり、可動壁が、コンパートメント壁の開口部をシール
することができる絶縁ベースを有するような構成を有し
ていてもよい。絶縁ベースは、可動壁が開口部をシール
するような位置にあるときは、開口部の周囲周りに位置
するフランジを有していてもよい。基板処理チャンバ
は、絶縁ベースからフランジの位置の放射方向内向きの
ベース上の位置へ伸びる壁を有していてもよい。この壁
は、可動壁内の凹みを画成し、電極がこの凹みの中に受
容される。基板処理チャンバは更に、開口部の周囲の周
りの壁に受容されるシールを更に有し、フランジは、可
動壁が開口部をシールする位置にあるとき、シールと係
合する。フランジは、可動壁が開口部をシールする位置
にあるとき、壁と接触してもよい。基板処理チャンバ
は、更に、開口部の周囲の周りの壁に受容され壁上のシ
ールの位置から放射方向内向きに受容されるリップの凹
みを更に有し、また、フランジは、可動壁が開口部をシ
ールする位置にあるときにリップ凹みの中に受容され
る、自身から伸張するリップを有している。基板処理チ
ャンバは更に、電極に受容される基板受容ペデスタルに
受容される基板を更に有していてもよい。基板処理チャ
ンバは更に、ペデスタル上に受容される静電チャックを
有していてもよい。可動壁は、自身を貫通する複数のシ
ール可能なピンボアを有していてもよく、また、基板位
置決めピンが、ボアのそれぞれに選択的に配置される。
このピンボアは、基板支持部材から第一のコンパートメ
ントの中まで伸張する小直径ボアと、小直径ボアから伸
張する大直径ボアとを有していてもよく、大直径ボアと
小直径ボアは、これらが交わるところに環状シール面を
与えてもよい。ピンは、直径の大きな部分と、少なくと
も1つの直径の小さな部分とを有していてもよい。この
直径の大きな部分と直径の小さな部分との交点は、環状
の面を形成し、また、ピンが基板支持部材の中に引き込
められたときに、シールがこの環状の面に受容され、更
に、このシールは環状のシール面に受容され、第一のコ
ンパートメントと第二のコンパートメントの間のボアを
シールする。第一のコンパートメントの壁は、導電性を
有していてもよい。
パートメント壁を有する第一のコンパートメントと、コ
ンパートメント壁に受けられた第二のコンパートメント
と、基板を受容するための前記第二のコンパートメント
の中の第一の位置と開口部をシールするようにカバーし
且つ基板を処理のため第一のコンパートメント内に配置
させる第二の位置とをとり得る可動壁とを備え、コンパ
ートメント壁には、第一のコンパートメントと第二のコ
ンパートメントとの間を連通させる開口部が与えられて
おり、可動壁が、コンパートメント壁の開口部をシール
することができる絶縁ベースを有するような構成を有し
ていてもよい。絶縁ベースは、可動壁が開口部をシール
するような位置にあるときは、開口部の周囲周りに位置
するフランジを有していてもよい。基板処理チャンバ
は、絶縁ベースからフランジの位置の放射方向内向きの
ベース上の位置へ伸びる壁を有していてもよい。この壁
は、可動壁内の凹みを画成し、電極がこの凹みの中に受
容される。基板処理チャンバは更に、開口部の周囲の周
りの壁に受容されるシールを更に有し、フランジは、可
動壁が開口部をシールする位置にあるとき、シールと係
合する。フランジは、可動壁が開口部をシールする位置
にあるとき、壁と接触してもよい。基板処理チャンバ
は、更に、開口部の周囲の周りの壁に受容され壁上のシ
ールの位置から放射方向内向きに受容されるリップの凹
みを更に有し、また、フランジは、可動壁が開口部をシ
ールする位置にあるときにリップ凹みの中に受容され
る、自身から伸張するリップを有している。基板処理チ
ャンバは更に、電極に受容される基板受容ペデスタルに
受容される基板を更に有していてもよい。基板処理チャ
ンバは更に、ペデスタル上に受容される静電チャックを
有していてもよい。可動壁は、自身を貫通する複数のシ
ール可能なピンボアを有していてもよく、また、基板位
置決めピンが、ボアのそれぞれに選択的に配置される。
このピンボアは、基板支持部材から第一のコンパートメ
ントの中まで伸張する小直径ボアと、小直径ボアから伸
張する大直径ボアとを有していてもよく、大直径ボアと
小直径ボアは、これらが交わるところに環状シール面を
与えてもよい。ピンは、直径の大きな部分と、少なくと
も1つの直径の小さな部分とを有していてもよい。この
直径の大きな部分と直径の小さな部分との交点は、環状
の面を形成し、また、ピンが基板支持部材の中に引き込
められたときに、シールがこの環状の面に受容され、更
に、このシールは環状のシール面に受容され、第一のコ
ンパートメントと第二のコンパートメントの間のボアを
シールする。第一のコンパートメントの壁は、導電性を
有していてもよい。
【0047】基板処理装置の基板搬入出のコンパートメ
ントと処理コンパートメントとの間の通路をシールす
る、本発明に従った方法では、基板が装置の搬入出のコ
ンパートメントに搬入出されまた基板が処理コンパート
メント内で処理される方法であり、搬入出のコンパート
メント内に、基板受容面を有する可動基板支持部材を提
供し、基板支持部材上に絶縁ベースを提供し、可動基板
支持部材を移動させて開口部の周辺部に絶縁ベースの一
部を配置させ開口部をシールしてもよい。この方法では
更に、搬入出のコンパートメントと処理コンパートメン
トとの間に共通の壁を提供し、共通の壁を貫通する開口
部を提供してもよい。また、この方法では、処理コンパ
ートメント上にシールを提供し、開口部をシールするた
めに絶縁ベースをシールに接触させてもよい。また、こ
の方法では、処理コンパートメント内にリップ凹みを伸
張させ開口部の周辺部に周辺方向にリップ凹みを伸張さ
せ、絶縁ベース上にリップを提供し可動基板支持部材が
開口部をシールする位置に置かれているときリップをリ
ップ凹み内に置いてもよい。また、この方法では更に、
絶縁ベースから環状の棚を伸張させて凹みを形成し、こ
の凹みの中に電極を配置してもよい。
ントと処理コンパートメントとの間の通路をシールす
る、本発明に従った方法では、基板が装置の搬入出のコ
ンパートメントに搬入出されまた基板が処理コンパート
メント内で処理される方法であり、搬入出のコンパート
メント内に、基板受容面を有する可動基板支持部材を提
供し、基板支持部材上に絶縁ベースを提供し、可動基板
支持部材を移動させて開口部の周辺部に絶縁ベースの一
部を配置させ開口部をシールしてもよい。この方法では
更に、搬入出のコンパートメントと処理コンパートメン
トとの間に共通の壁を提供し、共通の壁を貫通する開口
部を提供してもよい。また、この方法では、処理コンパ
ートメント上にシールを提供し、開口部をシールするた
めに絶縁ベースをシールに接触させてもよい。また、こ
の方法では、処理コンパートメント内にリップ凹みを伸
張させ開口部の周辺部に周辺方向にリップ凹みを伸張さ
せ、絶縁ベース上にリップを提供し可動基板支持部材が
開口部をシールする位置に置かれているときリップをリ
ップ凹み内に置いてもよい。また、この方法では更に、
絶縁ベースから環状の棚を伸張させて凹みを形成し、こ
の凹みの中に電極を配置してもよい。
【0048】本発明に従った基板処理チャンバは、処理
空間と、自身から伸びる真空ポートとを有する第一のコ
ンパートメントコンパートメントと、閉じ込め空間と、
自身の上にポンプ搭載フランジを有する支持部分とを有
する第二のコンパートメントと、フランジ上に受容され
るポンプとを備え、真空ポートが真空ポンプと連通する
ように伸延して、第一のコンパートメントと第二のコン
パートメントの両方のための真空源として真空ポンプを
使用することを可能にする。この支持部分は、閉じ込め
空間の側部から片持ち梁状に張り出していてもよい。真
空ポートは、第一のコンパートメントの処理空間と連通
する排気オリフィスと、ポンプフランジの内側に伸延す
るスリーブとを有していてもよい。また、基板処理チャ
ンバは、排気オリフィスとスリーブとの間に相互配設さ
れた絞りオリフィスを更に有していてもよい。絞りオリ
フィスの上方の絞りオリフィス絞り部材の絞りを行うた
めには、スリーブの部分の中への排気オリフィスの入り
口の選択された部分の上に、この絞り部材を配置させ
る。この絞り部材は、ポペットバルブであってもよい。
基板支持部材は、更に、ポンプフランジ上のポンプフラ
ンジとポンプの間に配置されたゲートバルブを有してい
てもよい。
空間と、自身から伸びる真空ポートとを有する第一のコ
ンパートメントコンパートメントと、閉じ込め空間と、
自身の上にポンプ搭載フランジを有する支持部分とを有
する第二のコンパートメントと、フランジ上に受容され
るポンプとを備え、真空ポートが真空ポンプと連通する
ように伸延して、第一のコンパートメントと第二のコン
パートメントの両方のための真空源として真空ポンプを
使用することを可能にする。この支持部分は、閉じ込め
空間の側部から片持ち梁状に張り出していてもよい。真
空ポートは、第一のコンパートメントの処理空間と連通
する排気オリフィスと、ポンプフランジの内側に伸延す
るスリーブとを有していてもよい。また、基板処理チャ
ンバは、排気オリフィスとスリーブとの間に相互配設さ
れた絞りオリフィスを更に有していてもよい。絞りオリ
フィスの上方の絞りオリフィス絞り部材の絞りを行うた
めには、スリーブの部分の中への排気オリフィスの入り
口の選択された部分の上に、この絞り部材を配置させ
る。この絞り部材は、ポペットバルブであってもよい。
基板支持部材は、更に、ポンプフランジ上のポンプフラ
ンジとポンプの間に配置されたゲートバルブを有してい
てもよい。
【0049】基板処理チャンバは、処理空間と排気ポー
トとを画成する第一のコンパートメントと、閉じ込め空
間を画成する第二のコンパートメントと、第一の空間と
第二の空間との間に伸びる開口部と、基板支持部材であ
って、処理チャンバ内の処理環境にさらすような位置を
基板に与えつつ、自身の上に基板を受容し且つ開口部を
塞ぐように、選択的に位置が与えられる基板支持部材
と、処理空間上に配設されたカバーとを備え、処理空間
と排気ポートとが一体の物として構成される。開口部
は、第一のコンパートメントの壁を貫通して伸びてもよ
く、また、この壁は第二のコンパートメントと共有され
てもよい。処理空間と排気ポートとが、導電体から構成
されていてもよい。基板支持部材は、絶縁性の部分と、
導電性の部分とを備えていてもよく、この絶縁性の部分
は、この導電性の部分の処理空間及び排気ポート材料と
の接触から、電気的に絶縁する。この導電性の部分は、
処理空間の中でプラズマを支持するカソードとして構成
される電極を有していてもよい。この絶縁性の部分は、
導電性の部分から外向きに伸びるフランジを有していて
もよく、このフランジは、基板を処理空間内に維持され
る処理環境にさらすような位置が基板支持部材に与えら
れたとき第一のコンパートメントと接触する。基板支持
部材は、更にシール部材を有していてもよく、このシー
ル部材は、第一のコンパートメントの開口部の周囲の周
りに受容され、且つ、基板を処理空間内に維持される処
理環境にさらすような位置が基板支持部材に与えられた
ときフランジと接触することができる。基板支持部材
は、更に、開口部周囲の周りに伸びる外周リセスと、フ
ランジから伸びてリセス内に受容できる外周リップとを
有していてもよい。このリップは、シールがフランジと
係合する位置の放射方向内向きに配置されてもよい。基
板処理チャンバは更に、排気ポートと連通する真空ポン
プとを有していてもよく、また、基板支持部材が開口部
を塞ぐように配置されているとき第二のコンパートメン
トと連通する第二の真空ポンプを更に有していてもよ
い。
トとを画成する第一のコンパートメントと、閉じ込め空
間を画成する第二のコンパートメントと、第一の空間と
第二の空間との間に伸びる開口部と、基板支持部材であ
って、処理チャンバ内の処理環境にさらすような位置を
基板に与えつつ、自身の上に基板を受容し且つ開口部を
塞ぐように、選択的に位置が与えられる基板支持部材
と、処理空間上に配設されたカバーとを備え、処理空間
と排気ポートとが一体の物として構成される。開口部
は、第一のコンパートメントの壁を貫通して伸びてもよ
く、また、この壁は第二のコンパートメントと共有され
てもよい。処理空間と排気ポートとが、導電体から構成
されていてもよい。基板支持部材は、絶縁性の部分と、
導電性の部分とを備えていてもよく、この絶縁性の部分
は、この導電性の部分の処理空間及び排気ポート材料と
の接触から、電気的に絶縁する。この導電性の部分は、
処理空間の中でプラズマを支持するカソードとして構成
される電極を有していてもよい。この絶縁性の部分は、
導電性の部分から外向きに伸びるフランジを有していて
もよく、このフランジは、基板を処理空間内に維持され
る処理環境にさらすような位置が基板支持部材に与えら
れたとき第一のコンパートメントと接触する。基板支持
部材は、更にシール部材を有していてもよく、このシー
ル部材は、第一のコンパートメントの開口部の周囲の周
りに受容され、且つ、基板を処理空間内に維持される処
理環境にさらすような位置が基板支持部材に与えられた
ときフランジと接触することができる。基板支持部材
は、更に、開口部周囲の周りに伸びる外周リセスと、フ
ランジから伸びてリセス内に受容できる外周リップとを
有していてもよい。このリップは、シールがフランジと
係合する位置の放射方向内向きに配置されてもよい。基
板処理チャンバは更に、排気ポートと連通する真空ポン
プとを有していてもよく、また、基板支持部材が開口部
を塞ぐように配置されているとき第二のコンパートメン
トと連通する第二の真空ポンプを更に有していてもよ
い。
【0050】本発明に従った、基板を処理するための方
法は、処理空間を提供し、基板装填空間を提供し、処理
空間と基板装填空間との間に開口部を提供し、基板支持
部材を提供し、基板装填空間内に基板支持部材を置き基
板をその上に置き、開口部を基板支持部材でシールしな
がら、処理空間にさらされるように基板を置くために、
基板支持部材をその上の基板と一緒に動かし、第一の圧
力において処理空間内に処理環境を維持し、処理空間内
における基板処理の間、第一の圧力よりも低い圧力を有
する真空を基板装填空間内に維持する。この処理空間
が、基板装填空間と少なくとも一つの共通の壁を共有す
る閉じ込め空間によって提供され、また開口部が共通の
壁を貫通して提供されていてもよい。この方法は、更
に、基板支持部材上に絶縁性部分を提供し、絶縁性部分
を共通の壁と接触させてもよく、また、更に、基板支持
部材上に導電性部材を提供してもよい。この方法は、更
に、処理空間の中にプロセスガスを導入し、導電性部材
に電圧を印加して処理空間の中のプロセスガスをプラズ
マに変換してもよい。この方法は更に、処理空間の中で
の基板処理の間、基板装填空間の圧力を処理空間内に維
持される圧力よりも低く維持してもよい。この基板装填
空間の圧力維持は、真空ポンプを延長し基板装填空間と
連通させて、処理空間内で基板処理中に、基板装填空間
の容量を排出することによって実現される。
法は、処理空間を提供し、基板装填空間を提供し、処理
空間と基板装填空間との間に開口部を提供し、基板支持
部材を提供し、基板装填空間内に基板支持部材を置き基
板をその上に置き、開口部を基板支持部材でシールしな
がら、処理空間にさらされるように基板を置くために、
基板支持部材をその上の基板と一緒に動かし、第一の圧
力において処理空間内に処理環境を維持し、処理空間内
における基板処理の間、第一の圧力よりも低い圧力を有
する真空を基板装填空間内に維持する。この処理空間
が、基板装填空間と少なくとも一つの共通の壁を共有す
る閉じ込め空間によって提供され、また開口部が共通の
壁を貫通して提供されていてもよい。この方法は、更
に、基板支持部材上に絶縁性部分を提供し、絶縁性部分
を共通の壁と接触させてもよく、また、更に、基板支持
部材上に導電性部材を提供してもよい。この方法は、更
に、処理空間の中にプロセスガスを導入し、導電性部材
に電圧を印加して処理空間の中のプロセスガスをプラズ
マに変換してもよい。この方法は更に、処理空間の中で
の基板処理の間、基板装填空間の圧力を処理空間内に維
持される圧力よりも低く維持してもよい。この基板装填
空間の圧力維持は、真空ポンプを延長し基板装填空間と
連通させて、処理空間内で基板処理中に、基板装填空間
の容量を排出することによって実現される。
【0051】本発明に従った、基板を処理するための方
法は、処理空間を提供し、閉じ込め空間を提供し、処理
空間と閉じ込め空間との間に共通の壁を提供し、共通の
壁に開口部を提供し、基板支持部材上に基板を配置し、
また基板支持部材をその上の基板と共に開口部上に配置
し、それによって開口部をシールし、基板支持部材内に
電極を提供し、処理空間に処理ガス環境を提供し、処理
ガスをプラズマ状態に維持するために電極にバイアスを
かけ、処理ガスがプラズマ状態に維持されているとき、
処理空間内の圧力よりも低い圧力を閉じ込め空間内に維
持する。この方法では、更に、基板支持部材と共通の壁
との間にシールを提供してもよい。また、この方法で
は、更に、処理空間と連通する第一の真空ポンプを提供
し、基板支持部材を開口部上に置くことによって閉じ込
め空間から真空ポンプを隔離してもよい。また、この方
法では、更に、処理空間を開口部を通して閉じ込め空間
と連通させ、処理空間と閉じ込め空間とを第一のポンプ
によって第一の真空圧までポンプ引きし、開口部をカバ
ーし、処理空間を閉じ込め空間からシールするように基
板支持部材を配置させ、処理空間内に処理ガス環境を導
入し、それにより処理空間内の圧力を増し、処理空間内
で処理ガス環境をプラズマにするためにエネルギーを与
え、基板支持部材で開口部をシールすることによって処
理空間の圧力よりも低い圧力を閉じ込め空間内に維持し
てもよい。また、この方法では、更に、開口部を通して
処理空間を閉じ込め空間と連通させ、処理空間と閉じ込
め空間とを第一のポンプで第一の真空圧までポンプ引き
し、開口部をカバーし、処理空間を閉じ込め空間からシ
ールするように基板支持部材を配置させ、処理空間に処
理ガス環境を導入し、それによって処理空間内の圧力を
増し、処理空間内で処理ガス環境をプラズマにするため
にエネルギーを与え、閉じ込め空間と連通する第二のポ
ンプを提供し、開口部を基板支持部材でシールし閉じ込
め空間を第二のポンプでポンプ引きすることによって、
処理空間の圧力よりも低い圧力を閉じ込め空間内に維持
してもよい。
法は、処理空間を提供し、閉じ込め空間を提供し、処理
空間と閉じ込め空間との間に共通の壁を提供し、共通の
壁に開口部を提供し、基板支持部材上に基板を配置し、
また基板支持部材をその上の基板と共に開口部上に配置
し、それによって開口部をシールし、基板支持部材内に
電極を提供し、処理空間に処理ガス環境を提供し、処理
ガスをプラズマ状態に維持するために電極にバイアスを
かけ、処理ガスがプラズマ状態に維持されているとき、
処理空間内の圧力よりも低い圧力を閉じ込め空間内に維
持する。この方法では、更に、基板支持部材と共通の壁
との間にシールを提供してもよい。また、この方法で
は、更に、処理空間と連通する第一の真空ポンプを提供
し、基板支持部材を開口部上に置くことによって閉じ込
め空間から真空ポンプを隔離してもよい。また、この方
法では、更に、処理空間を開口部を通して閉じ込め空間
と連通させ、処理空間と閉じ込め空間とを第一のポンプ
によって第一の真空圧までポンプ引きし、開口部をカバ
ーし、処理空間を閉じ込め空間からシールするように基
板支持部材を配置させ、処理空間内に処理ガス環境を導
入し、それにより処理空間内の圧力を増し、処理空間内
で処理ガス環境をプラズマにするためにエネルギーを与
え、基板支持部材で開口部をシールすることによって処
理空間の圧力よりも低い圧力を閉じ込め空間内に維持し
てもよい。また、この方法では、更に、開口部を通して
処理空間を閉じ込め空間と連通させ、処理空間と閉じ込
め空間とを第一のポンプで第一の真空圧までポンプ引き
し、開口部をカバーし、処理空間を閉じ込め空間からシ
ールするように基板支持部材を配置させ、処理空間に処
理ガス環境を導入し、それによって処理空間内の圧力を
増し、処理空間内で処理ガス環境をプラズマにするため
にエネルギーを与え、閉じ込め空間と連通する第二のポ
ンプを提供し、開口部を基板支持部材でシールし閉じ込
め空間を第二のポンプでポンプ引きすることによって、
処理空間の圧力よりも低い圧力を閉じ込め空間内に維持
してもよい。
【0052】本発明に従った、基板処理チャンバを構成
する方法は、処理空間と、ポンプ開口部と、前記処理空
間と前記ポンプ開口部との間を連通する排気オリフィス
とを有する、第一のコンパートメントを提供し、シール
可能な開口部を通して前記第一のコンパートメントと連
通する第二のコンパートメントを提供し、前記排気オリ
フィスを絞るために絞り開口部を提供し、前記排気オリ
フィスを選択的に絞るために前記絞りオリフィスに絞り
バルブを提供し、前記絞り開口部を前記ポンプ開口部か
ら別々につながるように配置させ、前記ポンプ開口部と
連通する真空ポンプを提供する。また、この方法では、
更に、第二のコンパートメントにポンプ支持フランジを
提供し、ポンプ支持フランジとポンプ開口部との芯を合
わせ、真空ポンプをポンプ支持フランジ上に支持しても
よい。また、この方法では、更に、ポンプフランジ表面
を処理空間内に維持される環境から隔離するために、ポ
ンプフランジを貫通するスリーブとしてポンプ開口部を
伸延させてもよい。このポンプ支持フランジは、ポンプ
搭載開口部を画成し、ポンプ搭載開口部が絞り開口部と
同一線上に並んでいるてもよい。この絞りバルブは、絞
り開口部に関して直線上に動き得るプレートを有するポ
ペットバルブであってもよく、また、このプレートは、
排気オリフィスの部分を真空ポンプと連通しないように
選択的に塞いでもよい。ここで、第一のコンパートメン
トの外側の面が第二のコンパートメントの壁を形成して
もよい。また、第二のコンパートメントが、基板のハン
ドリングのためのコンパートメントと更に連通してもよ
い。また、この方法では、更に、第二のコンパートメン
トと基板ハンドリングコンパートメントとの間にあるア
クセスポート上にゲートバルブを提供し、基板ハンドリ
ングコンパートメント内に基板ハンドリング部材を提供
し、基板を第二のコンパートメントに搬入出するため
に、ハンドリング部材をアクセスポートを通して選択的
に伸延させ、第一のコンパートメントが第二のコンパー
トメントから取り出される以外には、ゲートバルブを開
位置に維持してもよい。また、この方法では、更に、第
二のコンパートメント内に基板支持部材を配置させ、シ
ールできる開口部をカバーしまたシールするために、基
板支持部材を置いてもよい。また、この方法では、更
に、基板支持部材がシールできる開口部をシールするよ
うな位置に置かれている間に、可動基板支持部材を修理
してもよい。また、この方法では、更に、第二のコンパ
ートメント内に基板支持部材を置き、基板支持部材上に
受容するために、基板ハンドリング部材と一緒に、基板
ハンドリングコンパートメントから基板を動かし、基板
を基板支持部材上に受容し、シールできる開口部をカバ
ーしまたシールするように、基板支持部材をその上の基
板と一緒に置き、処理空間内に処理環境を提供してもよ
い。
する方法は、処理空間と、ポンプ開口部と、前記処理空
間と前記ポンプ開口部との間を連通する排気オリフィス
とを有する、第一のコンパートメントを提供し、シール
可能な開口部を通して前記第一のコンパートメントと連
通する第二のコンパートメントを提供し、前記排気オリ
フィスを絞るために絞り開口部を提供し、前記排気オリ
フィスを選択的に絞るために前記絞りオリフィスに絞り
バルブを提供し、前記絞り開口部を前記ポンプ開口部か
ら別々につながるように配置させ、前記ポンプ開口部と
連通する真空ポンプを提供する。また、この方法では、
更に、第二のコンパートメントにポンプ支持フランジを
提供し、ポンプ支持フランジとポンプ開口部との芯を合
わせ、真空ポンプをポンプ支持フランジ上に支持しても
よい。また、この方法では、更に、ポンプフランジ表面
を処理空間内に維持される環境から隔離するために、ポ
ンプフランジを貫通するスリーブとしてポンプ開口部を
伸延させてもよい。このポンプ支持フランジは、ポンプ
搭載開口部を画成し、ポンプ搭載開口部が絞り開口部と
同一線上に並んでいるてもよい。この絞りバルブは、絞
り開口部に関して直線上に動き得るプレートを有するポ
ペットバルブであってもよく、また、このプレートは、
排気オリフィスの部分を真空ポンプと連通しないように
選択的に塞いでもよい。ここで、第一のコンパートメン
トの外側の面が第二のコンパートメントの壁を形成して
もよい。また、第二のコンパートメントが、基板のハン
ドリングのためのコンパートメントと更に連通してもよ
い。また、この方法では、更に、第二のコンパートメン
トと基板ハンドリングコンパートメントとの間にあるア
クセスポート上にゲートバルブを提供し、基板ハンドリ
ングコンパートメント内に基板ハンドリング部材を提供
し、基板を第二のコンパートメントに搬入出するため
に、ハンドリング部材をアクセスポートを通して選択的
に伸延させ、第一のコンパートメントが第二のコンパー
トメントから取り出される以外には、ゲートバルブを開
位置に維持してもよい。また、この方法では、更に、第
二のコンパートメント内に基板支持部材を配置させ、シ
ールできる開口部をカバーしまたシールするために、基
板支持部材を置いてもよい。また、この方法では、更
に、基板支持部材がシールできる開口部をシールするよ
うな位置に置かれている間に、可動基板支持部材を修理
してもよい。また、この方法では、更に、第二のコンパ
ートメント内に基板支持部材を置き、基板支持部材上に
受容するために、基板ハンドリング部材と一緒に、基板
ハンドリングコンパートメントから基板を動かし、基板
を基板支持部材上に受容し、シールできる開口部をカバ
ーしまたシールするように、基板支持部材をその上の基
板と一緒に置き、処理空間内に処理環境を提供してもよ
い。
【0053】
【発明の実施の形態】本発明は少なくとも二つのコンパ
ートメントを有するチャンバを提供する。ここでプロセ
ス環境は、それらコンパートメントのうちの一つにおい
てのみ維持され、スリットバルブ及びウエハ取扱い装置
がそれらコンパートメントのうちのもう一方の中に置か
れている。本発明の好ましい実施の形態においては、図
1の10に示すように、チャンバは、プロセス環境が維
持できる第一のコンパートメント12と、第一のコンパ
ートメント12に隣接しており且つそれと選択的に連通
でき、その連通は両方のコンパートメントに共通の開口
部(aperture)16を通して行われる第二のコンパートメ
ント14と、少なくとも第二のコンパートメント14の
中に選択的に置くことができ、第一のコンパートメント
12と第二のコンパートメント14との間において開口
部16を選択的にシールする可動壁18とを含む。第一
のコンパートメント12内に維持されるプロセス環境
は、プラズマエッチングプロセス、物理蒸着プロセス
(ここで堆積材料を提供するために或いは化学蒸着プロ
セスを高進するためにターゲットがスパッタされる)、
或いは化学的蒸気(chemical vapor)がウエハ上にフィル
ム層を形成する化学蒸着を含むが、それらに限らない。
第一のコンパートメント12と第二のコンパートメント
14の両方とも、真空環境が維持できる個々にシールさ
れたエンクロージャである。本発明の好ましい実施の形
態においては、第一のコンパートメント12は掃除のた
めにチャンバ10から取り外し、第二の同一の第一のコ
ンパートメント12と置き換えることができる。その第
一のコンパートメント12を正常な、予めベークアウト
した第一のコンパートメント12と置き換えることによ
って、ウエハ8の処理のためにチャンバ10を準備する
のに必要な時間は、チャンバ10が清掃を必要とすると
き、著しく低減できる。
ートメントを有するチャンバを提供する。ここでプロセ
ス環境は、それらコンパートメントのうちの一つにおい
てのみ維持され、スリットバルブ及びウエハ取扱い装置
がそれらコンパートメントのうちのもう一方の中に置か
れている。本発明の好ましい実施の形態においては、図
1の10に示すように、チャンバは、プロセス環境が維
持できる第一のコンパートメント12と、第一のコンパ
ートメント12に隣接しており且つそれと選択的に連通
でき、その連通は両方のコンパートメントに共通の開口
部(aperture)16を通して行われる第二のコンパートメ
ント14と、少なくとも第二のコンパートメント14の
中に選択的に置くことができ、第一のコンパートメント
12と第二のコンパートメント14との間において開口
部16を選択的にシールする可動壁18とを含む。第一
のコンパートメント12内に維持されるプロセス環境
は、プラズマエッチングプロセス、物理蒸着プロセス
(ここで堆積材料を提供するために或いは化学蒸着プロ
セスを高進するためにターゲットがスパッタされる)、
或いは化学的蒸気(chemical vapor)がウエハ上にフィル
ム層を形成する化学蒸着を含むが、それらに限らない。
第一のコンパートメント12と第二のコンパートメント
14の両方とも、真空環境が維持できる個々にシールさ
れたエンクロージャである。本発明の好ましい実施の形
態においては、第一のコンパートメント12は掃除のた
めにチャンバ10から取り外し、第二の同一の第一のコ
ンパートメント12と置き換えることができる。その第
一のコンパートメント12を正常な、予めベークアウト
した第一のコンパートメント12と置き換えることによ
って、ウエハ8の処理のためにチャンバ10を準備する
のに必要な時間は、チャンバ10が清掃を必要とすると
き、著しく低減できる。
【0054】第一のコンパートメント12及び第二のコ
ンパートメント14の両方とも、確実な真空(hard vacu
um)に維持でき、複数のボルト或いは他の取り外し可能
な締め付け具(図示せず)によって相互に接続される別
々のシールできるエンクロージャとして提供するのが好
ましい。第一のコンパートメント12は、第一のコンパ
ートメント12と第二のコンパートメント14との間を
連通するために開口部(aperture)16が広がっているベ
ース20と、排気オリフィス22(図3から図5に判り
やすく示されている)と、シールリング30及びカバー
28が受容されている上部カバーフランジ24とを含む
のが好ましい。第一のコンパートメント12の構造全体
は、第一のコンパートメント12の表面及び特徴を与え
るために、一体(single piece)のアルミニウムのような
材料を機械加工することによって作るのが好ましい。第
一のコンパートメント12を一体の材料から機械加工す
ることによって、その第一のコンパートメント12の本
体には継手部(joints)或いは継目(seams)が存在するこ
とがなくなり、そのことによって、第一のコンパートメ
ント12を貫通する潜在的漏洩路の数を低減する。チャ
ンバ10がスパッタリングチャンバとして形成される場
合には、カバー28はその上に搭載されたターゲット
(図示せず)を含んでおり、それは内部磁石構造(図示
せず)と共にあるのが好ましい。そのようなターゲット
磁石構造の一つは、米国特許第5242566号、パー
カー(Parker)に示されている。
ンパートメント14の両方とも、確実な真空(hard vacu
um)に維持でき、複数のボルト或いは他の取り外し可能
な締め付け具(図示せず)によって相互に接続される別
々のシールできるエンクロージャとして提供するのが好
ましい。第一のコンパートメント12は、第一のコンパ
ートメント12と第二のコンパートメント14との間を
連通するために開口部(aperture)16が広がっているベ
ース20と、排気オリフィス22(図3から図5に判り
やすく示されている)と、シールリング30及びカバー
28が受容されている上部カバーフランジ24とを含む
のが好ましい。第一のコンパートメント12の構造全体
は、第一のコンパートメント12の表面及び特徴を与え
るために、一体(single piece)のアルミニウムのような
材料を機械加工することによって作るのが好ましい。第
一のコンパートメント12を一体の材料から機械加工す
ることによって、その第一のコンパートメント12の本
体には継手部(joints)或いは継目(seams)が存在するこ
とがなくなり、そのことによって、第一のコンパートメ
ント12を貫通する潜在的漏洩路の数を低減する。チャ
ンバ10がスパッタリングチャンバとして形成される場
合には、カバー28はその上に搭載されたターゲット
(図示せず)を含んでおり、それは内部磁石構造(図示
せず)と共にあるのが好ましい。そのようなターゲット
磁石構造の一つは、米国特許第5242566号、パー
カー(Parker)に示されている。
【0055】第二のコンパートメント14は、チャンバ
スリットバルブ6を有する桶(tub)のような形状のハウ
ジング41と、桶部分41の上端に広がる上部搭載フラ
ンジ43と、桶部分41から外側に片持ち梁状に張り出
したポンプ支持フランジ47とを含むのが好ましい。チ
ャンバスリットバルブ6はハウジング全体に広がってい
る。第二のコンパートメント14は、別のカバーは備え
ていない。そうではなくて、第一のコンパートメント1
2のベース20の下側は、上部搭載フランジ43とポン
プ支持フランジ47の上に受容されている。上部搭載フ
ランジ43の上に受容されたベース20の部分は、第二
のコンパートメント14の上端(top)を形成しており、
開口部16がコンパートメント12、14の両方と連通
するように配設されるようになっている。シールリング
が、上部搭載フランジの周辺に広がるシール溝内に配設
されており、開口部16の回りに広がり、ベース20の
下側を上部搭載フランジ43に対してシールするための
シールを提供している。
スリットバルブ6を有する桶(tub)のような形状のハウ
ジング41と、桶部分41の上端に広がる上部搭載フラ
ンジ43と、桶部分41から外側に片持ち梁状に張り出
したポンプ支持フランジ47とを含むのが好ましい。チ
ャンバスリットバルブ6はハウジング全体に広がってい
る。第二のコンパートメント14は、別のカバーは備え
ていない。そうではなくて、第一のコンパートメント1
2のベース20の下側は、上部搭載フランジ43とポン
プ支持フランジ47の上に受容されている。上部搭載フ
ランジ43の上に受容されたベース20の部分は、第二
のコンパートメント14の上端(top)を形成しており、
開口部16がコンパートメント12、14の両方と連通
するように配設されるようになっている。シールリング
が、上部搭載フランジの周辺に広がるシール溝内に配設
されており、開口部16の回りに広がり、ベース20の
下側を上部搭載フランジ43に対してシールするための
シールを提供している。
【0056】チャンバ10内の真空圧力を維持するため
に、ターボ分子ポンプ或いはクライオジェニック(cryog
enic)ポンプのようなポンプ32が、ポンプ32を排気
オリフィスから隔離するように置かれているゲートバル
ブ37を通して排気オリフィス22に流体的に連結され
ており、またポペットバルブ(poppet valve)34が排気
オリフィス22と連通しており、ポンプ32を絞るよう
になっている。図3から図5に判りやすく示されている
ように、排気オリフィス22は、ゲートバルブ37とポ
ンプ32と連通するポンプ開口部36と、ポンプ開口部
36に対向しておりポペットバルブ34が搭載されるよ
うになったポペットバルブ開口部38とを含んでいる。
ゲートバルブ37は、ポンプ32をチャンバ10から選
択的にシールするように備わっている。ポペットバルブ
34は、ポペットバルブハウジング42内にアクチュエ
ータから伸延するプレート40を備えており、排気オリ
フィス22の断面の部分或いは選択された部分を塞ぐよ
うになっている。プレート40によって排気オリフィス
の選択された部分を閉塞すること(blocking)によって、
ポペットバルブ34は排気オリフィスを絞るための絞り
弁(throttle valve)として用いられる。ポンプ32とゲ
ートバルブ37とはポンプ支持フランジ45に搭載され
ているが、排気オリフィス22は、完全に上部コンパー
トメント12によって形成されている。排気オリフィス
の壁、特にポンプ開口部36は、ポンプ支持フランジ4
5の中にスリーブ状に差し込めるようにして、第一のコ
ンパートメント12がチャンバ10から取り外されると
き、ポンプ32がポンプ支持フランジ45の下側に残る
が、排気オリフィス22は清掃及び/または交換のため
に取り外せるようにするのが好ましい。シールリングが
ポンプフランジ内の溝に備えられ、ポンプ支持フランジ
45とポンプ開口部36との間の界面をシールする。ポ
ペットバルブ34をそれとは別のポンプ32と連通して
いる開口部上に搭載することによって、ポペットバルブ
34とポンプ32とは別々に修理することができ或いは
チャンバ10から別々に取り外すことができる。更に、
ゲートバルブ37を閉じることによって、ポンプ32
は、第一のコンパートメントが掃除されるとき或いはポ
ペットバルブ34が修理されるとき第一のコンパートメ
ント12から隔離することができ、またポンプ32が取
り外されるとき、第一のコンパートメントは隔離され大
気にさらされないようにすることができる。
に、ターボ分子ポンプ或いはクライオジェニック(cryog
enic)ポンプのようなポンプ32が、ポンプ32を排気
オリフィスから隔離するように置かれているゲートバル
ブ37を通して排気オリフィス22に流体的に連結され
ており、またポペットバルブ(poppet valve)34が排気
オリフィス22と連通しており、ポンプ32を絞るよう
になっている。図3から図5に判りやすく示されている
ように、排気オリフィス22は、ゲートバルブ37とポ
ンプ32と連通するポンプ開口部36と、ポンプ開口部
36に対向しておりポペットバルブ34が搭載されるよ
うになったポペットバルブ開口部38とを含んでいる。
ゲートバルブ37は、ポンプ32をチャンバ10から選
択的にシールするように備わっている。ポペットバルブ
34は、ポペットバルブハウジング42内にアクチュエ
ータから伸延するプレート40を備えており、排気オリ
フィス22の断面の部分或いは選択された部分を塞ぐよ
うになっている。プレート40によって排気オリフィス
の選択された部分を閉塞すること(blocking)によって、
ポペットバルブ34は排気オリフィスを絞るための絞り
弁(throttle valve)として用いられる。ポンプ32とゲ
ートバルブ37とはポンプ支持フランジ45に搭載され
ているが、排気オリフィス22は、完全に上部コンパー
トメント12によって形成されている。排気オリフィス
の壁、特にポンプ開口部36は、ポンプ支持フランジ4
5の中にスリーブ状に差し込めるようにして、第一のコ
ンパートメント12がチャンバ10から取り外されると
き、ポンプ32がポンプ支持フランジ45の下側に残る
が、排気オリフィス22は清掃及び/または交換のため
に取り外せるようにするのが好ましい。シールリングが
ポンプフランジ内の溝に備えられ、ポンプ支持フランジ
45とポンプ開口部36との間の界面をシールする。ポ
ペットバルブ34をそれとは別のポンプ32と連通して
いる開口部上に搭載することによって、ポペットバルブ
34とポンプ32とは別々に修理することができ或いは
チャンバ10から別々に取り外すことができる。更に、
ゲートバルブ37を閉じることによって、ポンプ32
は、第一のコンパートメントが掃除されるとき或いはポ
ペットバルブ34が修理されるとき第一のコンパートメ
ント12から隔離することができ、またポンプ32が取
り外されるとき、第一のコンパートメントは隔離され大
気にさらされないようにすることができる。
【0057】ポンプ32は、可動壁18が第二のコンパ
ートメント14に引っ込み、第二のコンパートメント1
4とポンプ32との間の連通を許すとき、コンパートメ
ント12及び14の両方を10ー7トール(Torr)の領域の
圧力までポンプで排気できる能力を有するのが好まし
い。一旦チャンバ10がこのような低い圧力までポンプ
で排気されると、可動壁18は、以下更に説明するよう
に、第二のコンパートメント14を第一のコンパートメ
ント12から隔離するような位置に置かれる。次にプロ
セスガスが、質量流量コントローラ(図示せず)を有す
るガス入口を通して第一のエンクロージャ12内に流入
し、第一のコンパートメント12内へのガスの流量及び
ポンプ32による第一のコンパートメント12からのガ
ス排気量(pumping rate)は、制御され、第一のコンパー
トメント12内に所望の濃度及び圧力のプロセスガスを
提供する。必要であれば、ガスはプラズマに加勢され或
いは加勢されることなくウエハにフィルム層を堆積する
のに用いられ、或いはそれはフィルム層をエッチングす
るためにプラズマに加勢され或いは第一のコンパートメ
ント12内のターゲットをスパッタするように加勢さ
れ、ウエハ8上に堆積層を形成するための材料を提供す
る。一旦ウエハ8上へのフィルム層の堆積或いはエッチ
ングが完了すると、ポンプ32は第一のコンパートメン
ト12を排気し二つのコンパートメント12、14内の
圧力を均圧し、プロセスガス及び潜在的汚染物質を除去
し、次に可動壁18が、二つのコンパートメント12、
14を再び開口部16を通して連結するように動かされ
る。
ートメント14に引っ込み、第二のコンパートメント1
4とポンプ32との間の連通を許すとき、コンパートメ
ント12及び14の両方を10ー7トール(Torr)の領域の
圧力までポンプで排気できる能力を有するのが好まし
い。一旦チャンバ10がこのような低い圧力までポンプ
で排気されると、可動壁18は、以下更に説明するよう
に、第二のコンパートメント14を第一のコンパートメ
ント12から隔離するような位置に置かれる。次にプロ
セスガスが、質量流量コントローラ(図示せず)を有す
るガス入口を通して第一のエンクロージャ12内に流入
し、第一のコンパートメント12内へのガスの流量及び
ポンプ32による第一のコンパートメント12からのガ
ス排気量(pumping rate)は、制御され、第一のコンパー
トメント12内に所望の濃度及び圧力のプロセスガスを
提供する。必要であれば、ガスはプラズマに加勢され或
いは加勢されることなくウエハにフィルム層を堆積する
のに用いられ、或いはそれはフィルム層をエッチングす
るためにプラズマに加勢され或いは第一のコンパートメ
ント12内のターゲットをスパッタするように加勢さ
れ、ウエハ8上に堆積層を形成するための材料を提供す
る。一旦ウエハ8上へのフィルム層の堆積或いはエッチ
ングが完了すると、ポンプ32は第一のコンパートメン
ト12を排気し二つのコンパートメント12、14内の
圧力を均圧し、プロセスガス及び潜在的汚染物質を除去
し、次に可動壁18が、二つのコンパートメント12、
14を再び開口部16を通して連結するように動かされ
る。
【0058】チャンバ10が、第一のコンパートメント
12内に例えばエッチングを行うためにプラズマプロセ
ス環境を提供するように形成され、また第二のコンパー
トメント14内の圧力が第一のコンパートメント12内
の圧力に近くなり或いはそれを超えると、第二のコンパ
ートメント14内に望まないにもかかわらずプラズマが
生じる。チャンバスリットバルブ6に漏洩があり、スリ
ットバルブ6のところでチャンバ10の外側に取り付け
られたウエハ装填カセット内或いは隣接したトランスフ
ァチャンバ内にあるガスが第二のコンパートメント14
に入り込むのを許す場合には、第二のコンパートメント
14の圧力は第一のコンパートメント12の圧力に近づ
き或いはそれを超えうる。第二のコンパートメント14
の圧力が第一のコンパートメント12の圧力よりも確実
に低くなるようにし、それによってその中のガスが励起
してプラズマになるのを確実にするために、二次的真空
ポンプ33が第二のコンパートメント14に取り付けら
れる。
12内に例えばエッチングを行うためにプラズマプロセ
ス環境を提供するように形成され、また第二のコンパー
トメント14内の圧力が第一のコンパートメント12内
の圧力に近くなり或いはそれを超えると、第二のコンパ
ートメント14内に望まないにもかかわらずプラズマが
生じる。チャンバスリットバルブ6に漏洩があり、スリ
ットバルブ6のところでチャンバ10の外側に取り付け
られたウエハ装填カセット内或いは隣接したトランスフ
ァチャンバ内にあるガスが第二のコンパートメント14
に入り込むのを許す場合には、第二のコンパートメント
14の圧力は第一のコンパートメント12の圧力に近づ
き或いはそれを超えうる。第二のコンパートメント14
の圧力が第一のコンパートメント12の圧力よりも確実
に低くなるようにし、それによってその中のガスが励起
してプラズマになるのを確実にするために、二次的真空
ポンプ33が第二のコンパートメント14に取り付けら
れる。
【0059】図1を参照すると、桶の形状をしたハウジ
ング41のベース内のシールされた開口部46を貫通し
て伸延する中空のステム44によって、可動壁18が第
二のコンパートメント14内に支持されている。そのシ
ールされた開口部46は、可動壁18の下側から第二の
エンクロージャ14のベースまで伸延するベローズを含
むのが好ましく、そのベローズは、何らかのプロセス環
境材料が第二のコンパートメント14内に入って来たと
きステム44を保護し、また第二のコンパートメント1
4とチャンバ10の外側との間に付加的シールを提供す
る。ステム44はハウジング41の外側で、液圧ピスト
ン或いは気圧ピストンのような駆動部材49(図3から
図5に示す)或いはステッパモータに連結されたリード
ネジ(lead screw)或いは他の駆動装置に連結されてい
る。ステム44は駆動部材49によって位置決めされ、
可動壁18を図1及び図3に示されるように引っ込んだ
位置に位置決めし、また図5に示されるように延びた位
置に位置決めする。ステム44の内側は、チャンバのプ
ロセスへの適用の要求に従って、ガスや冷却剤や電気の
ようなユーティリティを可動壁18に供給するように形
成されている。
ング41のベース内のシールされた開口部46を貫通し
て伸延する中空のステム44によって、可動壁18が第
二のコンパートメント14内に支持されている。そのシ
ールされた開口部46は、可動壁18の下側から第二の
エンクロージャ14のベースまで伸延するベローズを含
むのが好ましく、そのベローズは、何らかのプロセス環
境材料が第二のコンパートメント14内に入って来たと
きステム44を保護し、また第二のコンパートメント1
4とチャンバ10の外側との間に付加的シールを提供す
る。ステム44はハウジング41の外側で、液圧ピスト
ン或いは気圧ピストンのような駆動部材49(図3から
図5に示す)或いはステッパモータに連結されたリード
ネジ(lead screw)或いは他の駆動装置に連結されてい
る。ステム44は駆動部材49によって位置決めされ、
可動壁18を図1及び図3に示されるように引っ込んだ
位置に位置決めし、また図5に示されるように延びた位
置に位置決めする。ステム44の内側は、チャンバのプ
ロセスへの適用の要求に従って、ガスや冷却剤や電気の
ようなユーティリティを可動壁18に供給するように形
成されている。
【0060】図1及び図2には、可動壁18の構造の詳
細及び開口部16をシールするための可動壁18の第一
のコンパートメント12のベース20との密封係合の詳
細が示されている。添付図に示されまたここに説明され
ているマルチコンパートメント形態(multiple compartm
ent configuration)を有する好ましい実施例において
は、可動壁18はウエハ8上にフィルム層をエッチング
することができるように形成されている。プラズマがウ
エハ8上のフィルム層をエッチングするようにするため
には、可動壁18は陰極(cathode)として形成されなけ
ればならない。即ち負の(negative)の電圧が、好ましく
は接地されている(grounded)第一のコンパートメント1
2によって形成されたエンクロージャに関して可動壁1
8上に維持されなければならない。しかしながら、可動
壁18はまた、可動壁18の導電部分(conductive port
ion)の接地を防止するために、二つのコンパートメント
12、14の壁から隔離されなければならない。それ
故、可動壁18は、外側の電気的に絶縁されたベース6
0と、陰極として可動壁18に電気的にバイアスをかけ
る中間の導電(conductive)部材62と、導電部材62の
上に搭載されたペデスタル63と、ペデスタル63の上
に受容された静電チャック64とを含む。
細及び開口部16をシールするための可動壁18の第一
のコンパートメント12のベース20との密封係合の詳
細が示されている。添付図に示されまたここに説明され
ているマルチコンパートメント形態(multiple compartm
ent configuration)を有する好ましい実施例において
は、可動壁18はウエハ8上にフィルム層をエッチング
することができるように形成されている。プラズマがウ
エハ8上のフィルム層をエッチングするようにするため
には、可動壁18は陰極(cathode)として形成されなけ
ればならない。即ち負の(negative)の電圧が、好ましく
は接地されている(grounded)第一のコンパートメント1
2によって形成されたエンクロージャに関して可動壁1
8上に維持されなければならない。しかしながら、可動
壁18はまた、可動壁18の導電部分(conductive port
ion)の接地を防止するために、二つのコンパートメント
12、14の壁から隔離されなければならない。それ
故、可動壁18は、外側の電気的に絶縁されたベース6
0と、陰極として可動壁18に電気的にバイアスをかけ
る中間の導電(conductive)部材62と、導電部材62の
上に搭載されたペデスタル63と、ペデスタル63の上
に受容された静電チャック64とを含む。
【0061】可動壁18の絶縁性の(insulative)ベース
60は、ステム44の上端部44a上に受容されてお
り、可動壁18が図5に示されるように一番延びだした
位置にあるとき、一部が開口部16内に受容されており
また一部が開口部16に隣接した第一のコンパートメン
ト12のベース20の下側に対して受容されるように形
成された円筒状の(cylindrical)部材とするのが好まし
い。絶縁性のベース60は、環状壁65と、その環状壁
65の下端部から外側に広がる円周シールフランジ68
とによって形成された上部円筒形凹み(recess)66を含
む。シールフランジ68は、その上に環状の上方に延び
るリップ(lip)70と、そのリップから絶縁性のベース
60のエッジまで広がる環状シール面71とを含む。導
電部材62は、凹み66の中に受容されており、またペ
デスタル63は、その上面に取り付けられた静電チャッ
ク64と共に、導電部材62にボルト止め或いは他の方
法でしっかりと取り付けられている。可動壁18が、第
一のコンパートメント12内でウエハ8の処理のために
出っ張った位置に置かれているときには、環状壁65
は、第一のコンパートメント12及び開口部16から部
分的に内側に延びており、またウエハ8は図2に示され
るように静電チャック64の上に受容されている。絶縁
性のベース60の係合をベース20の下側に対してシー
ルするために、ダブテール形状(dovetail profile)を有
するシール溝21がベース20の下側に設けられてお
り、開口部16の周囲に広がっており、また図2に一番
よく示されているように、Oリング或いは他の形のシー
ル25がその中に受容されている。
60は、ステム44の上端部44a上に受容されてお
り、可動壁18が図5に示されるように一番延びだした
位置にあるとき、一部が開口部16内に受容されており
また一部が開口部16に隣接した第一のコンパートメン
ト12のベース20の下側に対して受容されるように形
成された円筒状の(cylindrical)部材とするのが好まし
い。絶縁性のベース60は、環状壁65と、その環状壁
65の下端部から外側に広がる円周シールフランジ68
とによって形成された上部円筒形凹み(recess)66を含
む。シールフランジ68は、その上に環状の上方に延び
るリップ(lip)70と、そのリップから絶縁性のベース
60のエッジまで広がる環状シール面71とを含む。導
電部材62は、凹み66の中に受容されており、またペ
デスタル63は、その上面に取り付けられた静電チャッ
ク64と共に、導電部材62にボルト止め或いは他の方
法でしっかりと取り付けられている。可動壁18が、第
一のコンパートメント12内でウエハ8の処理のために
出っ張った位置に置かれているときには、環状壁65
は、第一のコンパートメント12及び開口部16から部
分的に内側に延びており、またウエハ8は図2に示され
るように静電チャック64の上に受容されている。絶縁
性のベース60の係合をベース20の下側に対してシー
ルするために、ダブテール形状(dovetail profile)を有
するシール溝21がベース20の下側に設けられてお
り、開口部16の周囲に広がっており、また図2に一番
よく示されているように、Oリング或いは他の形のシー
ル25がその中に受容されている。
【0062】処理工程中は、可動壁18は今説明したよ
うに一番出っ張った位置にあり、コンパートメント12
をシールしながらウエハ8を第一のコンパートメント1
2内に支持している。このようにしてウエハ8と可動壁
18の部分の両方を、第一のコンパートメント12内に
維持されているプロセス環境にさらすことができる。可
動壁18の露出した部分をプロセス環境から保護するた
めに、シュラウド72が、シールフランジ68から環状
壁65の外側表面を被って上方向に延びており、ペデス
タル63の上方外側エッジ内に配設された環状溝73内
で、ペデスタル63の外側エッジの内側で終わってい
る。
うに一番出っ張った位置にあり、コンパートメント12
をシールしながらウエハ8を第一のコンパートメント1
2内に支持している。このようにしてウエハ8と可動壁
18の部分の両方を、第一のコンパートメント12内に
維持されているプロセス環境にさらすことができる。可
動壁18の露出した部分をプロセス環境から保護するた
めに、シュラウド72が、シールフランジ68から環状
壁65の外側表面を被って上方向に延びており、ペデス
タル63の上方外側エッジ内に配設された環状溝73内
で、ペデスタル63の外側エッジの内側で終わってい
る。
【0063】ウエハを可動壁に適切に固定するために、
またウエハ8を許容できる温度に維持するために、また
プラズマエッチングをする場合には、電力を供給し第一
のコンパートメント12内のガスを加勢してプラズマに
するために、可動壁18には異なるユーティリティが供
給されなければならない。典型的には、そのユーティリ
ティは冷却剤(coolants)、及び静電チャック及び/また
は補助電気抵抗ヒータのための電力とを含む。或いはそ
の代わりに、ウエハ8の真空チャックのために真空ライ
ンが可動壁18まで伸延する。好ましくは、可動壁18
へのユーティリティ用接続は、米国特許第522850
1号、テップマン他(Tepman et al.)に開示されている
ように、ステム44の中空部の内側を通して提供され
る。
またウエハ8を許容できる温度に維持するために、また
プラズマエッチングをする場合には、電力を供給し第一
のコンパートメント12内のガスを加勢してプラズマに
するために、可動壁18には異なるユーティリティが供
給されなければならない。典型的には、そのユーティリ
ティは冷却剤(coolants)、及び静電チャック及び/また
は補助電気抵抗ヒータのための電力とを含む。或いはそ
の代わりに、ウエハ8の真空チャックのために真空ライ
ンが可動壁18まで伸延する。好ましくは、可動壁18
へのユーティリティ用接続は、米国特許第522850
1号、テップマン他(Tepman et al.)に開示されている
ように、ステム44の中空部の内側を通して提供され
る。
【0064】チャンバ10が、エッチング用のチャンバ
として形成されている場合には、ウエハ8及び可動壁1
8は、典型的には、エッチングプラズマからウエハ8に
伝達される熱を除去するために冷却されなければならな
い。それ故、エッチングに応用する場合には、導電部材
64は水のような冷却剤が流される冷却路67を備える
のが好ましく、また静電チャック64は複数の冷却剤溝
(図示せず)を備えている。そしてその冷却剤溝には裏
側冷却用のガスがガス供給構造(supply arrangement)6
9から流され、絶縁性のベース60、導電部材62及び
ペデスタル63を通過する。図1に示される本発明の実
施例においては、冷却剤溝は、複数の円形溝及び少なく
とも一本の半径方向分配溝を導電部材62の下側に機械
加工し、またプレート76であってそれを貫通して延び
る冷却剤供給穴及び冷却剤戻り穴を有するプレート76
を、導電部材62の下側に固定すること(fixing)によっ
て提供される。本発明に有用な従来からある冷却チャン
ネル及び裏側ガス分配システムは、米国特許第4842
683号、チェン他(Cheng et al.)に開示されている。
以上述べたように、これらの構造のためのユーティリテ
ィを供給するガス及び冷却剤ラインはステム44を貫通
して延びている。
として形成されている場合には、ウエハ8及び可動壁1
8は、典型的には、エッチングプラズマからウエハ8に
伝達される熱を除去するために冷却されなければならな
い。それ故、エッチングに応用する場合には、導電部材
64は水のような冷却剤が流される冷却路67を備える
のが好ましく、また静電チャック64は複数の冷却剤溝
(図示せず)を備えている。そしてその冷却剤溝には裏
側冷却用のガスがガス供給構造(supply arrangement)6
9から流され、絶縁性のベース60、導電部材62及び
ペデスタル63を通過する。図1に示される本発明の実
施例においては、冷却剤溝は、複数の円形溝及び少なく
とも一本の半径方向分配溝を導電部材62の下側に機械
加工し、またプレート76であってそれを貫通して延び
る冷却剤供給穴及び冷却剤戻り穴を有するプレート76
を、導電部材62の下側に固定すること(fixing)によっ
て提供される。本発明に有用な従来からある冷却チャン
ネル及び裏側ガス分配システムは、米国特許第4842
683号、チェン他(Cheng et al.)に開示されている。
以上述べたように、これらの構造のためのユーティリテ
ィを供給するガス及び冷却剤ラインはステム44を貫通
して延びている。
【0065】チャンバ10が、化学蒸着或いは物理蒸着
に用いられる場合には、加熱エレメント(図示せず)が
導電部材62の中に置かれており、ウエハ8を加熱し、
堆積されたフィルム層の特性(properties)及び分布(dis
tribution)を高進する。本発明に使用するのに適したそ
のようなヒータ構造は、米国特許5228501号、テ
ップマン他(Tepman et al.)、に示された電気抵抗ヒー
タ構造である。繰り返すが、このヒータのための動力接
続は、動力ケーブル(図示せず)をステム44の中空部
の内側を貫通して伸延させることによって提供するのが
好ましい。
に用いられる場合には、加熱エレメント(図示せず)が
導電部材62の中に置かれており、ウエハ8を加熱し、
堆積されたフィルム層の特性(properties)及び分布(dis
tribution)を高進する。本発明に使用するのに適したそ
のようなヒータ構造は、米国特許5228501号、テ
ップマン他(Tepman et al.)、に示された電気抵抗ヒー
タ構造である。繰り返すが、このヒータのための動力接
続は、動力ケーブル(図示せず)をステム44の中空部
の内側を貫通して伸延させることによって提供するのが
好ましい。
【0066】図6を参照すると、静電チャック64に電
気動力を供給するために、ストラップ120が、静電チ
ャック64からペデスタル63の穴(aperture)118を
貫通して布設されており、ここでそのストラップはペデ
スタル63の下側に接着剤によって取り付けられてい
る。このストラップ120は、導電部材62を通して延
びるピンコネクタボア(bore)内に配設されたピンコネク
タ122に接続されており、ここでピンコネクタ122
は、その中に受容され、ストラップ120の導電部分と
接触したコンタクトピン123を含む。動力供給線12
5は、ステム44(図6には図示せず)の中空部内側と
ピンコネクタ122に電気動力を供給するための絶縁性
のベース60内の穴とを通して延びている。静電チャッ
ク64は、誘電性の層130、132内に包まれた中央
の薄いフィルム状導電性コア134を有する薄いフレキ
シブルな平坦な部材であるのが好ましく、ストラップ1
20は、そのコア134及び静電層130、132の延
長(extension)であるのが好ましい。ピンコネクタ12
2とストラップ120との間に連続的な電気接触を与え
るために、ストラップ120の誘電層130は、コア1
34の一部を露出するように除去され、この露出された
部分は、ピンコネクタ122の接触部(contact)123
と一致するようにする。ペデスタル63の穴118は、
第一のコンパートメントから大気への、またウエハの裏
側から導電部材64とペデスタル63との間の空間への
潜在的漏洩路となる。それ故、シールリング127が、
導電部材62のピンコネクタ122及びペデスタル63
と導電部材62との界面において導電部材を貫通する穴
118との両方の回りにぐるりと布設されており、また
第二のシールリング129が、ピンコネクタボアの外側
周辺に配設されており、ストラップ120付きのペデス
タル63の下側と導電部材62とをピンコネクタボアの
周辺においてシールしている。
気動力を供給するために、ストラップ120が、静電チ
ャック64からペデスタル63の穴(aperture)118を
貫通して布設されており、ここでそのストラップはペデ
スタル63の下側に接着剤によって取り付けられてい
る。このストラップ120は、導電部材62を通して延
びるピンコネクタボア(bore)内に配設されたピンコネク
タ122に接続されており、ここでピンコネクタ122
は、その中に受容され、ストラップ120の導電部分と
接触したコンタクトピン123を含む。動力供給線12
5は、ステム44(図6には図示せず)の中空部内側と
ピンコネクタ122に電気動力を供給するための絶縁性
のベース60内の穴とを通して延びている。静電チャッ
ク64は、誘電性の層130、132内に包まれた中央
の薄いフィルム状導電性コア134を有する薄いフレキ
シブルな平坦な部材であるのが好ましく、ストラップ1
20は、そのコア134及び静電層130、132の延
長(extension)であるのが好ましい。ピンコネクタ12
2とストラップ120との間に連続的な電気接触を与え
るために、ストラップ120の誘電層130は、コア1
34の一部を露出するように除去され、この露出された
部分は、ピンコネクタ122の接触部(contact)123
と一致するようにする。ペデスタル63の穴118は、
第一のコンパートメントから大気への、またウエハの裏
側から導電部材64とペデスタル63との間の空間への
潜在的漏洩路となる。それ故、シールリング127が、
導電部材62のピンコネクタ122及びペデスタル63
と導電部材62との界面において導電部材を貫通する穴
118との両方の回りにぐるりと布設されており、また
第二のシールリング129が、ピンコネクタボアの外側
周辺に配設されており、ストラップ120付きのペデス
タル63の下側と導電部材62とをピンコネクタボアの
周辺においてシールしている。
【0067】再び図1及び図3から図5を参照すると、
可動壁18は、第一のコンパートメント12と第二のコ
ンパートメント14との間を完全にシールしなければな
らない。それ故、可動壁18は一体の(solid)シールさ
れた部材であるのが好ましい。中間ウエハサポート50
(図3から図5に示されている)が、馬蹄形部材として
形成されている場合には、可動壁18はこの馬蹄形部材
を通過して基板を拾い上げ或いは外すのであるが、可動
壁18を通る唯一の接続は、静電チャック64の電気供
給用のシールされた接続である。しかしながら、好まし
い中間ウエハサポート50は、ロボットブレード9から
可動壁18の上にウエハ8を移送するのを助けるために
可動壁18を通して選択的に伸延するサポートピン80
の使用を要し、また静電チャック動力接続、ヒータ動力
供給(使用する場合)冷却剤接続、導電部材62を陰極
(図示せず)としてバイアスをかけるための動力接続及
び裏側冷却ガス接続が、第一のコンパートメント12と
第二のコンパートメント14との間に潜在的な漏洩路を
与える。ステム44を通して、可動壁18のための電
力、ガス及び冷却剤接続を与えることによって、これら
の接続を通す漏洩路はどのようなものも、チャンバ10
の外側と第一のコンパートメント12との間を連通させ
る。更には、ピンコンダクタボア、及びピンコネクタボ
アとストラップ穴118の両方の回りの導電部材62と
ペデスタル63の界面のシーリングは、ストラップ穴1
18を通す漏洩を防止する。しかしながら、ピン80が
伸延する可動壁18の穴は、第一のコンパートメント1
2と第二のコンパートメント14との間の潜在的直接的
漏洩路を提供する。それ故、ピン80は可動壁18内で
シールできなければならない。
可動壁18は、第一のコンパートメント12と第二のコ
ンパートメント14との間を完全にシールしなければな
らない。それ故、可動壁18は一体の(solid)シールさ
れた部材であるのが好ましい。中間ウエハサポート50
(図3から図5に示されている)が、馬蹄形部材として
形成されている場合には、可動壁18はこの馬蹄形部材
を通過して基板を拾い上げ或いは外すのであるが、可動
壁18を通る唯一の接続は、静電チャック64の電気供
給用のシールされた接続である。しかしながら、好まし
い中間ウエハサポート50は、ロボットブレード9から
可動壁18の上にウエハ8を移送するのを助けるために
可動壁18を通して選択的に伸延するサポートピン80
の使用を要し、また静電チャック動力接続、ヒータ動力
供給(使用する場合)冷却剤接続、導電部材62を陰極
(図示せず)としてバイアスをかけるための動力接続及
び裏側冷却ガス接続が、第一のコンパートメント12と
第二のコンパートメント14との間に潜在的な漏洩路を
与える。ステム44を通して、可動壁18のための電
力、ガス及び冷却剤接続を与えることによって、これら
の接続を通す漏洩路はどのようなものも、チャンバ10
の外側と第一のコンパートメント12との間を連通させ
る。更には、ピンコンダクタボア、及びピンコネクタボ
アとストラップ穴118の両方の回りの導電部材62と
ペデスタル63の界面のシーリングは、ストラップ穴1
18を通す漏洩を防止する。しかしながら、ピン80が
伸延する可動壁18の穴は、第一のコンパートメント1
2と第二のコンパートメント14との間の潜在的直接的
漏洩路を提供する。それ故、ピン80は可動壁18内で
シールできなければならない。
【0068】図3及び図7を参照すると、ピン80と共
に、中間ウエハサポート50の好ましい形態が示されて
いる。本発明の好ましい実施例においては、中間サポー
ト部材50は、可動壁18内のボア即ち穴82に受容さ
れた複数のサポートピン80(図7には一つだけ示され
ている)及び可動壁18と第二のコンパートメント14
のベースとの間に受容されたピンアクチュエータ84
(図3にはその全長が示されている)を含む。ピンアク
チュエータ84はピン80及び可動壁18と協働して、
ピン80を可動壁18から延び出させ、ウエハ8を図3
に示されるように可動壁18の両方に、且つピン80の
上に支持し、次にピン80を可動壁18の内側に引っ込
ませ、図5に示されるようにウエハ8を静電チャック6
4の上に置く。
に、中間ウエハサポート50の好ましい形態が示されて
いる。本発明の好ましい実施例においては、中間サポー
ト部材50は、可動壁18内のボア即ち穴82に受容さ
れた複数のサポートピン80(図7には一つだけ示され
ている)及び可動壁18と第二のコンパートメント14
のベースとの間に受容されたピンアクチュエータ84
(図3にはその全長が示されている)を含む。ピンアク
チュエータ84はピン80及び可動壁18と協働して、
ピン80を可動壁18から延び出させ、ウエハ8を図3
に示されるように可動壁18の両方に、且つピン80の
上に支持し、次にピン80を可動壁18の内側に引っ込
ませ、図5に示されるようにウエハ8を静電チャック6
4の上に置く。
【0069】図7に最も判りやすく示されているよう
に、ピン80の各々は、下部の太い直径のステム86
と、上部の細い直径のステム88及び中間フランジ90
を含む。可動壁18内の先に述べたボア82は、可動壁
18内でピン80の制約された垂直方向の運動を許すよ
うに形成されている。各ボア82は、可動壁18の絶縁
ベース60を通して延びており、太い直径のステム86
を受容する小さい直径の部分92と、導電部材62を通
して延びており、中間フランジ90が受容される大きい
直径の部分94と、静電チャック64を通して延びてお
り、小さい直径のステム88が受容される上部96とを
含む。小さい直径の部分92と大きい直径の部分94の
交差部(intersection)は、環状シール棚(ledge)95を
形成する。ボア82の部分92、94及び96の相対的
なサイズが、ボア82内の中間フランジ90の垂直方向
の動きを制限し、従ってピン80のトータルの垂直方向
の動きを制限する。ボア82を選択的にシールするため
に、シール溝91が中間フランジ90の下側の内側に広
がっており、Oリングシールのようなシールリング93
がシール溝91内に配設されており、ピン80が可動壁
18内に引っ込んでいるときに、環状シール棚95に対
してシールする。
に、ピン80の各々は、下部の太い直径のステム86
と、上部の細い直径のステム88及び中間フランジ90
を含む。可動壁18内の先に述べたボア82は、可動壁
18内でピン80の制約された垂直方向の運動を許すよ
うに形成されている。各ボア82は、可動壁18の絶縁
ベース60を通して延びており、太い直径のステム86
を受容する小さい直径の部分92と、導電部材62を通
して延びており、中間フランジ90が受容される大きい
直径の部分94と、静電チャック64を通して延びてお
り、小さい直径のステム88が受容される上部96とを
含む。小さい直径の部分92と大きい直径の部分94の
交差部(intersection)は、環状シール棚(ledge)95を
形成する。ボア82の部分92、94及び96の相対的
なサイズが、ボア82内の中間フランジ90の垂直方向
の動きを制限し、従ってピン80のトータルの垂直方向
の動きを制限する。ボア82を選択的にシールするため
に、シール溝91が中間フランジ90の下側の内側に広
がっており、Oリングシールのようなシールリング93
がシール溝91内に配設されており、ピン80が可動壁
18内に引っ込んでいるときに、環状シール棚95に対
してシールする。
【0070】ここで図3、4、5を参照すると、ピンア
クチュエータ84は、第二のエンクロージャ14のスペ
ースの外側に配設されたピン駆動部材99と、第二のエ
ンクロージャ14のベース内のシールされた接続を通し
て延びる駆動軸98と、可動壁18と第二のエンクロー
ジャ14のベースとの中間に置かれたピン位置決めプレ
ート100とを含む。ベローズも駆動軸98の回りに備
えられ、それは第二のコンパートメント14のベースか
らピン位置決めプレート100の下側まで延びており、
第二のコンパートメント14のベースを貫通する駆動軸
98のシールされた接続を更にシールしており、また第
二のコンパートメント14内に受容された駆動軸98の
部分の回りに防護壁(protective barrier)を提供してい
る。ピン駆動部材99は、液体圧或いは気体圧シリンダ
であってもよいし、回転する案内(lead)ネジ状の案内ナ
ットであってもよいし、ウォーム駆動装置であってもよ
いし、第一のエンクロージャ14内の種々の垂直方向位
置にピン位置決めプレート100を位置決めすることの
できる他の装置であってもよい。
クチュエータ84は、第二のエンクロージャ14のスペ
ースの外側に配設されたピン駆動部材99と、第二のエ
ンクロージャ14のベース内のシールされた接続を通し
て延びる駆動軸98と、可動壁18と第二のエンクロー
ジャ14のベースとの中間に置かれたピン位置決めプレ
ート100とを含む。ベローズも駆動軸98の回りに備
えられ、それは第二のコンパートメント14のベースか
らピン位置決めプレート100の下側まで延びており、
第二のコンパートメント14のベースを貫通する駆動軸
98のシールされた接続を更にシールしており、また第
二のコンパートメント14内に受容された駆動軸98の
部分の回りに防護壁(protective barrier)を提供してい
る。ピン駆動部材99は、液体圧或いは気体圧シリンダ
であってもよいし、回転する案内(lead)ネジ状の案内ナ
ットであってもよいし、ウォーム駆動装置であってもよ
いし、第一のエンクロージャ14内の種々の垂直方向位
置にピン位置決めプレート100を位置決めすることの
できる他の装置であってもよい。
【0071】引き続き図3、4、5を参照すると、ピン
80とピンアクチュエータ84の働き及び協働する状況
が示されている。先ず図3を参照すると、可動壁18及
びその中のピン80が示されており、サポートブレード
9によるチャンバ10内におけるウエハ8の載置の状況
或いはサポートブレード9によるチャンバ10からのウ
エハ8の移動状況が示されている。この位置では、ピン
位置決めプレート100はピン駆動部材99によって第
二のコンパートメント14のベースまで完全に引っ込め
られており、可動壁18は、スリットバルブ6が第二の
エンクロージャ14を通して広がる位置よりも下にまで
引っ込められている。この引っ込んだ位置に可動壁18
及びピン位置決めプレート100があるときには、ピン
80の上端と可動壁18の上面は、スリットバルブ6よ
りも下に位置しており、ロボットブレード9が自由に第
二のコンパートメント14の内側外側に動き図3に示す
ようにウエハを可動壁18の上に置くことができるよう
になっている。
80とピンアクチュエータ84の働き及び協働する状況
が示されている。先ず図3を参照すると、可動壁18及
びその中のピン80が示されており、サポートブレード
9によるチャンバ10内におけるウエハ8の載置の状況
或いはサポートブレード9によるチャンバ10からのウ
エハ8の移動状況が示されている。この位置では、ピン
位置決めプレート100はピン駆動部材99によって第
二のコンパートメント14のベースまで完全に引っ込め
られており、可動壁18は、スリットバルブ6が第二の
エンクロージャ14を通して広がる位置よりも下にまで
引っ込められている。この引っ込んだ位置に可動壁18
及びピン位置決めプレート100があるときには、ピン
80の上端と可動壁18の上面は、スリットバルブ6よ
りも下に位置しており、ロボットブレード9が自由に第
二のコンパートメント14の内側外側に動き図3に示す
ようにウエハを可動壁18の上に置くことができるよう
になっている。
【0072】一旦ウエハ8が第二のコンパートメント1
4内で可動壁18上に置かれると、ピン駆動部材99が
ピン位置決めプレート100を第二のコンパートメント
14の内側方向に動かし、図4に示される位置まで上方
向にピン80を動かす。そしてそれによってウエハ8を
ロボットブレード9から持ち上げピン80の上に載せ
る。次に、ウエハ8が可動壁18の上方でピン80上に
支えられているとき、サポートブレード9は第二のエン
クロージャ14から引っ込む。一旦サポートブレード9
が第二のエンクロージャ14から抜き出されると、可動
壁18はピン80から上方向に動く。このときピン位置
決めプレート100は静止しておりまたそれ故ピン80
も静止している。そして、可動壁18が第二のコンパー
トメント14内で上方向に動くにつれて、ピン80は可
動壁18の中に引っ込む。次に可動壁18は、図5に示
されるように最も出っ張った位置まで動き続け、ウエハ
8を処理のために第一のコンパートメント12内に置
く。一旦ウエハ8が、可動壁18の一番上の表面を形成
する静電チャック64上に落ち着くと、ピン80は自分
自身の重量により、ピンボア82の底に向かって下に動
き、可動壁18内の穴82の中に完全に引っ込む。ま
た、ピン80が可動壁18内に引っ込んだ状態では、ピ
ンフランジ90の下側にあるシール93が図7に示され
るようなボア82内の環状シールフランジ95に係合
し、ピンボア82をシールする。ピン80は、第一のコ
ンパートメント12と第二のコンパートメント14内に
ある僅かな圧力差にもかかわらず、ピンボア82内で環
状シールフランジ95に対してシールするに十分な質量
を有する。このようにして、ボア82内のシール93
は、絶縁ベース60のシールフランジ68のシール面7
1と共にベース20の下側に受容されたシール25の係
合及びストラップ穴118のシールと一緒になって、可
動壁が図5に示されるように出っ張った位置にあると
き、二つのコンパートメント12、14の間を完全にシ
ールする。更に、第一のコンパートメントのベース20
の下側は、シール溝21と開口部16との間にある環状
の凹み23を含む。このようにして、可動壁18が第一
のコンパートメント12のベース20の下側に当たった
状態で置かれているとき、可動壁18から上方向に延び
る環状リップ70は第一のコンパートメント12の環状
の凹み23の中に入り込んでおり、シール25を開口部
16から分離し、そしてそれによって、第一のエンクロ
ージャ12からシールフランジ68に向かって下方向に
落ち得る粒子或いは他の汚染物質がシール25と接触す
るに至るのを防止する。
4内で可動壁18上に置かれると、ピン駆動部材99が
ピン位置決めプレート100を第二のコンパートメント
14の内側方向に動かし、図4に示される位置まで上方
向にピン80を動かす。そしてそれによってウエハ8を
ロボットブレード9から持ち上げピン80の上に載せ
る。次に、ウエハ8が可動壁18の上方でピン80上に
支えられているとき、サポートブレード9は第二のエン
クロージャ14から引っ込む。一旦サポートブレード9
が第二のエンクロージャ14から抜き出されると、可動
壁18はピン80から上方向に動く。このときピン位置
決めプレート100は静止しておりまたそれ故ピン80
も静止している。そして、可動壁18が第二のコンパー
トメント14内で上方向に動くにつれて、ピン80は可
動壁18の中に引っ込む。次に可動壁18は、図5に示
されるように最も出っ張った位置まで動き続け、ウエハ
8を処理のために第一のコンパートメント12内に置
く。一旦ウエハ8が、可動壁18の一番上の表面を形成
する静電チャック64上に落ち着くと、ピン80は自分
自身の重量により、ピンボア82の底に向かって下に動
き、可動壁18内の穴82の中に完全に引っ込む。ま
た、ピン80が可動壁18内に引っ込んだ状態では、ピ
ンフランジ90の下側にあるシール93が図7に示され
るようなボア82内の環状シールフランジ95に係合
し、ピンボア82をシールする。ピン80は、第一のコ
ンパートメント12と第二のコンパートメント14内に
ある僅かな圧力差にもかかわらず、ピンボア82内で環
状シールフランジ95に対してシールするに十分な質量
を有する。このようにして、ボア82内のシール93
は、絶縁ベース60のシールフランジ68のシール面7
1と共にベース20の下側に受容されたシール25の係
合及びストラップ穴118のシールと一緒になって、可
動壁が図5に示されるように出っ張った位置にあると
き、二つのコンパートメント12、14の間を完全にシ
ールする。更に、第一のコンパートメントのベース20
の下側は、シール溝21と開口部16との間にある環状
の凹み23を含む。このようにして、可動壁18が第一
のコンパートメント12のベース20の下側に当たった
状態で置かれているとき、可動壁18から上方向に延び
る環状リップ70は第一のコンパートメント12の環状
の凹み23の中に入り込んでおり、シール25を開口部
16から分離し、そしてそれによって、第一のエンクロ
ージャ12からシールフランジ68に向かって下方向に
落ち得る粒子或いは他の汚染物質がシール25と接触す
るに至るのを防止する。
【0073】図8には、本発明のクラスタツール(clust
er tool)装置(implementation)の単純化された見取図が
示されている。このクラスタツール200は、複数のチ
ャンバ10a〜cを含み、そのチャンバの各々は上で述
べた処理チャンバ10と同一である。各々は、対応する
ロードロック(loadlocks)202a〜202cを通し
て、トランスファチャンバ204に連結されている。ロ
ードロック202a〜202cの各々は、コンパートメ
ント化されたプロセスチャンバ10a〜10cの各々の
それぞれのチャンバスリットバルブ6(図8には図示せ
ず)と芯が合っている。トランスファチャンバ204も
また、ロードロック202dを通して、ロードロックチ
ャンバ206に連結されている。
er tool)装置(implementation)の単純化された見取図が
示されている。このクラスタツール200は、複数のチ
ャンバ10a〜cを含み、そのチャンバの各々は上で述
べた処理チャンバ10と同一である。各々は、対応する
ロードロック(loadlocks)202a〜202cを通し
て、トランスファチャンバ204に連結されている。ロ
ードロック202a〜202cの各々は、コンパートメ
ント化されたプロセスチャンバ10a〜10cの各々の
それぞれのチャンバスリットバルブ6(図8には図示せ
ず)と芯が合っている。トランスファチャンバ204も
また、ロードロック202dを通して、ロードロックチ
ャンバ206に連結されている。
【0074】個々のコンパートメント化されたプロセス
チャンバ10a〜10cの各々及びクラスタツール20
0のトランスファチャンバ204は、ウエハの処理中、
真空圧に維持するのが好ましい。好ましくは、トランス
ファチャンバ204内の圧力は、コンパートメント化さ
れたプロセスチャンバ10a〜10cのいずれにおける
圧力とも独立して維持される。それはこのトランスファ
チャンバ204のための真空ポンプ(図示せず)を提供
することによって行われる。更には、ロードロックチャ
ンバ206は、基板をクラスタツール200内に装填す
るために、スリットバルブ或いは他のゲート装置(図示
せず)によってトランスファチャンバから隔離されてい
る間、大気に開かれており、次に特定用途のための真空
ポンプ(図示せず)によって許容できる真空圧にまで排
気される。
チャンバ10a〜10cの各々及びクラスタツール20
0のトランスファチャンバ204は、ウエハの処理中、
真空圧に維持するのが好ましい。好ましくは、トランス
ファチャンバ204内の圧力は、コンパートメント化さ
れたプロセスチャンバ10a〜10cのいずれにおける
圧力とも独立して維持される。それはこのトランスファ
チャンバ204のための真空ポンプ(図示せず)を提供
することによって行われる。更には、ロードロックチャ
ンバ206は、基板をクラスタツール200内に装填す
るために、スリットバルブ或いは他のゲート装置(図示
せず)によってトランスファチャンバから隔離されてい
る間、大気に開かれており、次に特定用途のための真空
ポンプ(図示せず)によって許容できる真空圧にまで排
気される。
【0075】コンパートメント化された処理チャンバ1
0a〜10c内で基板を処理する間、コンパートメント
化された処理チャンバ10a〜10cのスリットバルブ
6(図1には図示せず)は閉止位置に維持するのが好ま
しい。スリットバルブ6を閉止位置に維持することによ
って、トランスファチャンバ204内のガスは第二のコ
ンパートメント14(図1には図示せず)の中に移動し
或いは流れ込むことができず、チャンバ10a〜10c
の第二のコンパートメント14内の圧力は、第一のコン
パートメント12内の圧力よりも低く維持され、コンパ
ートメント化されたチャンバ10a〜10cがエッチン
グ用のチャンバとして形成されている場合、第二のコン
パートメント14内にプラズマが生じないように確実に
することができる。しかしながら、トランスファチャン
バ204が、コンパートメント化されたチャンバ10a
〜10c内に維持されたプロセス圧力に関して、十分低
い圧力に維持されるならば、そのときはスリットバルブ
6はクラスタツール200を使用している間ずっと開い
たままにしておいてよく、そしてそれらは第二のコンパ
ートメント12の交換の間だけ閉じることを要する。
0a〜10c内で基板を処理する間、コンパートメント
化された処理チャンバ10a〜10cのスリットバルブ
6(図1には図示せず)は閉止位置に維持するのが好ま
しい。スリットバルブ6を閉止位置に維持することによ
って、トランスファチャンバ204内のガスは第二のコ
ンパートメント14(図1には図示せず)の中に移動し
或いは流れ込むことができず、チャンバ10a〜10c
の第二のコンパートメント14内の圧力は、第一のコン
パートメント12内の圧力よりも低く維持され、コンパ
ートメント化されたチャンバ10a〜10cがエッチン
グ用のチャンバとして形成されている場合、第二のコン
パートメント14内にプラズマが生じないように確実に
することができる。しかしながら、トランスファチャン
バ204が、コンパートメント化されたチャンバ10a
〜10c内に維持されたプロセス圧力に関して、十分低
い圧力に維持されるならば、そのときはスリットバルブ
6はクラスタツール200を使用している間ずっと開い
たままにしておいてよく、そしてそれらは第二のコンパ
ートメント12の交換の間だけ閉じることを要する。
【0076】プロセス環境が維持される第一のコンパー
トメント12と中間ウエハサポート50が配備されてい
る第二のコンパートメント14とを含むようなチャンバ
10の配置は、先行技術のチャンバの構成を清掃するた
めの定期修理時間と比べて、チャンバを清掃するための
定期修理時間において著しい改善を可能とする。例え
ば、第一のコンパートメント12を、清浄な事前にベー
クアウトされた第一のコンパートメント12と迅速に交
換することのできる能力は、チャンバ清掃のための休止
時間を著しく低減する。加うるに、可動壁18が掃除さ
れるとき或いは第一のコンパートメント12が取り外す
ことなく清掃されなければならない場合に、清掃用材料
或いはプロセスは、単に可動壁18をその一番延びきっ
た位置に動かすことによって、第一のコンパートメント
12内に維持することができる。プラズマにさらされそ
してそれ故に清掃の必要がある可動壁の部分は、第二の
コンパートメント内に置かれる。このようにして、第二
のコンパートメント14の表面に接触する水や他の清掃
用材料がゼロ或いは最小限になる。絞り用ポペットバル
ブ34から分離してポンプ32を修理できるようにする
絞り用ポペットバルブ34の位置は、同様にチャンバ清
掃に関わる休止時間を低減する。更に、絶縁ベース6
0、シールド72及び静電チャック64は、水を吸収し
ない材料で製造するのが好ましく、それによってこれら
の部品が清掃後ベークアウトする必要がなくなる。それ
故、チャンバ10を清掃しそれを生産に戻すためのサイ
クル時間は、8時間以上かかったものを、可動壁18を
清掃し、第一のコンパートメント12を元の場所に戻
し、第一のコンパートメント12への接続を回復するの
に必要な時間にまで短縮される。更に、スリットバルブ
6を第二のコンパートメント14の壁を通して置くこと
によって、スリットバルブ6はプロセス環境にさらされ
ることから保護される。それ故、スリットバルブ6は先
行技術のチャンバで必要であったようには、定期的な清
掃を要しない。加うるに、可動壁18が第二のエンクロ
ージャ14をプロセス環境からシールしているので、ス
リットバルブ6はウエハ8の処理中閉じる必要がない。
特に、第一のコンパートメント内で非エッチング環境(n
on-etch environment)が走っている(run)ときには特に
そうである。そして、閉じなければならないのは、チャ
ンバ10が清掃のために手入れをされているときだけで
ある。このようにして、スリットバルブ6は先行技術の
チャンバよりも開閉回数を少なくすることができ、それ
故、必要な手入れも最小限になる。また、スリットバル
ブはプロセス環境にさらされておらず、先行技術のチャ
ンバの構造では必要であった定期的な清掃を要しないの
で、トランスファチャンバ204を通してプロセスチャ
ンバ10につなげられている他のチャンバは、プロセス
チャンバ10が清掃されている間休止する必要がない。
最後に、第二のコンパートメント14内にプロセス化学
清浄環境(process chemistry clean environment)を維
持することによって、第二のコンパートメント14、ス
リットバルブ8及び中間ウエハサポート50、及び可動
壁18用の駆動機構の寿命が延びる。それは、これらの
部品がプロセスガスやプラズマからの汚染物質や腐食性
のアタックにさらされることがないからである。
トメント12と中間ウエハサポート50が配備されてい
る第二のコンパートメント14とを含むようなチャンバ
10の配置は、先行技術のチャンバの構成を清掃するた
めの定期修理時間と比べて、チャンバを清掃するための
定期修理時間において著しい改善を可能とする。例え
ば、第一のコンパートメント12を、清浄な事前にベー
クアウトされた第一のコンパートメント12と迅速に交
換することのできる能力は、チャンバ清掃のための休止
時間を著しく低減する。加うるに、可動壁18が掃除さ
れるとき或いは第一のコンパートメント12が取り外す
ことなく清掃されなければならない場合に、清掃用材料
或いはプロセスは、単に可動壁18をその一番延びきっ
た位置に動かすことによって、第一のコンパートメント
12内に維持することができる。プラズマにさらされそ
してそれ故に清掃の必要がある可動壁の部分は、第二の
コンパートメント内に置かれる。このようにして、第二
のコンパートメント14の表面に接触する水や他の清掃
用材料がゼロ或いは最小限になる。絞り用ポペットバル
ブ34から分離してポンプ32を修理できるようにする
絞り用ポペットバルブ34の位置は、同様にチャンバ清
掃に関わる休止時間を低減する。更に、絶縁ベース6
0、シールド72及び静電チャック64は、水を吸収し
ない材料で製造するのが好ましく、それによってこれら
の部品が清掃後ベークアウトする必要がなくなる。それ
故、チャンバ10を清掃しそれを生産に戻すためのサイ
クル時間は、8時間以上かかったものを、可動壁18を
清掃し、第一のコンパートメント12を元の場所に戻
し、第一のコンパートメント12への接続を回復するの
に必要な時間にまで短縮される。更に、スリットバルブ
6を第二のコンパートメント14の壁を通して置くこと
によって、スリットバルブ6はプロセス環境にさらされ
ることから保護される。それ故、スリットバルブ6は先
行技術のチャンバで必要であったようには、定期的な清
掃を要しない。加うるに、可動壁18が第二のエンクロ
ージャ14をプロセス環境からシールしているので、ス
リットバルブ6はウエハ8の処理中閉じる必要がない。
特に、第一のコンパートメント内で非エッチング環境(n
on-etch environment)が走っている(run)ときには特に
そうである。そして、閉じなければならないのは、チャ
ンバ10が清掃のために手入れをされているときだけで
ある。このようにして、スリットバルブ6は先行技術の
チャンバよりも開閉回数を少なくすることができ、それ
故、必要な手入れも最小限になる。また、スリットバル
ブはプロセス環境にさらされておらず、先行技術のチャ
ンバの構造では必要であった定期的な清掃を要しないの
で、トランスファチャンバ204を通してプロセスチャ
ンバ10につなげられている他のチャンバは、プロセス
チャンバ10が清掃されている間休止する必要がない。
最後に、第二のコンパートメント14内にプロセス化学
清浄環境(process chemistry clean environment)を維
持することによって、第二のコンパートメント14、ス
リットバルブ8及び中間ウエハサポート50、及び可動
壁18用の駆動機構の寿命が延びる。それは、これらの
部品がプロセスガスやプラズマからの汚染物質や腐食性
のアタックにさらされることがないからである。
【0077】中間ウエハサポート50を第二のコンパー
トメント14の中に維持するということは、またプロセ
スコンパートメントの設計変更を可能にする。即ちチャ
ンバ10がプラズマエッチング或いはスパッタリングの
ようなプラズマプロセスのために用いられる場合に、電
場における歪み(distortion)を低減するために、第一の
コンパートメント12の設計変更ができる。例えば、プ
ラズマプロセス環境を支持するために用いられる電場内
に、そのすぐ近くに非対称の中間ウエハサポート50が
ないことにより、そうでなければチャンバ内に存在する
はずの電場内の非対称性が低減される。加うるに、第一
のコンパートメント12は実質的に対称形に作ることが
できる。それは例えば、壁、カバー28の内面及び垂直
軸回りの可動壁18の表面のような第二のエンクロージ
ャの表面に完全な対称性を与えることによってでき、非
対称の中間ウエハサポート50を補償する必要なしに、
プラズマ中の電場歪みを低減する。このことは、排気オ
リフィス22をカバー28の中央頂部領域を通して置く
ことにより達成でき、それによって図に示されるような
片持ち梁状に延びる排気オリフィス22を排除できる。
加うるに、カバー28内に周辺チャンネル(circumferen
tial channel)を形成することによってガスが供給され
る。このように第二のエンクロージャ12を改変し、ま
たステム44の長手方向の軸に関して対称形である可動
壁18を提供することによって、第一のコンパートメン
トには対称形の空間(volume)が備わり、その空間の基部
(base)に、可動壁18及びウエハ8の回りのプロセスガ
ス及び電場の一様な分布を促す。
トメント14の中に維持するということは、またプロセ
スコンパートメントの設計変更を可能にする。即ちチャ
ンバ10がプラズマエッチング或いはスパッタリングの
ようなプラズマプロセスのために用いられる場合に、電
場における歪み(distortion)を低減するために、第一の
コンパートメント12の設計変更ができる。例えば、プ
ラズマプロセス環境を支持するために用いられる電場内
に、そのすぐ近くに非対称の中間ウエハサポート50が
ないことにより、そうでなければチャンバ内に存在する
はずの電場内の非対称性が低減される。加うるに、第一
のコンパートメント12は実質的に対称形に作ることが
できる。それは例えば、壁、カバー28の内面及び垂直
軸回りの可動壁18の表面のような第二のエンクロージ
ャの表面に完全な対称性を与えることによってでき、非
対称の中間ウエハサポート50を補償する必要なしに、
プラズマ中の電場歪みを低減する。このことは、排気オ
リフィス22をカバー28の中央頂部領域を通して置く
ことにより達成でき、それによって図に示されるような
片持ち梁状に延びる排気オリフィス22を排除できる。
加うるに、カバー28内に周辺チャンネル(circumferen
tial channel)を形成することによってガスが供給され
る。このように第二のエンクロージャ12を改変し、ま
たステム44の長手方向の軸に関して対称形である可動
壁18を提供することによって、第一のコンパートメン
トには対称形の空間(volume)が備わり、その空間の基部
(base)に、可動壁18及びウエハ8の回りのプロセスガ
ス及び電場の一様な分布を促す。
【0078】プロセス環境が維持できる別の第一のコン
パートメント12、及び処理中に第一のコンパートメン
ト12から隔離される第二のコンパートメント14とを
提供するためのチャンバ10の特定の形態は、プロセス
或いはチャンバの特定の要求に対処するように変えるこ
とができる。例えば、可動壁18は、開口部16を選択
的に開閉するために蝶つがい支持してもよい (hinge
d)。別の形態においては、二つのコンパートメントの位
置関係は逆にしてもよく、そのようにすると下側のコン
パートメントがプロセス環境を受け入れ、可動壁18が
下方向に動き、両コンパートメント間の開口部をシール
する。同様に、それらのコンパートメントは、隣接した
側壁を貫通する穴をもって並べて(side by side)置いて
もよく、そのようにすると、可動壁は水平方向に動き開
口部をシールするようになる。加うるに、ここに特に説
明したプロセス以外のプロセスも、マルチコンパートメ
ントチャンバによる利益を享受できる。
パートメント12、及び処理中に第一のコンパートメン
ト12から隔離される第二のコンパートメント14とを
提供するためのチャンバ10の特定の形態は、プロセス
或いはチャンバの特定の要求に対処するように変えるこ
とができる。例えば、可動壁18は、開口部16を選択
的に開閉するために蝶つがい支持してもよい (hinge
d)。別の形態においては、二つのコンパートメントの位
置関係は逆にしてもよく、そのようにすると下側のコン
パートメントがプロセス環境を受け入れ、可動壁18が
下方向に動き、両コンパートメント間の開口部をシール
する。同様に、それらのコンパートメントは、隣接した
側壁を貫通する穴をもって並べて(side by side)置いて
もよく、そのようにすると、可動壁は水平方向に動き開
口部をシールするようになる。加うるに、ここに特に説
明したプロセス以外のプロセスも、マルチコンパートメ
ントチャンバによる利益を享受できる。
【0079】
【発明の効果】以上述べたように、本発明によれば、第
一のコンパートメントと第二のコンパートメントとを有
するので、装置の分解修理に要する時間が大幅に低減さ
れる。
一のコンパートメントと第二のコンパートメントとを有
するので、装置の分解修理に要する時間が大幅に低減さ
れる。
【図1】エッチングチャンバとして形成された本発明の
プロセスチャンバの部分断面斜視図である。
プロセスチャンバの部分断面斜視図である。
【図2】図1のプロセスチャンバの部分断面図である。
【図3】図1のプロセスチャンバの断面図である。
【図4】図1のプロセスチャンバの断面図であり、ウエ
ハ取扱い部材がチャンバ内にウエハを支持するように位
置付けられているところを示す図である。
ハ取扱い部材がチャンバ内にウエハを支持するように位
置付けられているところを示す図である。
【図5】図1のプロセスチャンバの断面図であり、可動
壁がプロセス環境をチャンバのある部分から隔離するよ
うに位置付けられた状態を示す図である。
壁がプロセス環境をチャンバのある部分から隔離するよ
うに位置付けられた状態を示す図である。
【図6】図1のチャンバの可動壁の部分断面図であり、
静電チャックのパワ−接続を示す図である。
静電チャックのパワ−接続を示す図である。
【図7】ウエハ支持ピンの好ましい形態を示す、図1の
チャンバの可動壁の部分断面図である。
チャンバの可動壁の部分断面図である。
【図8】本発明の複数の区画化された基板処理チャンバ
を利用するクラスタツ−ルの単純化した線図による上面
図である。
を利用するクラスタツ−ルの単純化した線図による上面
図である。
6…スリットバルブ、8…ウエハ、10…チャンバー、
12…第一の区画、14…第二の区画、18…可動壁、
16…開口部、20…ベース、22…排気オリフィス、
24…上部カバーフランジ、28…カバー、30…シー
ルリング、32…ポンプ、34…ポペットバルブ、37
…ゲートバルブ、40…プレート、45…ポンプ支持フ
ランジ、41…桶状ハウジング、43…搭載フランジ、
44…ステム、46…開口部、49…駆動部材、60…
ベース、62…導電部材、63…ペデスタル、64…静
電チャック、80…ピン、120…ストラップ、122
…ピンコネクタ、123…コンタクトピン。
12…第一の区画、14…第二の区画、18…可動壁、
16…開口部、20…ベース、22…排気オリフィス、
24…上部カバーフランジ、28…カバー、30…シー
ルリング、32…ポンプ、34…ポペットバルブ、37
…ゲートバルブ、40…プレート、45…ポンプ支持フ
ランジ、41…桶状ハウジング、43…搭載フランジ、
44…ステム、46…開口部、49…駆動部材、60…
ベース、62…導電部材、63…ペデスタル、64…静
電チャック、80…ピン、120…ストラップ、122
…ピンコネクタ、123…コンタクトピン。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェラルド ゼット. イン アメリカ合衆国, カリフォルニア州 95014, キュパティノ, ビリッチ プレイス 10132 (72)発明者 ドナルド オルガド アメリカ合衆国, カリフォルニア州 94040, マウンテン ヴュー, シャ ワーズ ドライヴ ナンバーケー432 49 (56)参考文献 特開 昭63−133532(JP,A) 特開 平3−180031(JP,A) 特開 平7−235394(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/02 H01L 21/306 H01L 21/3065
Claims (19)
- 【請求項1】 基板処理のための装置であって、 基板処理環境をその内部に維持する基板処理用の第一の
コンパートメントと、 可動の基板支持部材が自身の中に格納される第二のコン
パートメントとを備え、 前記第一のコンパートメントと前記第二のコンパートメ
ントには、共通の開口部があり、前記共通の開口部を介
して、前記第一のコンパートメントと前記第二のコンパ
ートメントとは連通し、 前記基板支持部材は、自身の上に基板を支持しつつ、前
記共通開口部に移動して前記共通開口部を塞ぎ、もっ
て、基板を前記第一のコンパートメント内の基板処理環
境に曝露しつつ、前記第二のコンパートメントを前記基
板処理環境から遮断することができ、また、 前記第一のコンパートメントは、取り外し可能であり、
且つ、別の第一のコンパートメントと交換可能である装
置。 - 【請求項2】 基板処理装置の環境を清浄に維持するた
めの方法であって、前記基板処理装置は、 第一のコンパートメントと、 第二のコンパートメントと、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間の共通開口部と、 可動壁であって、前記可動壁は、前記共通開口部に移動
して前記共通開口部を塞ぐことができる、前記可動壁と
を備えており、 前記方法が、 前記可動壁を前記共通開口部に移動させて前記共通開口
部を塞ぎつつ、前記第一のコンパートメント内に処理環
境を維持する工程と、 前記第一のコンパートメント内で少なくとも一枚の基板
の処理が行われた後に、前記第一のコンパートメントを
取り除き、それを、ベークアウト済みの清浄な別の第一
のコンパートメントと交換する工程とを有する方法。 - 【請求項3】 処理装置を清掃する方法であって、前記
処理装置は、 第一のコンパートメントと、 第二のコンパートメントと、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間の共通開口部と、 可動壁であって、前記可動壁は、前記共通開口部に移動
して前記共通開口部を塞ぐことができる、前記可動壁と
を備え、 前記方法が、 前記共通の開口部に前記可動壁を移動して、前記第一の
コンパートメントを前記第二のコンパートメントから隔
離する工程と、 前記可動壁を前記共通の開口部に保持しつつ、前記第一
のコンパートメントを清掃する工程とを有する方法。 - 【請求項4】 基板処理チャンバ組立体であって、 第一のコンパートメントと、 第二のコンパートメントと、 基板支持部材とを備え、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間には、第一の開口部を有する共通の境界部が
あり、 前記第二のコンパートメントへの基板の搬入出を可能に
する第二の開口部が、前記第一の開口部から隔てられて
前記第二のコンパートメントに存在し、 前記基板支持部材は、前記第二のコンパートメント内に
配設されているが、第一の位置と第二の位置との間で可
動であり、 前記第一の位置とは、前記基板支持部材が、前記第二の
開口部を介して基板を受容するための、前記基板支持部
材の位置であり、 前記第二の位置とは、前記基板支持部材を前記第一の開
口部に挿入して前記第一の開口部を塞ぎつつ、同時に、
前記基板支持部材の上に支持された基板を、処理のため
に前記第一のコンパートメント内に配置するような、前
記基板支持部材の位置である基板処理チャンバ組立体。 - 【請求項5】 1つ以上の基板処理チャンバと基板移送
チャンバとを備えるマルチチャンバ式の基板処理システ
ムであって、 前記基板処理チャンバのそれぞれが、 第一のコンパートメントと、 第二のコンパートメントと、 一つ以上のゲート装置と、 基板支持部材とを備え、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間には、第一の開口部を有する共通の境界部が
あり、 前記第二のコンパートメントには、前記第二のコンパー
トメントへの基板の搬入出を可能にする第二の開口部
が、前記第一の開口部から隔てられて具備され、 前記基板移送チャンバは、前記処理チャンバのそれぞれ
の前記第二の開口部を介して前記処理チャンバに連通す
る出口をそれぞれに対して有し、 前記ゲート装置のそれぞれは、前記出口の1つとこれに
連通する第二の開口部とを共に塞ぎ、 前記基板支持部材は、前記第二のコンパートメントのそ
れぞれの中にあり伸張位置と収縮位置の間を移動可能で
あり、 前記基板支持部材は、基板の設置又は取り出しのための
前記収縮位置にあるときは、前記第二の開口部と近接
し、前記伸張位置にあるときは、前記第一の開口部に係
合してこれをシールし、前記第二のコンパートメントを
前記第一のコンパートメント内の処理環境から隔離しつ
つ、該第一のコンパートメントの中に基板支持部材を配
置させ該第一のコンパートメント内の前記処理環境の中
で前記基板が処理を受けることができる基板処理システ
ム。 - 【請求項6】 1つ以上の基板処理チャンバと基板移送
チャンバとを備えるマルチチャンバ式の基板処理装置で
あって、前記基板処理チャンバのそれぞれが、 第一のコンパートメントと、 第二のコンパートメントと、 基板支持部材とを備え、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間には、第一の開口部を有する共通の境界部が
あり、 前記第二のコンパートメントには、前記第二のコンパー
トメントへの基板の搬入出を可能にする第二の開口部
が、前記第一の開口部から間隔をおいて具備され、 前記基板移送チャンバは、前記処理チャンバのそれぞれ
の前記第二の開口部を介して前記処理チャンバに連通す
る出口をそれぞれに対して有し、 それぞれの前記基板支持部材は、それぞれの前記第二の
コンパートメントの中にあり第一の位置と第二の位置の
間を移動可能であり、 前記基板支持部材は、前記移送チャンバとの基板の搬入
出のための前記第一の位置にあるときは、前記第二の開
口部と近接し、前記第二の位置にあるときは、前記第一
の開口部に係合してシールを与え、前記第二のコンパー
トメントを前記第一のコンパートメント内の処理環境か
ら隔離しつつ、該第一のコンパートメントの中に基板を
配置させて、該第一のコンパートメント内の前記処理環
境での基板の処理を可能にする基板処理装置。 - 【請求項7】 半導体ウエハ処理のための装置であっ
て、 自身の中に処理環境が維持可能な第一のコンパートメン
トと、 第二のコンパートメントと、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間を貫く開口部と、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの両方のための可動壁とを備え、 前記可動壁は、前記第一のコンパートメント内に位置を
とる際、自身の上にウエハを受容することが可能であ
り、また、 前記可動壁で前記開口部を覆うように前記可動壁を配置
することで、前記第一のコンパートメントを前記第二の
コンパートメントから隔離しつつ、前記可動壁上に受容
されている前記ウエハを前記第一のコンパートメントの
中に配置し、もって、前記第一のコンパートメント内に
維持される処理環境に前記ウエハを曝露することが可能
である装置。 - 【請求項8】 ウエハを処理するための方法であって、
前記方法を行うための装置が、 第一のコンパートメントと、 第二のコンパートメントと、 前記第一のコンパートメントと前記第二のコンパートメ
ントとの間の共通の壁と、 前記共通の壁の中の開口部と、 前記第一のコンパートメントと前記第二のコンパートメ
ントに供される可動壁とを備えており、 前記方法が、 前記可動壁を前記第二のコンパートメント内に配置させ
て、前記可動壁の上にウエハを置く工程と、 前記第一のコンパートメント内に処理環境が維持されて
いる際、前記第一のコンパートメントを前記第二のコン
パートメントから隔離しつつ、ウエハを前記第一のコン
パートメントに連通させるよう、前記可動壁を、前記開
口部を覆う位置に配置させる工程とを有する方法。 - 【請求項9】 基板処理装置の第二のコンパートメント
内の、基板を受容するための第二の配置と、基板処理装
置の第一のコンパートメント内に維持される処理環境に
さらす位置を基板に与えつつ前記第一のコンパートメン
トと前記第二のコンパートメントとの間の開口部をシー
ルする第一の配置とを、とることができる基板支持部材
であって、 前記基板支持部材は、 前記開口部の周辺部で前記開口部をシールするために、
前記第二のコンパートメントと前記第一のコンパートメ
ントとの間に伸びる、前記開口部の前記周辺部の回りに
係合するフランジ部、を有する絶縁ベースと、 前記絶縁ベースの上に受容される導電部材であって、前
記導電部材にバイアスを与えることにより、前記第一の
コンパートメント内に維持されるガス環境にプラズマを
維持するカソードを形成する、前記導電部材とを備える
基板支持部材。 - 【請求項10】 基板処理チャンバであって、 コンパートメント壁を有する第一のコンパートメント
と、 前記コンパートメント壁に受けられた第二のコンパート
メントと、 基板を受容するための前記第二のコンパートメントの中
の第一の位置と、前記開口部をシールするようにカバー
し且つ前記基板を処理のため前記第一のコンパートメン
ト内に配置させる第二の位置とをとり得る、可動壁とを
備え、 前記コンパートメント壁には、前記第一のコンパートメ
ントと前記第二のコンパートメントとの間を連通させる
開口部が与えられており、 前記可動壁が、前記コンパートメント壁の前記開口部を
シールすることができる絶縁ベースを有している基板処
理チャンバ。 - 【請求項11】 基板を搬入出する搬入出のコンパート
メントと基板処理装置の処理のコンパートメントとの間
の開口をシールする方法であって、 前記搬入出のコンパートメント内には基板受容面を有す
る可動の基板支持部材が具備され、前記基板支持部材上
には絶縁ベースが具備され、 前記基板は、前記搬入出のコンパートメントで前記装置
に搬入出され前記処理のコンパートメントで処理され、 前記方法が、 前記基板支持部材を移動させて、前記絶縁ベースの一部
を前記開口部の周辺部に配置させ前記開口部をシールす
る工程を有する方法。 - 【請求項12】 基板処理チャンバであって、 自身から伸延する真空ポートと、処理空間とを有する第
一のコンパートメントと、 ポンプ搭載フランジを有する支持部分と、閉じ込め空間
とを有する第二のコンパートメントと、 前記フランジ上に受容されるポンプとを備え、 前記真空ポートが伸延して前記真空ポンプと連通し、前
記第一のコンパートメントと前記第二のコンパートメン
トの両方のための真空源として前記真空ポンプを使用す
ることを可能にする基板処理チャンバ。 - 【請求項13】 基板処理チャンバであって、 処理空間と排気ポートとを画成する第一のコンパートメ
ントと、 閉じ込め空間を画成する第二のコンパートメントと、 前記処理空間と前記閉じ込め空間との間に貫く開口部
と、 基板支持部材であって、自身の上に基板を受容し且つ前
記開口部を塞ぐような位置をとりつつ、前記処理チャン
バ内の処理環境にさらすような位置を基板に与える、基
板支持部材と、 前記処理空間の上方に配設されたカバーとを備え、 前記処理空間と前記排気ポートとが一体の物として構成
される基板処理チャンバ。 - 【請求項14】 基板を処理するための方法であって、
該方法に用いる装置は、 処理空間と、 基板装填空間と、 前記処理空間と前記基板装填空間との間の開口部と、 基板支持部材とを備え、前記方法は、 前記基板装填空間内に前記基板支持部材を置きその上に
基板を置く工程と、 前記開口部を前記基板支持部材で塞ぎつつ前記基板を前
記処理空間に曝露する位置に、前記基板支持部材を、そ
の上の前記基板と一緒に移動する工程と、 前記処理空間内に第一の圧力の処理環境を維持する工程
と、 前記処理空間内における基板処理の間、前記第一の圧力
よりも低い圧力の真空を前記基板装填空間内に維持する
工程とを備える方法。 - 【請求項15】 基板を処理するための方法であって、
該方法に用いる装置は、 処理空間と、 閉じ込め空間と、 前記処理空間と前記閉じ込め空間との間の共通の壁と、 前記処理空間と前記閉じ込め空間との間の開口部と、 基板支持部材及びその中の電極と、 を備え、前記方法は、 基板を前記基板支持部材の上に配置する工程と、 前記基板支持部材をその上の基板と共に前記開口部に被
せるように配置し、前記開口部をシールする工程と、 前記処理空間に処理ガスの環境を提供する工程と、 前記処理ガスをプラズマ状態に維持するために前記電極
にバイアスをかける工程と、 前記処理ガスがプラズマ状態に維持されているとき、前
記処理空間内の圧力よりも低い圧力を前記閉じ込め空間
内に維持する工程とを有する方法。 - 【請求項16】 基板処理装置であって、 処理空間と、ポンプ開口部と、前記処理空間と前記ポン
プ開口部との間を連通する排気オリフィスとを有する、
第一のコンパートメントと、 シール可能な開口部を通して前記第一のコンパートメン
トと連通する第二のコンパートメントと、 前記排気オリフィスを絞るための絞り開口部と、 前記排気オリフィスを選択的に絞るための前記絞りオリ
フィスの絞りバルブと、 前記ポンプ開口部と連通する真空ポンプとを備え、 前記絞り開口部が前記ポンプ開口部から別々につながる
ように置かれる基板処理装置。 - 【請求項17】 前記基板処理装置が、基板ハンドリン
グコンパートメントを更に有し、前記第二のコンパート
メントが、前記基板ハンドリングコンパートメントに更
に連通する請求項16に記載の基板処理装置。 - 【請求項18】 前記基板処理装置が、 前記第二のコンパートメントと前記基板ハンドリングコ
ンパートメントとの間のアクセスポートに与えられるゲ
ートバルブと、 前記基板ハンドリングコンパートメント内に与えられる
基板ハンドリング部材とを更に備え、 前記ハンドリング部材は、基板を前記第二のコンパート
メントに搬入出するため、前記アクセスポートの中を通
って選択的に伸延し、 前記第一のコンパートメントが第二のコンパートメント
から取り出される以外には、前記ゲートバルブが開位置
に維持される請求項17に記載の基板処理装置。 - 【請求項19】 前記基板処理装置が、 前記第二のコンパートメント内に配置される基板支持部
材を更に備え、 基板は、前記基板支持部材上に受容のため、前記基板ハ
ンドリング部材を用いて前記基板ハンドリングコンパー
トメントから移動可能であり、 前記基板は、前記基板支持部材上に受容可能であり、 前記基板支持部材は、その上に基板が置かれたまま、前
記開口部を覆ってシールすることが可能であり、 前記処理空間内に処理環境を提供することが可能である
請求項18に記載の基板処理装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/296,043 US5730801A (en) | 1994-08-23 | 1994-08-23 | Compartnetalized substrate processing chamber |
US08/296043 | 1994-08-23 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH08172037A JPH08172037A (ja) | 1996-07-02 |
JP3162607B2 true JP3162607B2 (ja) | 2001-05-08 |
Family
ID=23140361
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP21481495A Expired - Fee Related JP3162607B2 (ja) | 1994-08-23 | 1995-08-23 | 区画化された基板処理チャンバ |
Country Status (5)
Country | Link |
---|---|
US (2) | US5730801A (ja) |
EP (2) | EP0898299B1 (ja) |
JP (1) | JP3162607B2 (ja) |
KR (2) | KR960009004A (ja) |
DE (2) | DE69507757T2 (ja) |
Families Citing this family (546)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5885353A (en) * | 1996-06-21 | 1999-03-23 | Micron Technology, Inc. | Thermal conditioning apparatus |
US5879128A (en) * | 1996-07-24 | 1999-03-09 | Applied Materials, Inc. | Lift pin and support pin apparatus for a processing chamber |
US6120640A (en) * | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
KR100256440B1 (ko) * | 1997-03-07 | 2000-05-15 | 윤종용 | 반도체 제조공정 중 광학적 분석용 액적 가공방법, 그리고 그를 위한 설비 및 그의 제어 방법 |
US6045620A (en) * | 1997-07-11 | 2000-04-04 | Applied Materials, Inc. | Two-piece slit valve insert for vacuum processing system |
US6079928A (en) * | 1997-08-08 | 2000-06-27 | Brooks Automation, Inc. | Dual plate gas assisted heater module |
US6084215A (en) * | 1997-11-05 | 2000-07-04 | Tokyo Electron Limited | Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein |
US6749687B1 (en) * | 1998-01-09 | 2004-06-15 | Asm America, Inc. | In situ growth of oxide and silicon layers |
US5931626A (en) * | 1998-01-16 | 1999-08-03 | Brooks Automation Inc. | Robot mounting de-coupling technique |
JPH11288995A (ja) * | 1998-04-04 | 1999-10-19 | Tokyo Electron Ltd | 搬送システム及び処理装置 |
US6517303B1 (en) * | 1998-05-20 | 2003-02-11 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle |
US6215897B1 (en) | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Automated substrate processing system |
US6338756B2 (en) | 1998-06-30 | 2002-01-15 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
US6192827B1 (en) * | 1998-07-03 | 2001-02-27 | Applied Materials, Inc. | Double slit-valve doors for plasma processing |
US6461675B2 (en) | 1998-07-10 | 2002-10-08 | Cvc Products, Inc. | Method for forming a copper film on a substrate |
US6231289B1 (en) | 1998-08-08 | 2001-05-15 | Brooks Automation, Inc. | Dual plate gas assisted heater module |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6328858B1 (en) | 1998-10-01 | 2001-12-11 | Nexx Systems Packaging, Llc | Multi-layer sputter deposition apparatus |
US6217272B1 (en) | 1998-10-01 | 2001-04-17 | Applied Science And Technology, Inc. | In-line sputter deposition system |
US6178361B1 (en) * | 1998-11-20 | 2001-01-23 | Karl Suss America, Inc. | Automatic modular wafer substrate handling device |
US6258220B1 (en) * | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6294836B1 (en) | 1998-12-22 | 2001-09-25 | Cvc Products Inc. | Semiconductor chip interconnect barrier material and fabrication method |
US7077159B1 (en) * | 1998-12-23 | 2006-07-18 | Applied Materials, Inc. | Processing apparatus having integrated pumping system |
US6245655B1 (en) | 1999-04-01 | 2001-06-12 | Cvc Products, Inc. | Method for planarized deposition of a material |
US6557237B1 (en) * | 1999-04-08 | 2003-05-06 | Applied Materials, Inc. | Removable modular cell for electro-chemical plating and method |
US6551488B1 (en) * | 1999-04-08 | 2003-04-22 | Applied Materials, Inc. | Segmenting of processing system into wet and dry areas |
US6585876B2 (en) | 1999-04-08 | 2003-07-01 | Applied Materials Inc. | Flow diffuser to be used in electro-chemical plating system and method |
US6440261B1 (en) | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
DE60035948T2 (de) * | 1999-06-19 | 2008-05-15 | Asm Genitech Korea Ltd. | Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films |
US6298685B1 (en) | 1999-11-03 | 2001-10-09 | Applied Materials, Inc. | Consecutive deposition system |
US6347919B1 (en) * | 1999-12-17 | 2002-02-19 | Eaton Corporation | Wafer processing chamber having separable upper and lower halves |
EP1126508A3 (en) * | 2000-02-16 | 2005-03-30 | Applied Materials, Inc. | Processing apparatus having integrated pumping system |
US6627995B2 (en) | 2000-03-03 | 2003-09-30 | Cvc Products, Inc. | Microelectronic interconnect material with adhesion promotion layer and fabrication method |
US6660086B1 (en) * | 2000-03-06 | 2003-12-09 | Innovative Coatings, Inc. | Method and apparatus for extruding a coating upon a substrate surface |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6235656B1 (en) | 2000-07-03 | 2001-05-22 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US6562141B2 (en) | 2000-07-03 | 2003-05-13 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US7011039B1 (en) * | 2000-07-07 | 2006-03-14 | Applied Materials, Inc. | Multi-purpose processing chamber with removable chamber liner |
US6821912B2 (en) | 2000-07-27 | 2004-11-23 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6682288B2 (en) | 2000-07-27 | 2004-01-27 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6530733B2 (en) | 2000-07-27 | 2003-03-11 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6630053B2 (en) | 2000-08-22 | 2003-10-07 | Asm Japan K.K. | Semiconductor processing module and apparatus |
US7018504B1 (en) | 2000-09-11 | 2006-03-28 | Asm America, Inc. | Loadlock with integrated pre-clean chamber |
US6444263B1 (en) | 2000-09-15 | 2002-09-03 | Cvc Products, Inc. | Method of chemical-vapor deposition of a material |
CN100469948C (zh) * | 2000-10-03 | 2009-03-18 | 应用材料有限公司 | 一旦进入金属沉积用来倾斜基片的方法和相关设备 |
JP2002110570A (ja) | 2000-10-04 | 2002-04-12 | Asm Japan Kk | 半導体製造装置用ガスラインシステム |
US6825447B2 (en) * | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US6998579B2 (en) | 2000-12-29 | 2006-02-14 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US20020083897A1 (en) * | 2000-12-29 | 2002-07-04 | Applied Materials, Inc. | Full glass substrate deposition in plasma enhanced chemical vapor deposition |
US6765178B2 (en) | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6609869B2 (en) | 2001-01-04 | 2003-08-26 | Asm America | Transfer chamber with integral loadlock and staging station |
US6695318B2 (en) * | 2001-01-17 | 2004-02-24 | Tokyo Electron Limited | Electronic device processing equipment having contact gasket between chamber parts |
US6791097B2 (en) * | 2001-01-18 | 2004-09-14 | Varian Semiconductor Equipment Associates, Inc. | Adjustable conductance limiting aperture for ion implanters |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6476367B2 (en) | 2001-02-20 | 2002-11-05 | Chartered Semiconductor Manufacturing Ltd. | Auto-bake out system |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US6518193B1 (en) * | 2001-03-09 | 2003-02-11 | Lsi Logic Corporation | Substrate processing system |
US6506994B2 (en) | 2001-06-15 | 2003-01-14 | Applied Materials, Inc. | Low profile thick film heaters in multi-slot bake chamber |
US6663333B2 (en) * | 2001-07-13 | 2003-12-16 | Axcelis Technologies, Inc. | Wafer transport apparatus |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP2003037146A (ja) * | 2001-07-24 | 2003-02-07 | Asm Japan Kk | バッファ機構を有する半導体製造装置及び方法 |
JP3660896B2 (ja) * | 2001-07-26 | 2005-06-15 | 株式会社日立製作所 | プラズマ処理装置のメンテナンス方法 |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6753506B2 (en) * | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6899507B2 (en) * | 2002-02-08 | 2005-05-31 | Asm Japan K.K. | Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US20050102916A1 (en) * | 2002-02-21 | 2005-05-19 | Yoshinobu Izawa | Fluid, powder or grain feed tank |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20030159653A1 (en) * | 2002-02-28 | 2003-08-28 | Dando Ross S. | Manifold assembly for feeding reactive precursors to substrate processing chambers |
US6899765B2 (en) * | 2002-03-29 | 2005-05-31 | Applied Materials Israel, Ltd. | Chamber elements defining a movable internal chamber |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US20030194825A1 (en) * | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6869838B2 (en) * | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US6743736B2 (en) * | 2002-04-11 | 2004-06-01 | Micron Technology, Inc. | Reactive gaseous deposition precursor feed apparatus |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6896730B2 (en) * | 2002-06-05 | 2005-05-24 | Micron Technology, Inc. | Atomic layer deposition apparatus and methods |
US7018517B2 (en) * | 2002-06-21 | 2006-03-28 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
FR2843129B1 (fr) * | 2002-08-01 | 2006-01-06 | Tecmachine | Installation pour le traitement sous vide notamment de substrats |
AU2003258237A1 (en) * | 2002-08-26 | 2004-03-11 | Tokyo Electron Limited | Reduced volume plasma reactor |
KR100429296B1 (ko) * | 2002-09-09 | 2004-04-29 | 한국전자통신연구원 | 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법 |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
DE10247051A1 (de) * | 2002-10-09 | 2004-04-22 | Polymer Latex Gmbh & Co Kg | Latex und Verfahren zu seiner Herstellung |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
JP4257576B2 (ja) * | 2003-03-25 | 2009-04-22 | ローム株式会社 | 成膜装置 |
US7357115B2 (en) * | 2003-03-31 | 2008-04-15 | Lam Research Corporation | Wafer clamping apparatus and method for operating the same |
JP4152802B2 (ja) * | 2003-05-09 | 2008-09-17 | 日本エー・エス・エム株式会社 | 薄膜形成装置 |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US6911256B2 (en) * | 2003-06-30 | 2005-06-28 | Imation Corp. | Buffer layers for magnetic media with a plastic substrate |
US7100954B2 (en) * | 2003-07-11 | 2006-09-05 | Nexx Systems, Inc. | Ultra-thin wafer handling system |
US7026581B2 (en) * | 2003-08-22 | 2006-04-11 | Axcelis Technologies, Inc. | Apparatus for positioning an elevator tube |
JP4397655B2 (ja) * | 2003-08-28 | 2010-01-13 | キヤノンアネルバ株式会社 | スパッタリング装置、電子部品製造装置及び電子部品製造方法 |
US20050092601A1 (en) * | 2003-10-29 | 2005-05-05 | Harald Herchen | Electrochemical plating cell having a diffusion member |
US20050092602A1 (en) * | 2003-10-29 | 2005-05-05 | Harald Herchen | Electrochemical plating cell having a membrane stack |
US7500822B2 (en) * | 2004-04-09 | 2009-03-10 | Edwards Vacuum, Inc. | Combined vacuum pump load-lock assembly |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
CN103199039B (zh) * | 2004-06-02 | 2016-01-13 | 应用材料公司 | 电子装置制造室及其形成方法 |
US7394076B2 (en) * | 2004-08-18 | 2008-07-01 | New Way Machine Components, Inc. | Moving vacuum chamber stage with air bearing and differentially pumped grooves |
US7445015B2 (en) * | 2004-09-30 | 2008-11-04 | Lam Research Corporation | Cluster tool process chamber having integrated high pressure and vacuum chambers |
WO2006052919A1 (en) * | 2004-11-08 | 2006-05-18 | New Way Machine Components, Inc. | Non-contact porous air bearing and glass flattening device |
US20060102467A1 (en) * | 2004-11-15 | 2006-05-18 | Harald Herchen | Current collimation for thin seed and direct plating |
JP4384109B2 (ja) * | 2005-01-05 | 2009-12-16 | 三星モバイルディスプレイ株式會社 | 蒸着システム用蒸着源の駆動軸及びこれを具備した蒸着システム |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
KR101213390B1 (ko) * | 2005-02-22 | 2012-12-18 | 잭틱스 인코포레이티드 | 서브챔버를 가지는 에칭 챔버 |
US7467916B2 (en) * | 2005-03-08 | 2008-12-23 | Asm Japan K.K. | Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20070020475A1 (en) * | 2005-07-21 | 2007-01-25 | Prince Kendall W | Primed substrate and method for making the same |
US20070034228A1 (en) | 2005-08-02 | 2007-02-15 | Devitt Andrew J | Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays |
US7687098B1 (en) * | 2005-08-26 | 2010-03-30 | Charlie W. Chi | Chemical mechanical vapor deposition device for production of bone substitute material |
WO2007042797A1 (en) * | 2005-10-11 | 2007-04-19 | Aviza Technology Limited | Positive displacement pumping chamber |
US20070116872A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7581916B2 (en) * | 2006-07-14 | 2009-09-01 | Ulvac-Phi, Inc. | Sample introduction and transfer system and method |
US7976898B2 (en) | 2006-09-20 | 2011-07-12 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
KR101355638B1 (ko) * | 2006-11-09 | 2014-01-29 | 한국에이에스엠지니텍 주식회사 | 원자층 증착 장치 |
CN101205605B (zh) * | 2006-12-18 | 2012-01-11 | 东京毅力科创株式会社 | 用于热增强和等离子体增强气相沉积的装置及操作方法 |
US7993457B1 (en) * | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
JP4746003B2 (ja) * | 2007-05-07 | 2011-08-10 | リンテック株式会社 | 移載装置及び移載方法 |
US8282735B2 (en) * | 2007-11-27 | 2012-10-09 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US20090151872A1 (en) * | 2007-12-17 | 2009-06-18 | Tugrul Samir | Low cost high conductance chamber |
JP5144352B2 (ja) * | 2008-04-22 | 2013-02-13 | 株式会社アルバック | エッチング装置 |
JP5312856B2 (ja) * | 2008-06-27 | 2013-10-09 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US9289795B2 (en) | 2008-07-01 | 2016-03-22 | Precision Coating Innovations, Llc | Pressurization coating systems, methods, and apparatuses |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8216376B1 (en) * | 2009-01-15 | 2012-07-10 | Intermolecular, Inc. | Method and apparatus for variable conductance |
US8440048B2 (en) | 2009-01-28 | 2013-05-14 | Asm America, Inc. | Load lock having secondary isolation chamber |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20100304027A1 (en) * | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
TWI408766B (zh) * | 2009-11-12 | 2013-09-11 | Hitachi High Tech Corp | Vacuum processing device |
US9091371B2 (en) * | 2010-12-27 | 2015-07-28 | Kenneth K L Lee | Single axis gate valve for vacuum applications |
US20120201959A1 (en) * | 2011-02-04 | 2012-08-09 | Applied Materials, Inc. | In-Situ Hydroxylation System |
US8801950B2 (en) * | 2011-03-07 | 2014-08-12 | Novellus Systems, Inc. | Reduction of a process volume of a processing chamber using a nested dynamic inert volume |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9309603B2 (en) | 2011-09-14 | 2016-04-12 | Applied Materials, Inc | Component cleaning in a metal plating apparatus |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9616457B2 (en) | 2012-04-30 | 2017-04-11 | Innovative Coatings, Inc. | Pressurization coating systems, methods, and apparatuses |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
WO2014039194A1 (en) | 2012-09-07 | 2014-03-13 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9353439B2 (en) | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
JP6291878B2 (ja) * | 2014-01-31 | 2018-03-14 | シンフォニアテクノロジー株式会社 | ロードポート及びefem |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR101736854B1 (ko) * | 2015-10-29 | 2017-05-17 | 세메스 주식회사 | 기판 처리 장치 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US20180142355A1 (en) * | 2016-11-18 | 2018-05-24 | Adnanotek Corp. | System integrating atomic layer deposition and reactive ion etching |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11802340B2 (en) * | 2016-12-12 | 2023-10-31 | Applied Materials, Inc. | UHV in-situ cryo-cool chamber |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9947517B1 (en) * | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP7053991B2 (ja) * | 2017-03-28 | 2022-04-13 | セントラル硝子株式会社 | ドライエッチング方法、半導体素子の製造方法及びチャンバークリーニング方法 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US10600623B2 (en) | 2018-05-28 | 2020-03-24 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
KR20220163508A (ko) * | 2018-05-31 | 2022-12-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 극도의 균일성의 가열식 기판 지지 조립체 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
WO2020214327A1 (en) | 2019-04-19 | 2020-10-22 | Applied Materials, Inc. | Ring removal from processing chamber |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20220027888A (ko) * | 2019-06-06 | 2022-03-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 최하부 퍼지 가스 유동 균일성을 개선하기 위한 배플 구현 |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP7197739B2 (ja) * | 2021-05-10 | 2022-12-27 | ピコサン オーワイ | 基板処理装置及び方法 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2766022A (en) * | 1954-06-03 | 1956-10-09 | Virtis Company Inc | Mixing equipment |
JPS5291650A (en) * | 1976-01-29 | 1977-08-02 | Toshiba Corp | Continuous gas plasma etching apparatus |
JPS5378170A (en) * | 1976-12-22 | 1978-07-11 | Toshiba Corp | Continuous processor for gas plasma etching |
IT1203089B (it) * | 1976-03-03 | 1989-02-15 | Int Plasma Corp | Procedimento ed apparecchiatura per eseguire reazioni chimiche nella regione della scarica luminescente di un plasma |
JPS53121469A (en) * | 1977-03-31 | 1978-10-23 | Toshiba Corp | Gas etching unit |
US4100055A (en) * | 1977-06-10 | 1978-07-11 | Varian Associates, Inc. | Target profile for sputtering apparatus |
JPS5421175A (en) * | 1977-07-18 | 1979-02-17 | Tokyo Ouka Kougiyou Kk | Improvement of plasma reaction processor |
US4158589A (en) * | 1977-12-30 | 1979-06-19 | International Business Machines Corporation | Negative ion extractor for a plasma etching apparatus |
US4231695A (en) * | 1978-07-10 | 1980-11-04 | Weston Sr Robert M | Cargo loading and unloading apparatus for trucks |
JPS55141570A (en) * | 1979-04-18 | 1980-11-05 | Anelva Corp | Dry etching apparatus |
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4419923A (en) * | 1979-07-17 | 1983-12-13 | Nisshin Kogyo Kabushiki Kaisha | Toggle assembly for vehicles or cars |
US5024747A (en) * | 1979-12-21 | 1991-06-18 | Varian Associates, Inc. | Wafer coating system |
US4585920A (en) * | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
US4547247A (en) * | 1984-03-09 | 1985-10-15 | Tegal Corporation | Plasma reactor chuck assembly |
US4539062A (en) * | 1984-03-12 | 1985-09-03 | Tegal Corporation | Modular plasma reactor with local atmosphere |
US4534314A (en) * | 1984-05-10 | 1985-08-13 | Varian Associates, Inc. | Load lock pumping mechanism |
US4793975A (en) * | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
JPS63204726A (ja) * | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US4795299A (en) * | 1987-04-15 | 1989-01-03 | Genus, Inc. | Dial deposition and processing apparatus |
US4861563A (en) * | 1987-05-14 | 1989-08-29 | Spectrum Cvd, Inc. | Vacuum load lock |
DE3827343A1 (de) * | 1988-08-12 | 1990-02-15 | Leybold Ag | Vorrichtung nach dem karussel-prinzip zum beschichten von substraten |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
JP2502661B2 (ja) * | 1988-03-04 | 1996-05-29 | 松下電器産業株式会社 | 気相成長装置 |
JPH0231420A (ja) * | 1988-05-20 | 1990-02-01 | Tegal Corp | プラズマリアクタ用ウエハークランプ装置 |
US5076205A (en) * | 1989-01-06 | 1991-12-31 | General Signal Corporation | Modular vapor processor system |
US5242566A (en) | 1990-04-23 | 1993-09-07 | Applied Materials, Inc. | Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter |
JPH0426760A (ja) * | 1990-05-21 | 1992-01-29 | Fuji Electric Co Ltd | スパッタリング装置 |
JPH04308090A (ja) * | 1991-04-05 | 1992-10-30 | M B K Maikurotetsuku:Kk | 気相化学反応生成装置のロードロック機構 |
US5154730A (en) * | 1991-05-17 | 1992-10-13 | Materials Research Corporation | Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module |
DE4117969C2 (de) * | 1991-05-31 | 2000-11-09 | Balzers Ag Liechtenstein | Vakuumkammer |
FR2682047B1 (fr) * | 1991-10-07 | 1993-11-12 | Commissariat A Energie Atomique | Reacteur de traitement chimique en phase gazeuse. |
JPH05218176A (ja) * | 1992-02-07 | 1993-08-27 | Tokyo Electron Tohoku Kk | 熱処理方法及び被処理体の移載方法 |
JPH0613361A (ja) * | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
US5460684A (en) * | 1992-12-04 | 1995-10-24 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5800686A (en) * | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
-
1994
- 1994-08-23 US US08/296,043 patent/US5730801A/en not_active Expired - Lifetime
-
1995
- 1995-08-21 DE DE69507757T patent/DE69507757T2/de not_active Expired - Fee Related
- 1995-08-21 EP EP98113358A patent/EP0898299B1/en not_active Expired - Lifetime
- 1995-08-21 EP EP95113112A patent/EP0698915B1/en not_active Expired - Lifetime
- 1995-08-21 DE DE69531365T patent/DE69531365T2/de not_active Expired - Lifetime
- 1995-08-23 JP JP21481495A patent/JP3162607B2/ja not_active Expired - Fee Related
- 1995-08-23 KR KR1019950026769A patent/KR960009004A/ko active IP Right Grant
-
1997
- 1997-09-02 US US08/916,161 patent/US5883017A/en not_active Expired - Lifetime
-
2002
- 2002-08-20 KR KR1020020049156A patent/KR100401796B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
EP0898299B1 (en) | 2003-07-23 |
US5730801A (en) | 1998-03-24 |
DE69507757T2 (de) | 1999-09-23 |
DE69531365T2 (de) | 2004-05-27 |
US5883017A (en) | 1999-03-16 |
DE69531365D1 (de) | 2003-08-28 |
DE69507757D1 (de) | 1999-03-25 |
EP0898299A1 (en) | 1999-02-24 |
EP0698915B1 (en) | 1999-02-10 |
JPH08172037A (ja) | 1996-07-02 |
KR960009004A (ko) | 1996-03-22 |
KR100401796B1 (ko) | 2003-10-17 |
EP0698915A1 (en) | 1996-02-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3162607B2 (ja) | 区画化された基板処理チャンバ | |
KR101354571B1 (ko) | 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템 | |
KR100624273B1 (ko) | 플라즈마 처리 장치 | |
US9355876B2 (en) | Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations | |
KR100563227B1 (ko) | 연속 웨이퍼 핸들링 장치 | |
KR19980080903A (ko) | 유지보수동안 진공을 보존하는 격리밸브를 가진 챔버 | |
US6347919B1 (en) | Wafer processing chamber having separable upper and lower halves | |
WO2018039578A1 (en) | Low pressure lift pin cavity hardware | |
US20210343508A1 (en) | Metal oxide preclean chamber with improved selectivity and flow conductance | |
KR20230017322A (ko) | 고온 및 진공 격리 프로세싱 미니 환경들 | |
US20240332046A1 (en) | Isolated volume seals and method of forming an isolated volume within a processing chamber | |
US20220223367A1 (en) | Reduced substrate process chamber cavity volume | |
US10867829B2 (en) | Ceramic hybrid insulator plate | |
KR20230017305A (ko) | 기판 프로세싱 시스템 | |
JPH07122540A (ja) | エッチング装置 | |
US12043896B2 (en) | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure | |
JP4270413B2 (ja) | プロセス装置 | |
KR100764983B1 (ko) | 반도체 공정 챔버 내의 입자 잔여물을 감소시키는 장치 | |
JP5010620B2 (ja) | プロセス装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20010123 |
|
LAPS | Cancellation because of no payment of annual fees |