JP2007239103A - 処理システムのためのシーリングのデバイスおよび方法 - Google Patents

処理システムのためのシーリングのデバイスおよび方法 Download PDF

Info

Publication number
JP2007239103A
JP2007239103A JP2007058894A JP2007058894A JP2007239103A JP 2007239103 A JP2007239103 A JP 2007239103A JP 2007058894 A JP2007058894 A JP 2007058894A JP 2007058894 A JP2007058894 A JP 2007058894A JP 2007239103 A JP2007239103 A JP 2007239103A
Authority
JP
Japan
Prior art keywords
chamber assembly
processing system
vacuum processing
substrate
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007058894A
Other languages
English (en)
Other versions
JP2007239103A5 (ja
JP5080108B2 (ja
Inventor
Yicheng Li
イチェン・リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007239103A publication Critical patent/JP2007239103A/ja
Publication of JP2007239103A5 publication Critical patent/JP2007239103A5/ja
Application granted granted Critical
Publication of JP5080108B2 publication Critical patent/JP5080108B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 処理システムのためのシーリングのデバイスおよび方法を提供することである。
【解決手段】 処理システムの移送空間から真空分離された処理システムの処理空間内で基板を処理するための方法、コンピュータ読み取り可能なメディアおよびシステムが示される。シーリングデバイスは、処理空間を規定するように構成された第1のチャンバアセンブリと、移送空間を規定するように構成された第2のチャンバアセンブリとの間に配置される。シーリングデバイスが係合するときに、真空アイソレーションは、処理空間と、移送空間との間に提供される。シーリングデバイスは、2つ以上の接触突起を備え、その接触突起は、その間に形成される1つ以上のポケットを有している。シーリングデバイスが、第1のチャンバアセンブリと、第2のチャンバアセンブリとの間に係合するとき、ガスは、1つ以上のポケット内に閉じ込められる。
【選択図】 図1A

Description

本出願は、米国特許出願シリアル番号第11/090255号、クライアント参照番号TTCA−019、米国特許出願公開番号第2004VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、係属中の米国特許出願シリアル番号第11/084176号、米国特許出願公開番号2004VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、係属中の米国特許出願シリアル番号第11/090939号、クライアント参照番号TTCA−027、米国特許出願公開番号2004VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、係属中の米国特許出願シリアル番号第11/281,343号、クライアント参照番号TTCA−054、米国特許出願公開番号2006VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、係属中の米国特許出願シリアル番号第11/281,342号、クライアント参照番号TTCA−055、米国特許出願公開番号2006VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、米国特許出願シリアル番号11/305,036号、クライアント参照番号TTCA−063、米国特許出願公開番号2006VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、米国特許出願シリアル番号第11/281,376号、クライアント参照番号TTCA−056、米国特許出願公開番号2006VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。本出願は、米国特許出願シリアル番号第11/281,372号、クライアント参照番号TTCA−069、米国特許出願公開番号2006VVVVVVVVVVに関するものであり、その全体の内容は、本願明細書に引用したものとする。
本発明は、堆積システムおよびその操作方法に関し、より詳しくは、本発明は、材料堆積および移送のための別個の領域、並びに互いから別個の領域を分離させるためのシーリングデバイスを有する堆積システムに関する。
一般的に、材料プロセスの間、複合材料構造を製造するときに、プラズマは、材料膜の追加および除去を容易にする(facilitate)ようにしばしば使用される。例えば、半導体プロセスにおいて、ドライプラズマエッチングプロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内で材料を除去またはエッチングするために、多くの場合、利用される。別の形態として、例えば、蒸着(vapor deposition)プロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内に材料を堆積させるために利用される。後者において、蒸着プロセスは、化学気相成長(CVD)およびプラズマ増強化学的気相成長(PECVD)を含む。
PECVDにおいて、プラズマは、膜堆積メカニズムを変更するかまたは増強するために利用される。例えば、プラズマ励起は、一般的に、熱励起CVDプロセスによって同様の膜を生成することを必要とするこれらより非常に低い温度で進行する膜形成反応を一般に許容する。加えて、プラズマ励起は、熱CVDにおいてエネルギー的にまたは動力学的に充足されていない膜形成化学反応をアクティブにすることができる。PECVD膜の化学的および物理的な特性は、それにより、プロセスパラメータを調整することによって、相対的に広い範囲にわたって変化されることができる。
近年、原子層堆積(atomic layer deposition:ALD)およびプラズマ増強されたALD(PEALD)は、前工程(FEOL)オペレーションの超極薄ゲート膜形成に対する候補として、同じく後工程(BEOL)オペレーションのメタライゼーションに対する超極薄バリア層およびシード層形成に対する候補として現れた。ALDにおいて、2つまたはより多くのプロセスガス、例えば膜プリカーサおよび還元ガスは、基板が同時に材料膜の単分子層を形成するために加熱されている間に、交互におよびシーケンシャルに導入される。PEALDにおいて、プラズマは、還元プラズマを形成するために、還元ガスの導入の間、形成される。今日まで、ALDおよびPEALDプロセスは、これらのプロセスがそれらCVDおよびPECVDの対照物より遅いにもかかわらず、層が堆積する形態への改良された層厚さの均一性および一様性(conformality)を提供すると証明された。
本発明の1つの目的は、これまでの縮小を続けるライン寸法での半導体プロセスに関し、一様性、密着性、および純度がその結果として半導体デバイスに影響を及ぼすますます重要な問題になっているさまざまな課題を対象にすることに向けられる。
本発明の別の目的は、その後の堆積され、または処理される層のインターフェース間のコンタミネーション問題を減らすことである。
本発明の別の目的は、同じシステム内で、蒸着プロセスおよびサンプル移送に対する互換性がある構成を提供することである。
本発明のこれらおよび/または他の目的のバリエーションは、本発明の特定の実施形態によって提供される。
本発明の1つの実施形態において、基板を処理するための真空処理システムは、第1のシール面(sealing surface)を有する第1のチャンバアセンブリと、第2のシール面を有する第2のチャンバアセンブリと、前記第1のシール面または前記第2のシール面の1つに組み合わせられ、保持され、2つ以上の接触突起(contact ridges)と、その間に配置された1つ以上のポケットとを含むシーリングデバイスとを具備し、前記第1のチャンバアセンブリと、前記第2のチャンバアセンブリとの間のシールは、前記2つ以上の接触突起の間のガスを前記ポケット内に閉じ込める一方、前記第2のシール面を有する前記シーリングデバイスの前記2つ以上の接触突起の接触を容易にするために、前記第2のチャンバアセンブリに前記第1のチャンバアセンブリを組み合わせて形成される。
本発明の別の実施形態において、記載された真空処理システムの第2のチャンバアセンブリで第1のチャンバアセンブリを真空シールするための方法および命令を含むコンピュータ読み取り可能なメディアは、第1のチャンバアセンブリ上の第1のシール面に、2つ以上の接触突起と、その間に配置された1つ以上のポケットとを含むシーリングデバイスを配置することと、2つ以上の接触突起の間に形成された1つ以上のポケット内のガスを閉じ込める一方で、第2のシーリング表面を有するシーリングデバイスの2つ以上の接触突起を接触させることによって、第2のチャンバアセンブリ上の第2のシーリング表面でシーリングデバイスを係合することとを具備する。
本発明の別の実施形態は、基板を処理するための真空処理システムを提供することであり、そのシステムは、第1のシール面を有する第1のチャンバアセンブリと;第2のシール面を有する第2のチャンバアセンブリと;前記第2のチャンバアセンブリが前記第1のアセンブリの方へ移動するときにガスがシールするための手段に閉じ込められ、そして前記シールするための手段に閉じ込められた前記ガスが、前記シールするための手段の外部の圧力より高い圧力であるように、前記第2のチャンバアセンブリに前記第1のチャンバアセンブリを前記シールするための手段とを含む。
以下の説明では、完全な本発明の理解を容易にするために、並びに説明およびそれ以外の目的のために、堆積システムおよび各種コンポーネントの内容の特定の幾何配置のような具体的な詳細は、記載される。しかしながら、本発明がこれらの具体的な詳細から逸脱する他の実施形態において実施されることができると理解されるべきである。
図面を参照すると、参照番号がいくつかの図の全体にわたって同一であるか対応する部品を示すようになされ、図1Aは、例えば化学気相成長(CVD)プロセス、プラズマ増強CVD(PECVD)プロセス、原子層堆積(ALD)プロセスまたはプラズマ増強原子層堆積(PEALD)プロセスを使用して、基板上にバリア膜のような薄膜を堆積させるための堆積システム1を示す。配線工程(BEOL)オペレーションにおける半導体デバイスに対する相互接続(inter−connection)および内部接続(intra―connect)構造のメタライゼーションにおいて、薄い一様な(conformal)バリア層は、層間または同層間誘電体内の金属のマイグレーションを最小にするためにトレンチまたはビアを配線する上に堆積されることができ、薄い一様なシード層は、バルク金属の埋め込みに対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができ、および/または、薄い一様な密着層は、金属シード堆積に対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができる。これらのプロセスに加えて、銅のようなバルク金属は、トレンチまたはビアを配線する内部に堆積されなければならない。
ライン寸法が縮小するとき、PEALDは、このような薄膜の主要な候補として現れた。例えば、薄いバリア層は、自己制限的(self−limiting)ALDプロセス、例えばPEALDを使用して、好ましくは実行される。その理由は、それは、複雑な高いアスペクト比形態に対し良好な一様性を提供するからである。自己制限的蒸着特性を達成するために、PEALDプロセスは、異なるプロセスガス(例えば、膜プリカーサおよび還元ガス)交互にすることを含み、それによって、膜プリカーサは、第1のステップで基板表面に吸着され、そして、それで第2のステップで所望の膜を形成するように還元された。真空チャンバの2つのプロセスガスの入れ替えのために、堆積は、相対的に遅い堆積速度でなされる。
本発明の発明者は、PEALDプロセスが、CVDプロセスと同様に、PEALDプロセスが、基板が処理チャンバとの間で移送される移送空間から実行される処理空間を分離することによって有利となり得ると認識した。処理空間および移送空間の物理的なアイソレーションは、被処理基板のコンタミネーションを減少する。CVDおよびALDプロセスが、他の堆積技術、例えば物理蒸着(PVD)より「汚い(dirtier)」と知られているので、処理空間および移送空間の物理的なアイソレーションは、処理チャンバから、中心の移送システムに組み合わせられる他の処理チャンバまで、コンタミネーションの移送を更に減少することができる。
したがって、被処理基板のコンタミネーションを減少するために処理空間を移送空間から分離することは、関連出願(TTCA−027;米国特許出願番号第11/090939号)(TTCA−056;米国特許出願番号第11/281,376号)および(TTCA−069;米国特許出願番号第11/281,372号)に記載されており、それぞれの、それら全体の内容は、参照によってここに取り入れられる。
処理空間を移送空間から物理的に分離するとき、第1の真空排気システムおよび第2の真空排気システムは、それぞれ、個別に、処理空間および移送空間を排気するために用いる。
更に、CVDおよびALDプロセスのために使用される材料は、ますますより複雑になる。例えば、金属含有膜を堆積させるときに、金属ハロゲン化物の膜プリカーサ、または有機金属の膜プリカーサは利用される。このように、処理チャンバは、堆積システムの壁上に、プリカーサ残渣、若しくは部分的に分解されたプリカーサ残渣、またはその両方によって多くの場合汚染される。
チャンバ表面上の膜プリカーサ残渣を減少する1つの方法は、プリカーサの累積が生じ得ないポイントまで、処理チャンバの表面の温度を増加させることである。しかしながら、本発明の発明者は、このような高温チャンバ(特にエラストマシールが使用されるときに)によって(真空)処理チャンバの外側からの空気および水蒸気、つまりは汚染物質がシールを通って浸透することが起こることがあり得ると認識した。例えば、より低い温度の別のチャンバコンポーネントを有し、昇温された温度に1つのチャンバコンポーネントを維持する間、発明者は、シール部材が従来のシーリングスキームによって使用されるエラストマシールを含むとき、チャンバの外部から処理チャンバコンタミネーションが増加することを観測した。
それ故、本発明の別の態様は、プロセスの間、処理チャンバの移送空間から処理空間を物理的に分離させることであり、このことにより、移送空間領域内のコンタミネーションを減少するように、より低い温度で移送空間表面を維持する一方、膜プリカーサ累積を減少するために相対的に高い温度で処理空間表面を維持することである。
図1Aに示すように、本発明の1つの実施形態で、堆積システム101は、薄膜のような材料堆積物が形成される基板125を支持するように構成された基板ステージ120を有する処理チャンバ110を含む。処理チャンバ110は、アセンブリ130が基板ステージ120に組み合わせられるときに、処理空間180を規定するように構成された上部チャンバと、基板125が通って基板ステージ120に配置されることができる移送ポート184を有する移送空間182を規定するように構成された下部チャンバアセンブリ132とを更に含む。オプションとして、図1Bに示すように、中間のセクション131(すなわち中間チャンバ(mid―chamber)アセンブリ)は、上部チャンバアセンブリ130を下部チャンバアセンブリ132に接続するために、堆積システム101’で使われることができる。加えて、堆積システム101は、第1のプロセス材料、第2のプロセス材料、またはパージガスを処理チャンバ110に導入するように構成されたプロセス材料供給システム140を含む。加えて、堆積システム101は、処理チャンバ110に組み合わせられ、処理チャンバ110のプラズマを生成するように構成された第1の電源150と、基板ステージ120に組み合わせられ、基板125の温度を上昇し、制御するように構成された基板温度コントロールシステム160とを含む。加えて、堆積システム101は、処理チャンバ110および基板ホルダ120に組み合わせられ、基板125に隣接する処理空間180のボリュームを調整するように構成されたプロセスボリューム調整システム122を含む。例えば、プロセスボリューム調整システム180は、基板125を処理するための第1の位置(図1Aおよび図1Bを参照)と、処理チャンバ110との間で基板125を移送するための第2の位置(図2Aおよび図2Bを参照)との間で基板ホルダ120を垂直に移動するように構成されることができる。
さらにまた、堆積システム101は、処理空間180に組み合わせられる第1の真空ポンプ190を含み、そこにおいて、第1の真空バルブ194は、処理空間180に供給される排気速度を制御するのに利用される。堆積システム101は、移送空間182に組み合わせられた第2の真空ポンプ192を含み、そこにおいて、第2の真空バルブ196は、必要に応じて、移送空間182から第2の真空ポンプ192をアイソレートするために利用される。
更にその上、堆積システム101は、処理チャンバ110、基板ホルダ120、上部アセンブリ130、下部アセンブリ132、プロセス材料供給システム140、第1の電源150、基板温度コントロールシステム160、プロセスボリューム調整システム122、第1の真空ポンプ190、第1の真空バルブ194、第2の真空ポンプ192、および第2の真空バルブ196に組み合わせられることができるコントローラ170を含む。
堆積システム101は、200mm基板、300mmの基板、またはより大きいサイズの基板を処理するように構成されることができる。事実、当業者によって理解されるように、堆積システムがそれらのサイズを問わず基板、ウェハ、またはLCDを処理するように構成されることができることは、意図される。基板は、処理チャンバ110に導入されることができ、基板リフトシステム(図示せず)を介して基板ホルダ120の上面に、および上面からリフトされることができる。
プロセス材料供給システム140は、処理チャンバ110に第1のプロセス材料を、および処理チャンバ110に第2のプロセス材料を交互に導入するように構成された第1のプロセス材料供給システム、および第2のプロセス材料供給システムを含むことができる。第1のプロセス材料の導入と、第2のプロセス材料の導入との交替は、周期的であり得て、または、それは、第1および第2のプロセス材料の導入の間の可変的な時間によって周期的であり得る。第1のプロセス材料は、例えば、膜プリカーサ、例えば基板125の上に形成される膜内に見つかる主要な原子、または分子種を有する組成を含むことができる。例えば、膜プリカーサは、固相、液相、または気相として始まることができ、そして、気相で処理チャンバ110に供給されることができる。第2のプロセス材料は、例えば、還元剤を含むことができる。例えば、還元剤は、固相、液相、または気相として始まることができ、そして、それは、気相で処理チャンバ110に供給されることができる。ガス状の膜プリカーサおよび還元ガスの実施例は、下で挙げられる。
加えて、プロセス材料供給システム140は、処理チャンバ110に、第1のプロセス材料および第2のプロセス材料の、それぞれの導入の間、処理チャンバ110にパージガスを導入するように構成されることができるパージガス供給システムを更に含むことができる。パージガスは、不活性ガス、例えば希ガス(すなわちヘリウム、ネオン、アルゴン、キセノン、クリプトン)または窒素(および、窒素含有ガス)または水素(および水素含有ガス)を含むことができる。
プロセスガス供給システム140は、1つ以上の材料ソース、1つ以上の圧力制御装置、1つ以上の流量制御装置、1つ以上のフィルタ、1つ以上のバルブ、または1つ以上のフローセンサを含むことができる。プロセスガス供給システム140は、プレナム142に1つ以上のプロセスガスを供給することができ、そして、それを介して、ガスは、注入プレート144の複数のオリフィス146に分散される。注入プレート144の複数のオリフィス146は、処理空間180の中でプロセスガスの分布を容易にする。シャワーヘッドデザインは、周知のように、処理空間180に均等に第1および第2のプロセスガス材料を分配するために用いられることができる。典型的なシャワーヘッドは、米国シリアル番号第11/090255号において、および係属中の米国特許出願公開番号20040123803号、シリアル番号第10/469592号において更に詳細に記載されている。そして、それぞれの全体の内容は、それら全体の参照によって本願明細書に取り入れられたものとする。
図1Aに戻って参照して、堆積システム101は、熱堆積プロセス(すなわちプラズマを利用していない堆積プロセス)、例えば熱原子層堆積(ALD)プロセス、または熱化学気相成長(CVD)プロセスを実行するように構成されることができる。別の形態として、堆積システム101は、第1のプロセス材料または第2のプロセス材料のどちらかでプラズマがアクティブにされ得るプラズマ増強堆積プロセスに対して構成されることができる。プラズマ増強堆積プロセスは、プラズマ増強ALD(PEALD)プロセス、または、それは、プラズマ増強CVD(PECVD)プロセスを含むことができる。
PEALDプロセスで、第1のプロセス材料、例えば膜プリカーサと、第2のプロセス材料、例えば還元ガスとは、シーケンシャルに、および、交互に、基板上に薄膜を形成するように導入される。例えば、PEALDプロセスを使用してタンタル含有膜を作成するときに、膜プリカーサは、金属ハロゲン化物(例えば五塩化タンタル)、または有機金属(例えば、Ta(NC(CH)(N(CH;以下ではTAIMATA(登録商標)と称する;さらに詳細は、米国特許番号6,593,484号に示す)を含むことができる。この例では、還元ガスは、水素、アンモニア(NH)、NおよびH、N、NH(CH、若しくはNCH、またはそれらのいずれかの組合せを含むことができる。
膜プリカーサは、基板125の露出表面上に膜プリカーサの吸着が生じるために、第1の期間の間、処理チャンバ110に導入される。望ましくは、材料の単分子層吸着は、起こる。その後、処理チャンバ110は、第2の時間の間、パージガスによってパージされる。基板125上に膜プリカーサを吸着した後に、還元ガスは、第3の時間の間、処理チャンバ110に導入され、一方で、例えば、パワーが第1の電源150から還元ガスまで上部アセンブリ130を介して結合される。例えば、所望のTa含有膜を形成するために吸着されたTa膜プリカーサを還元するように吸着されたTa膜プリカーサと反応することができる原子状水素のような解離された種を形成するために、還元ガスへのパワーの結合が還元ガスを加熱し、したがって、還元ガスのイオン化および解離が生じる。十分な厚さの層を含むTaが発生されるまで、このサイクルは繰り返されることができる。
図1Aに示すように、処理空間180は、基板ステージ120、基板ステージ120上のフランジ302、および上部チャンバアセンブリ130からの延長304によって移送空間182から分離される。このように、処理空間と、移送空間と(後で詳しく議論される)の間のガス流れを密封するかまたは少なくとも妨げるために、延長304のベースにシール機構があることができる。したがって、移送空間の表面が下部アセンブリ132(側壁を含む)および中間のセクション131、並びに上部アセンブリ132のコンタミネーションを減少するために低下された温度で維持されることができる一方、処理空間180の表面は、その空間を囲んでいる表面上のプロセス残渣の累積を予防するために昇温状態で維持されることができる。
移送空間から処理空間の分離に関しては、本発明の1つの実施形態において、低下された温度の下部チャンバアセンブリ132から、上昇された上部チャンバアセンブリ130の熱分離を含む。熱分離のために、延長304は、放射シールドとして機能することができる。さらに、内側のチャネル312を含む延長304は、延長304を囲む移送空間182に延長部材を横切る熱流を制限している熱インピーダンスとして機能することができる。
熱分離の別の実施例において、冷却チャンネルは、図1Aに示すように、下部チャンバアセンブリ132の近くの、若しくは図1Bで示すように中間のセクション131の近くの上部チャンバアセンブリ130に提供されることができ、または中間のセクション131に提供されることができる。更に、上部チャンバアセンブリ130および中間のセクション131に対する材料の熱伝導率は、異なることがあり得る。例えば、上部チャンバアセンブリ130は、アルミニウムまたはアルミニウム合金でできていることがあり得て、中間のセクション131は、ステンレス鋼でできていることがあり得る。下部チャンバアセンブリ132は、、アルミニウムまたはアルミニウム合金でできていることがあり得る。
一つの実施例において、蒸着プロセスは、Ta膜プリカーサ、例えばTaF、TaCl、TaBr、Tal、Ta(CO)、Ta[N(CCH)](PEMAT)、Ta[N(CH(PDMAT)、Ta[N(C(PDEAT)、Ta(NC(CH)(N(C(TBTDET)、Ta(NC)(N(C、Ta(NC(CH)(N(CH、若しくはTa(NC(CH)(N(CHを基板表面に吸着し、次にH、NH、NおよびH、N、NH(CH、またはNCHのような還元ガス若しくはプラズマにさらすことによって、タンタル(Ta),タンタル炭化物、タンタル窒化物、またはタンタル炭窒化物を堆積させるのに用いられることができる。
別の実施例において、チタン(Ti)、窒化チタン、またはチタン炭窒化物は、Tiプリカーサ、例えばTiF、TiCl、TiBr、Til、Ti[N(CCH)](TEMAT)、Ti[N(CH(TDMAT)、またはTi[N(C(TDEAT)、並びに、H、NH、NおよびH、N、NH(CHまたはNCHを含む還元ガスまたはプラズマを使用して堆積されることができる。
別の例として、タングステン(W)、タングステン窒化物、またはタングステン炭窒化物は、Wプリカーサ、例えばWF、またはW(CO)、並びに、H、NH、NおよびH、N、NH(CHまたはNCHを含んでいる還元ガスおよびプラズマを使用して堆積させることができる。
他の例では、モリブデン(Mo)は、Moプリカーサ、例えばモリブデン六フッ化物(MoF)、および、Hを含む還元ガスまたはプラズマを使用して堆積されることができる。
他の例では、Cuは、Cuを含有する有機金属化合物を有するCuプリカーサ、例えば商品名CupraSelect(登録商標)によって知られたシューマッハー、エアプロダクツアンドケミカルズのユニット会社(1969 パロマーオークウェイ、カールズバッド、カリフォルニア 92009)から入手可能なCu(TMV)(hfac)、または無機化合物、例えばCuClを使用して堆積されることができる。還元ガスまたはプラズマは、H,O、N、NHまたはHOのうちの少なくとも1つを含むことができる。ここで使用しているように、用語「A,B、C、…またはXのうちの少なくとも1つ」は、記載された素子または記載された素子の1つより多くのもののいずれかの組合せを称する。
蒸着プロセスの別の実施例において、酸化ジルコニウムを堆積させるときに、Zrプリカーサは、Zr(NOまたはZrC1を含むことができ、還元ガスは、HOを含むことができる。
酸化ハフニウムを堆積させるときに、Hfプリカーサは、Hf(OBu、Hf(NO、またはHfC1を含むことができ、還元ガスは、HOを含むことができる。他の例では、ハフニウム(Hf)を堆積させるときに、Hfプリカーサは、HfC1を含むことができ、第2のプロセス材料は、Hを含むことができる。
ニオブ(Nb)を堆積させるときに、Nbプリカーサは、五塩化ニオブ(NbC1)を含むことができ、還元ガスは、Hを含むことができる。
亜鉛(Zn)を堆積させるときに、Znプリカーサは、二塩化亜鉛(ZnC1)を含むことができ、還元ガスは、Hを含むことができる。
酸化シリコンを堆積させるときに、Siプリカーサは、Si(OC、SiHCl、SiC1、またはSi(NOを含むことができ、還元ガスは、HOまたはO含むことができる。他の例では、窒化シリコンを堆積させるときに、Siプリカーサは、SiC1またはSiHClを含むことができ、還元ガスは、NH、またはNおよびHを含むことができる。他の例では、TiNを堆積させるときに、Tiプリカーサは、硝酸チタン(Ti(NO))を含むことができ、還元ガスは、NHを含むことができる。
蒸着プロセスの別の実施例において、アルミニウムを堆積させるときに、Alプリカーサは、塩化アルミニウム(A1C1)またはトリメチルアルミニウム(Al(CH)を含むことができ、還元ガスは、Hを含むことができる。窒化アルミニウムを堆積させるときに、Alプリカーサは、アルミニウム三塩化物またはトリメチルアルミニウムを含むことができ、還元ガスは、NH、またはNおよびHを含むことができる。他の例では、酸化アルミニウムを堆積させるときに、Alプリカーサは、塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、還元ガスは、HO、またはOおよびHを含むことができる。
蒸着プロセスの別の実施例において、GaNを堆積させるときに、Gaプリカーサは、硝酸ガリウム(Ga(NO)またはトリメチルガリウム(Ga(CH)を含むことができ、還元ガスは、NHを含むことができる。
さまざまな材料層を形成するための上記実施例において、堆積されるプロセス材料は、金属膜、金属窒化膜、金属炭窒化物膜、金属酸化膜、または金属ケイ酸塩膜のうちの少なくとも1つを含むことができる。例えば、堆積されるプロセス材料は、タンタル膜、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つを含むことができる。別の形態として、例えば、堆積されるプロセス材料は、例えば、1つの金属ラインを別の金属ラインに接続するためのまたは金属ラインを半導体デバイスのソース/ドレイン接点に接続するためのビアを金属被覆するために堆積するAl膜、またはCu膜を含むことができる。AlまたはCu膜は、上記の通りにAlおよびCuのためのプリカーサを使用してプラズマプロセスの有無にかかわらず形成されることができる。別の形態として、例えば、堆積されるプロセス材料は、半導体デバイスの金属ラインまたはゲート構造に対する例えば上記のような絶縁被膜を形成するために、堆積させるジルコニウム酸化膜、ハフニウム酸化膜、ハフニウムケイ酸塩膜、シリコン酸化膜、窒化シリコン膜、チタン窒化膜、および/またはGaN膜を含むことができる。
更に、シランおよびジシランは、シリコンベースまたはシリコン含有膜の堆積のためのシリコンプリカーサとして使われることがあり得る。Germaneは、ゲルマニウムベースまたはガルマニウム含有膜の堆積のためのゲルマニウムプリカーサとして使用されることがあり得る。このように、堆積されるプロセス材料は、半導体デバイスの導電性ゲート構造を形成するために、例えば堆積される金属シリサイド膜、および/またはゲルマニウム含有膜を含むことができる。
なお図1Aを参照して、堆積システム101は、処理チャンバ110に第1のプロセス材料および第2のプロセス材料の導入を交替している少なくとも一部の間、プラズマを生成するように構成されたプラズマ発生システムを含むことができる。プラズマ発生システムは、処理チャンバ110に組み合わせられ、処理チャンバ110の第1のプロセス材料、若しくは第2のプロセス材料、または両方に対してパワーを結合させるよう構成されている第1の電源150を含むことができる。第1の電源150は、ラジオ周波数(RF)発生器およびインピーダンス整合ネットワーク(図示せず)を含むことができ、および、RFパワーが処理チャンバ110のプラズマに結合される電極(図示せず)を更に含むことができる。電極は、基板ステージ120内に形成されることができ、または上部アセンブリ130に形成されることができ、および基板ステージ120に向かい合わせるように構成されることができる。基板ステージ120は、直流電圧、または、RF発振器(図示せず)からインピーダンス整合ネットワーク(図示せず)を通して基板ステージ120にRFパワーの伝達を介するRF電圧によって、電気的にバイアスをかけられることができる。
インピーダンスマッチングネットワークは、電極およびプラズマを含む処理チャンバの入力インピーダンスにマッチングネットワークの出力インピーダンスを適合させることによってRF発振器からプラズマまでのRFパワーの移送を最適化するように構成されることができる。例えば、インピーダンスマッチングネットワークは、反射されたパワーを減少することによってプラズマ処理チャンバ110のプラズマへのRFパワーの移送を改良するのに役立つ。マッチングネットワークトポロジ(例えばL−タイプ、π−タイプ、T―タイプなど)および自動制御法は、当業者にとって周知である。RFパワーに対する典型的な周波数は、約0.1MHzから約100MHzまでの範囲である。別の形態として、RF周波数は、例えば、ほぼ400kHzからほぼ60MHzまでの範囲とすることができる。例えば更なる実施例として、RF周波数は、ほぼ13.56または27.12MHzであり得る。
なお、図1Aを参照し、堆積システム101は、基板ステージ120に組み合わせられ、基板125の温度を上昇し、制御させるように構成された基板温度コントロールシステム160を含む。基板温度コントロールシステム160は、温度コントロール素子、例えば基板ステージ120から熱を受け、熱交換器システム(図示せず)へ熱を移送し、加熱するときには、熱交換器システムから熱を移送する再循環クーラントフローを含む冷却システムを含む。加えて、温度コントロール素子は、加熱/冷却素子、例えば抵抗加熱部材を含むことができ、または、熱電式ヒータ/冷却器は、基板ホルダ120内に、同じく処理チャンバ110のチャンバ壁、および堆積システム101内の他のいかなるコンポーネントにも含まれることができる。
基板125と、基板ステージ120との間の熱移送を改良するために、基板ステージ120は、基板ステージ120の上面に基板125を固定するために、機械的なクランピングシステムまたは電気的なクランピングシステム、例えば静電クランピングシステムを含むことができる。さらにまた、基板ホルダ120は、基板125と、基板ステージ120との間のガスギャップ熱伝導を改良するために基板125の裏面にガスを導入するように構成された基板裏面ガス給送システムを更に含むことができる。このようなシステムは、基板の温度コントロールが上昇したか低下された温度で必要とされるときに、利用されることができる。例えば、基板裏面ガスシステムは、2−ゾーンガス分配システムを含むことができ、そこにおいて、ヘリウムガスギャップ圧力は、基板125のセンターおよびエッジの間で独立して変化されることがあり得る。
さらにまた、処理チャンバ110は、第1の真空ポンプ190と、第2の真空ポンプ192とに更に組み合わせられる。第1の真空ポンプ190は、ターボ分子ポンプを含むことができ、第2の真空ポンプ192は、クライオポンプ(cryogenic pump)を含むことができる。
第1の真空ポンプ190は、1秒あたり約5000リットル(および、より高い)までの排気速度が可能なターボ分子真空ポンプ(TMP)を含むことができ、バルブ194は、チャンバ圧力をスロットル制御するためのゲートバルブを含むことができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置において、1秒あたり1000〜3000リットルのTMPは、通常、使用される。さらに、チャンバ圧力をモニタリングするためのデバイス(図示せず)は、処理チャンバ110に組み合わせられることができる。圧力を測定するデバイスは、例えば、MKS Instruments社(アンドーバー、MA)から市販されているタイプ628B Baratron絶対キャパシタンスマノメータであり得る。
図1A、図1B、図2Aおよび図2Bに示すように、第1の真空ポンプ190は、基板125の平面より上に位置づけられるように、処理空間180に組み合わせられることができる。しかしながら、第1の真空ポンプ190は、例えば、粒子汚染を減少するために、基板125の平面の下の位置から処理空間180を排気するように、処理空間180にアクセスするように構成されることができる。第1の真空ポンプ190に対する他の配置が考慮されることに留意すべきである。処理空間180からの排気の位置と、第1の真空ポンプ190に対する注入口との間に組み合わせられる流体は、最大限の流れのコンダクタンスのために設計されることができる。代わりとして、処理空間180からの排気位置と、第1の真空ポンプ190に対する注入口との間の流体は、実質的に一定の断面積に対して設計されることができる。
1つの実施形態において、第1の真空ポンプ190は、上部チャンバアセンブリ130より上に位置づけられ、それの上面に組み合わせられる(図1Aを参照)。第1の真空ポンプ190の注入口191は、少なくとも1つの環状のボリューム、例えば排気チャネル312に組み合わせられ、それは、1つ以上の開口305に延長304を介して組み合わせられ、基板125の平面の下の位置で処理空間180にアクセスする。1つ以上の開口305は、1つ以上のスロット、1つ以上のオリフィス、またはそれらのいずれかの組合せを含むことができる。
別の実施形態において、第1の真空ポンプ190は、上部チャンバアセンブリ130より上に位置づけられ、それの上面に組み合わせられる(図1Aを参照)。
第1の真空ポンプ190の注入口191は、第2の環状のボリュームに順番に組み合わせられる第1の環状のボリュームに組み合わせられ、それによって第1の環状のボリュームおよび第2の環状のボリュームは、1つ以上の排気ポートを介して組み合わせられる。第2の環状のボリュームは、排気チャネル312に組み合わせられることができ、それは、1つ以上の開口305に延長304を介して組み合わせられ、基板125の平面の下の位置で処理空間180にアクセスする。例えば、1つ以上の排気ポートは、第1の環状のボリュームと、第2の環状のボリュームとの間の直径方向に互いに(すなわち、180度別々の)向かい合わせている2つのスルーホールを含むことができる。しかしながら、排気ポートの数は、より多くまたは少なくでき、それらの位置は変化することができる。加えて、例えば、1つ以上の開口305は、直径方向に互いに(すなわち、180度別々の)向かい合わせている2つのスロットを含むことができる。さらにまた、各々のスロットは、方位方向(azimuthal direction)でほぼ120度延びることができる。しかしながら、開口305の数は、より多くまたは少なくでき、それらの位置およびサイズは、変化することができる。
上記の如く、処理空間180と、移送空間182との間の真空アイソレーションを提供すること、またはプロセス中に、処理空間180と、移送空間182との間のガスの流れを少なくとも妨げることを可能とすることは、望ましい。堆積システム101がプロセスをする構成にあるときに、図3は、詳細エリア200(図1Aおよび1Bに示される)を記載し、基板ステージ120と、上部アセンブリ130との間にシーリングデバイスを提供することによって、処理空間180と、移送空間182との間の真空アイソレーションを提供する1つの方法を示す。このように、システムは、処理空間と、移送空間との間のガスの流れを妨げるシール部材を含む。実際、1つの実施形態において、シール部材のシールは、処理空間の真空環境を移送空間の真空環境から分離する。処理空間を移送空間から真空分離することによって、シールは、処理空間と、移送空間との間のリークを、10−3Torr−l/s未満、および、好ましくは10−4Torr未満−l/sに減少することが可能である。
図3は、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304との間のシールを生成するためのシール構成を示す概略図である。図3に示すように、シール306は、基板ステージ120のフランジ302の溝308に位置づけされる。シール306の詳細は、後述する。図3にて図示したように、シール306は、延長304の下部プレート310(すなわちシールプレート)に接触させる。排気チャネル312は、プロセス領域180からポンプ190までのガスを排気するために、延長304に提供される。図3に示される構成は、十分なシールを提供する;しかしながら、本発明の発明者は、基板処理後のシールを解放する間に、シール306は、固着する(stick)傾向があると認識した。反復使用、すなわちプロセス中のシールの係合、および基板移送中のシールの開放の後、このシールの時々または持続的な「固着(sticking)」は、シールにダメージを引き起こし得て、このことにより処理空間と、移送空間との間の劣った真空アイソレーションおよび増加したコンタミネーションに至ることとなり得る。その上、基板ステージ120と、上部チャンバアセンブリ130との間の温度の違いは、シール306の終焉を悪化させ得る。
ここで本発明の一実施形態に係る図4を参照すると、第1のチャンバアセンブリ上の第1のシール面と、第2のチャンバアセンブリ上の第2のシール面との間のシールを生成するためのシール構成が、さらにプロセス後のシールの解放を改良することが、記載されている。たとえば、第1のチャンバアセンブリ上の第1のシール面は、上部チャンバアセンブリ130からの延長304上の第1のシール面303aを含むことができる。第2のチャンバアセンブリ上の第2のシール面は、基板ステージ120のフランジ302上の第2のシール面303bを含むことができる。デュアル接触シール320は、2つの接触突起322と、その間に配置された谷(trough)またはポケット324とを具備することを示している。デュアル接触シール320は、第2のシール面303bに組み合わせられ、シール320の両側の、フランジ302の第2のシール面303bに形成された閉じ込めリップ326によってフランジ302に保持される。デュアル接触シール320を、第1のシール面303aよりむしろ第2のシール面303bに配置する1つの利点は、第2のシール面がアクセスするのにより容易であり得るということであり、従って、もしチャンバの他の部品に位置づけられるより、第2の面に位置づけられるシールは、より容易に交換されることができる。加えて、もし基板ステージ120が処理チャンバ110からはずされるならば、デュアル接触シール320は、調べられることができ、および/または処理チャンバの外側のエリアにて便利に交換されることができる。しかしながら、デュアル接触シール320(または後述する三接触シール330のような、記載される他のシーリングデバイス)が、第2のシール面303b上の代わりに第1のシール面303a上に配置されることができる点に留意される。第1のシール面303a上にシールを位置決めすることの有益な効果は、第1のシール面303aが、通常、処理チャンバ110の上部に配置されているということに起因する。従って、デュアル接触シール320(または他のこのようなシール)を第1のシール面に配置することは、ポケット324が下方へ開口されるように向きを定められるので、デュアル接触シール320によって形成された何らかのポケットまたは谷エリア324内のパーティクルの蓄積を減少させる。更に、第1のシール面は、一般的に、第2のシール面より動かない。したがって、シールデバイスに堆積する何らかの粒子状物質は、撹拌されそうにない。たとえば、基板ステージ120が、ある位置から他に移送するときに、第1のシール面303a上のシールは、動く必要はなく、従って、処理チャンバ110のより少ないコンタミネーションを生成するはずである。用語「第1の」および「第2の」は、単に記載される具体的な実施例の名称だけであって、請求項において使用される用語の定義であることを目的としない点に更に留意される。たとえば、用語「第1の」シール面は、図に示すようにコンポーネント303aまたは303bのどちらでも指すことができる。同様に「第2の」シール面は、また、いずれのコンポーネントも指すことができる。
基板移送の間、または基板処理の前に、処理空間180は、移送空間182に対し開放される。処理空間180若しくは移送空間182の一方、または両方が、排気され、ガスで、例えば不活性ガスでパージされ、または両方される。たとえば、処理空間180および移送空間182内の圧力は、真空圧力であることができる;しかしながら、それは、基板処理の間、処理空間180の圧力より高い値まで上昇されることができる。一旦、基板ステージ120が、シール320を延長304に係合するために垂直に移動すると、高圧ガス、例えば不活性ガスは、ポケット324内に閉じ込められる。本実施形態において、一旦シールが係合するならば、ポケット324内に閉じ込められたガスは、処理空間180と、移送空間182とのそれぞれの圧力より高い圧力である。たとえば、閉じ込められたガスの圧力は、いくつかの理由を挙げると、真空シールの形成によるシール320の部分的な圧縮のために、または真空シールの形成後の移送空間182および処理空間180内のそれぞれの圧力の低下のために、または閉じ込められたガスの加熱のために、またはそれらのいずれかの組合せのために、より高くなることができる。ポケット内のこの高圧は、移送空間から処理空間までのガス流れを妨げることができる。たとえば、何倍かで、ポケット内のガスは、移送空間のガスとは異なることができる。したがって、ポケット内のガスは、移送空間からのガスが、処理空間を汚染することを防ぐのを助ける。更に、基板ステージ120の降下の間、ポケット324内に閉じ込められた高圧ガスは、シールを損なわずに、シール320を解放することをアシストすることができる。たとえば、一旦、圧縮によるシール320上の力が減少するならば、ポケット324内部の加圧されたガスは、外へ押す。したがって、ある横方向の力は、第2のシール面303bとの接触を断つように、それを生じているシール320にかけられる。さらにまた、ポケット324から漏れているガスは、2つの接触突起322と、第2のシール面303bとの間の密着している位置を突っ切る(shear through)傾向があることができる。
ここで、図5を参照すること、本発明の他の実施形態に係る、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304との間のシールを生成するためのシール構成が、さらにプロセス後のシール解放を改良することが、示される。三接触シール330は、3つの接触突起332と、その間に配置されたデュアルポケット334とを含んで示される。閉じ込めリップ336は、フランジ302上のシール330を保持するために、シール306の両側で、フランジ302に形成されることができる。デュアルポケット334を有する1つの可能な利点は、3つの接触突起332が、処理空間180と、移送空間182との間の段階的な圧力差(graduated pressure differential)を提供することができるということである。たとえば、移送空間182に隣接したポケット内部の圧力は、処理空間180の隣のポケットより高い圧力を有することができる。これは、相対的に高い圧力の移送空間からの不可避のリークのため、またはシール設計、例えば別のポケットに対して一方のポケット内のガスのより高い圧縮を生じる、より高い接触突起のために依ることができる。リークが、与えられたシールを横切る圧力差に比例するとき、処理空間180内で、処理空間に隣接するポケットからのリークは、もしこのポケットが相対的により高い圧力の移送空間に隣接するそれ自身である場合にくらべ、より少なくなる。圧力が各々のポケット内で多くの場合異なっているように、シールと、第2のシール面303bとの間の接触をガスが「突っ切る(shears)」ことによる機構が、デュアル接触シール320でされるように、三接触シール330で起こる。したがって、3つの接触突起332の各々は、第2のシール面303bとの接触を断つのは、デュアル接触シール320の2つの接触突起332が接触を断つ方法に似ている。
基板移送の間、または基板処理の前に、処理空間180は、移送空間182に対し開放される。処理空間180若しくは移送空間182のいずれか一方、または両方は、ガス、例えば不活性ガスによってパージされることができ、処理空間180および移送空間182内の圧力は、基板処理の間、処理空間180内の圧力より高い値まで上昇されることができる。一旦、基板ステージ120が、延長304で、シール330に係合するために垂直に移動すると、高圧の不活性ガスは、デュアルポケット334内に閉じ込められる。基板ステージ120を降下する間、デュアルポケット334内に閉じ込められた高圧不活性ガスは、シールを損なわずにシール330の解放をアシストすることができる。
シール320および330は、エラストマー材、例えばヴァイトン(Viton)またはカルレッツ(Kalrez)から製造されることができる。シールに対するディメンションに関して、高さは、たとえば、ほぼ1mmからほぼ10mmまでの範囲とすることができ、望ましくは、高さは、たとえば、ほぼ2mmからほぼ5mmまでの範囲とすることができる。横方向の寸法に関して、幅は、たとえば、ほぼ1mmからほぼ20mmまでの範囲とすることができ、望ましくは、幅は、ほぼ2mmからほぼ10mmまでの範囲とすることができる。
図6は、本発明の1つの実施形態に係るプロセスのプロセスフロー図を示す。図6のプロセスは、図1〜図2の処理システムまたは他のいかなる適切な処理システムによっても実行されることができる。図6に示すように、ステップ710で、プロセスは、基板を、処理システムの移送空間から真空分離された処理システムの処理空間に配置されることを含む。ステップ720において、移送空間からの真空アイソレーションを維持する一方、基板は、処理空間内の第1の位置または第2の位置のいずれか一方で処理される。ステップ730において、材料は、第1の位置または第2の位置のいずれか一方で基板に堆積される。
ステップ710〜730において、第1のアセンブリは、100℃以上で維持されることができ、一方、第2のアセンブリは、100℃以下で維持されることができる。ステップ710〜730において、第1のアセンブリは、50℃以上で維持されることができ、一方、第2のアセンブリは、50℃以下で維持されることができる。ステップ710〜730において、処理空間から移送空間までのガスコンダクタンスは、10−3Torr−l/s未満であり、好ましくは、10−4Torr−l/s未満である。
ステップ730において、材料を堆積させるために、プロセスガス組成は、材料の蒸着のためのプロセスに導入されることができる。更に、プラズマは、気相堆積速度を増強するために、プロセスガス組成から形成されることができる。
ステップ730において、堆積する材料は、金属、金属酸化物、金属窒化物、金属炭窒化物または金属シリサイドのうちの少なくとも1つであることができる。たとえば、堆積する材料は、タンタル膜、タンタル窒化膜またはタンタル炭窒化物膜のうちの少なくとも1つであることができる。
処理システムは、原子層堆積(ALD)プロセス、プラズマ増強ALD(PEALD)プロセス、化学気相成長(CVD)プロセスまたはプラズマ増強CVD(PECVD)プロセスのうちの少なくとも1つのために構成されることができる。
ステップ730において、プラズマは、0.1から100MHzまでの周波数のラジオ数(RF)のエネルギを、処理空間内のプロセスガスに印加することによって形成されることができる。ステップ730の間、電極は、RF電源に接続されることができ、処理空間内にRFエネルギを結合させるように構成されることができる。
さらにまた、パージガスは、材料を堆積させた後に導入されることができる。さらに、パージガスの有無にかかわらず、電磁気のパワーは、前記蒸着システムまたは基板のうちの少なくとも1つからの汚染物質を解放するために、蒸着システムに組み合わせられることができる。電磁気のパワーは、プラズマ、紫外光、またはレーザーの形で蒸着システムに組み合わせられることができる。
さらに図1Aを参照して、コントローラ170は、マイクロプロセッサ、メモリ、および、堆積システム101と通信し、堆積システム101への入力をアクティブにするのに、および同じく堆積システム101から出力をモニタするのに十分な制御電圧を生成することが可能なデジタルI/Oポートを含むことができる。さらに、コントローラ170は、処理チャンバ110、基板ステージ120、上部アセンブリ130、下部チャンバアセンブリ132、プロセス材料供給システム140、第1の電源150、基板温度コントロールシステム160、第1の真空ポンプ190、第1の真空バルブ194、第2の真空ポンプ192、第2の真空バルブ196、およびプロセスボリューム調整システム122と情報を交換することができる。例えば、メモリに格納されたプログラムは、エッチングプロセスまたは堆積プロセスを実行するためにプロセスレシピに係る堆積システム101の上述したコンポーネントへの入力をアクティブにするために利用されることができる。
コントローラ170は、上記で議論された材料堆積のプロセスを制御しモニタするために、マイクロプロセッサ、メモリ、および、堆積システム101(101’)と通信して、堆積システム101(101’)への入力をアクティブにするのに、同じく堆積システム101(101’)からの出力をモニタするに十分な制御電圧を生成することが可能なデジタルI/Oポートとを含むことができる。例えば、コントローラ170は、図6に関して上で記載されているステップを達成するように実行のためのプログラム命令を含むコンピュータ読み取り可能なメディアを含んでいることができる。さらに、コントローラ170は、処理チャンバ110、基板ステージ120、上部アセンブリ130、プロセス材料ガス供給システム140、電源150、基板温度コントローラ160、第1の真空排気システム190、および/または第2の真空排気システム192と組み合わせられることができ、および、情報を交換することができる。例えば、メモリに格納されたプログラムは、上記のプラズマ無し、またはプラズマ増強堆積プロセスのうちの少なくとも1つを実行するために、プロセスレシピに係る堆積システム101(101’)の上述したコンポーネントへの入力をアクティブにするために利用されることができる。
コントローラ170の1つの実施例は、オースティン、テキサスのデル社から入手可能な、610(登録商標)、デルプレシジョンワークステーションである。しかしながら、コントローラ170は、メモリに含まれる1つ以上の命令の1つ以上のシーケンスを実行しているプロセッサに応答して本発明の処理ステップに基づいてマイクロプロセッサの一部または全てを実行する汎用コンピューターシステムとして実行されることができる。このような命令は、別のコンピュータ読み取り可能なメディア(例えばハードディスクまたはリムーバブルメディアドライブ)から、コントローラメモリに読み込まれることができる。マルチプロセッシング装置の1つ以上のプロセッサは、また、主メモリに含まれる命令のシーケンスを実行するために、コントローラマイクロプロセッサとして使用されることができる。代わりの実施例では、配線による回路が、ソフトウェア命令の代わりにまたはそれと結合して用いられることができる。したがって、実施形態は、ハードウェア回路、および、ソフトウェアのいずれの特定の組合せにも限定されない。
コントローラ170は、本発明の教示に係りプログラムされた命令を保持するために、およびデータ構造、表、レコード、若しくは本発明を実施するのに必要であり得る他のデータを包含するために、少なくとも1つのコンピュータ読み取り可能なメディア、またはメモリ、例えばコントローラメモリを有する。コンピュータ読み取り可能なメディアの実施例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または、他のいかなる磁気媒体、コンパクトディスク(例えばCD―ROM)、または他のいかなる光学的メディア、パンチカード、紙テープまたは孔パターンを有する他の物理メディア、キャリアウェーブ(以下に記載する)、またはコンピュータが読むことができる他のいかなるメディアでもある。
コンピュータ読み取り可能なメディアのどれかひとつ、または組合せたものに保存されて、本発明は、コントローラ170を制御するための、本発明を実施するためのデバイスまたはデバイスを駆動するための、および/またはコントローラが人間のユーザと対話することを可能にするためのソフトウェアを含む。このようなソフトウェアは、デバイスドライバ、オペレーティングシステム、開発ツール、およびアプリケーションソフトを含むことができるが、これに限定されるものではない。このようなコンピュータ読み取り可能なメディアは、本発明を実施する際に実行されるプロセスの全てまたは部分(もしプロセスが分散さえるならば)を実行するための本発明のコンピュータプログラム製品を更に含む。
本発明のコンピューターコードデバイスは、スクリプト、解釈可能なプログラム、ダイナミックリンクライブラリ(DLL)、Java(登録商標)クラス、および、完成した実行可能プログラムを含むがこれに限らない何らかの解釈可能なまたは実行可能コード機構であることができる。さらに、本発明のプロセスの部分は、より十分な性能、信頼性、および/または費用に対して分散されることができる。
ここで使用する用語「コンピュータ読み取り可能なメディア」は、実行のためコントローラ170のプロセッサに対する命令を提供する際に関係する何らかのメディアを称する。コンピュータ読み取り可能なメディアは、多くの形態をとることができ、不揮発性のメディア、揮発性のメディア、および、伝送メディアを含み、しかし、それらに限定されるものではない。不揮発性のメディアは、例えば、光学的、磁気ディスク、および光磁気ディスク、例えばハードディスクまたはリムーバブルメディアドライブを含む。揮発性のメディアは、ダイナミックメモリ、例えば主メモリを含む。さらに、コンピュータ読み取り可能なメディアの多様な形態は、実行のためのコントローラのプロセッサに対する1つ以上の命令の1つ以上のシーケンスを実行することを含まれることができる。例えば、命令は、まず最初にリモートコンピュータの磁気ディスクに移動されることができる。リモートコンピュータは、遠隔でダイナミックメモリへ、本発明の全てまたは部分を実施するための命令をロードすることができ、および、コントローラ170にネットワーク上で命令を送ることができる。
コントローラ170は、堆積システム101(101’)に対して近くで位置づけられることができ、または、それは堆積システム101に対して遠く離れて位置づけられることができる。例えば、コントローラ170は、直接接続、イントラネット、インターネット、および、ワイヤレス接続のうちの少なくとも1つを用いて、データを堆積システム101と交換することができる。コントローラ170は、例えば、顧客サイト(すなわちデバイスメーカーなど)でイントラネットに接続させられることができ、または、それは、例えば、ベンダーサイト(すなわち装置製造業者)でイントラネットに接続させられることができる。加えて、例えば、コントローラ170は、インターネットに組み合わせられることができる。さらにまた、別のコンピュータ(すなわちコントローラ、サーバなど)は、例えば、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを介してデータを交換するコントローラ170にアクセスできる。また、当業者によって理解されるように、コントローラ170は、ワイヤレス接続を介してデータを堆積システム101(101’)と交換することができる。
発明の特定の典型的な実施形態だけが、気相成長システムの用途に対して、上で詳述されたが、当業者は、発明の新規進歩の事項から逸脱することなく典型的な実施形態において多数の変更態様が可能であることを容易に理解することができる。たとえば、真空シールは、上記の通り、上部チャンバアセンブリと、下部チャンバアセンブリとの間に、または、一方の真空チャンバコンポーネントと、他方の真空チャンバコンポーネントとの間に提供され、他の真空処理システム、例えばドライエッチングシステム、ドライプラズマエッチングシステムなどに利用されることができる。
添付の図面において、上記詳細な説明を参照することでより十分に理解されるのと同様に、添付の図面ととともに考えられることによって、本発明のより完全な理解およびそれの多くの効果は容易に得られる。
本発明の1つの実施形態に係る堆積システムの概略図を記載する図である。 本発明の1つの実施形態に係る別の堆積システムの概略図を記載する図である。 サンプル移送が下方のサンプルステージ位置で容易にされる、本発明の1つの実施形態に係る図1Aの堆積システムの概略図を記載する図である。 サンプル移送が下方のサンプルステージ位置で容易にされる、本発明の1つの実施形態に係る図1Bの堆積システムの概略図を記載する図である。 シール機構の概略図を記載する図である。 本発明の1つの実施形態に係るシール機構の概略図を記載する図である。 本発明の1つの実施形態に係る別のシール機構の概略図を記載する図である。 本発明の1つの実施形態に係るプロセスのプロセスフロー図を示す図である。
符号の説明
101…堆積システム、110…プラズマ処理チャンバ、120…基板ステージ、122…プロセスボリューム調整システム、125…基板、130…上部アセンブリ、132…下部アセンブリ、140…プロセス材料供給システム、142…プレナム、144…注入プレート、146…オリフィス、150…電源、160…基板温度コントロールシステム、170…コントローラ、180…処理空間、182…移送空間、184…移送ポート、190…第1の真空ポンプ、191…注入口、192…第2の真空ポンプ、194…真空バルブ、196…真空バルブ、302…フランジ、303a…第1のシール面、303b…第2のシール面、304…延長、305…開口、306…シール、308…溝、310…下部プレート、312…排気チャネル、320…デュアル接触シール、322…2つの接触突起、324…ポケット、326…リップ、330…三接触シール。

Claims (24)

  1. 基板を処理するための真空処理システムであって、
    第1のシール面を有する第1のチャンバアセンブリと、
    第2のシール面を有する第2のチャンバーアセンブリと、
    前記第1のシール面または前記第2のシール面の1つに組み合わせられ、この1つのシール面によって保持され、2つ以上の接触突起およびその間に配置されている1つ以上のポケットを含んでいるシーリングデバイスとを具備し、
    前記第1のチャンバアセンブリと、前記第2のチャンバーアセンブリとの間のシールは、前記2つ以上の接触突起の間のガスを前記ポケット内に閉じ込める一方、前記第2のシール面で前記シーリングデバイスの前記2つ以上の接触突起の接触を容易にするために、前記第2のチャンバーアセンブリに前記第1のチャンバアセンブリを組み合わせることによって形成される真空処理システム。
  2. 前記第1のチャンバアセンブリは、材料堆積を容易にするように構成された処理空間を備え、
    前記第2のチャンバーアセンブリは、前記真空処理システムとの間で前記基板の移送を容易にするための移送空間を備えている請求項1の真空処理システム。
  3. 前記第2のチャンバーアセンブリに接続され、前記処理空間の第1の位置と、前記移送空間の第2の位置との間で前記基板を支持し、移動するように構成された基板ステージを更に具備する請求項2の真空処理システム。
  4. 前記シーリングデバイスは、2つの接触突起と、その間に形成されたポケットとを備えている請求項3の真空処理システム。
  5. 前記シーリングデバイスは、3つの接触突起と、その間に形成された2つのポケットとを備えている請求項3の真空処理システム。
  6. 前記シーリングデバイスは、前記第1の位置から前記第2の位置への前記基板ステージの移動の間、前記シールを外すように構成されている請求項4の真空処理システム。
  7. 前記シーリングデバイスは、前記移送空間から前記処理空間を真空分離するように構成されている請求項4の真空処理システム。
  8. 前記シーリングデバイスは、前記処理空間から前記移送空間までのガスリークを10−3Torr−l/s未満に減少するように構成されている請求項4の真空処理システム。
  9. 前記シーリングデバイスは、前記処理空間から前記移送空間までのガスリークを10−4Torr−l/s未満に減少するように構成されている請求項4の真空処理システム。
  10. 前記処理空間と流体が通じ、前記処理空間の減少した汚染物質環境を提供するように構成された第1の真空排気システムと、
    前記移送空間と流体を通じ、前記移送空間の減少した汚染物質環境を提供するように構成された第2の真空排気システムと、
    前記第1のチャンバアセンブリに接続され、前記材料堆積の間、前記処理空間にプロセス組成を導入するように構成されたガス注入システムと、
    前記基板ステージに組み合わされ、前記基板の温度を制御するように構成された温度制御システムとを、更に具備する請求項3の真空処理システム。
  11. 前記第1のチャンバアセンブリが、前記第2のチャンバアセンブリに組み合わせられるとき、前記シーリングデバイスは、前記ポケット内に閉じ込められたガスを圧縮するように構成されている請求項2の真空処理システム。
  12. 前記第1のチャンバアセンブリは、前記真空処理システムの上部部分を有し、
    前記第2のチャンバアセンブリは、前記真空処理システムの下部部分を有し、
    前記基板ステージは、前記基板を垂直方向に移動するように構成されている請求項11の真空処理システム。
  13. プラズマ形成を容易にするように前記処理空間のプロセスガス組成にパワーを結合するように構成された電源を更に具備する請求項11の真空処理システム。
  14. 前記電源は、0.1から100MHzまでの周波数でRFエネルギを出力するように構成されたRF電源を備え、
    前記基板ステージは、前記RF電源に接続され、前記処理空間に前記RFエネルギを結合させるように構成された電極を含む請求項13の真空処理システム。
  15. 前記第1のチャンバアセンブリは、排気マニホールドを備え、
    前記排気マニホールドは、移送空間から処理空間を分離する、前記第1のチャンバアセンブリから延びている延長を有し、
    前記延長は、前記基板の周囲エッジを超え、前記基板の表面の下方で前記延長の1つ以上の開口に前記真空排気システムの前記注入口を圧縮空気作用で組み合わせる内部チャネルを含む請求項10の真空処理システム。
  16. 前記延長は、前記基板ステージの近くの前記延長の第1の側部から、前記第1の側に対向する前記延長の端部で長手方向に配置された第2の側部まで、ガスコンダクタンスを提供している内側のチャネルを含む請求項15の真空処理システム。
  17. 前記延長は、前記延長の前記第1の側部に隣接した前記第1のシール面を有するシールプレートを備えている請求項16の真空処理システム。
  18. 前記基板ステージは、前記第1のチャンバアセンブリの方へ前記基板ステージの移動で、前記シーリングデバイスを介して前記延長の前記シールプレート上の前記第1のシール面に、シールするように構成された前記第2のシール面を有するフランジを備えている請求項17の真空処理システム。
  19. 前記シーリングデバイスは、エラストマー材を備えている請求項18の真空処理システム。
  20. 前記処理空間は、原子層堆積(ALD)および化学気相成長(CVD)の少なくとも1つのために構成されている請求項11の真空処理システム。
  21. 真空処理システムの第2のチャンバアセンブリで第1のチャンバアセンブリをシールする方法であって、
    2つ以上の接触突起と、その間に配置された1つ以上のポケットとを含むシーリングデバイスを、前記第1のチャンバアセンブリ上の第1のシール面に配置することと、
    前記2つ以上の接触突起の間の前記1つ以上のポケット内にガスを閉じ込める一方、前記第2のシール面に前記シーリングデバイスの前記2つ以上の接触突起を接触させることによって前記第2のチャンバアセンブリ上の第2のシール面で前記シーリングデバイスを係合することとを具備する方法。
  22. 100℃以上の温度で前記第1のチャンバアセンブリを維持することと、
    100℃未満の温度で前記第2のチャンバアセンブリを維持することとを更に具備する請求項21の方法。
  23. 50℃以上の温度で前記第1のチャンバアセンブリを維持することと、
    50℃未満の温度で前記第2のチャンバアセンブリを維持することとを更に具備する請求項21の方法。
  24. 基板を処理するための真空処理システムであって、
    第1のシール面を有する第1のチャンバアセンブリと、
    第2のシール面を有する第2のチャンバアセンブリと、
    前記第2のチャンバアセンブリが前記第1のアセンブリの方へ移動するときにガスがシールするための手段に閉じ込められ、そして前記シールするための手段で閉じ込められた前記ガスが、前記シールするための手段の外部の圧力より高い圧力であるように、前記第2のチャンバアセンブリに前記第1のチャンバアセンブリをシールするための前記手段とを具備する真空処理システム。
JP2007058894A 2006-03-08 2007-03-08 処理システムのためのシーリングのデバイスおよび方法 Expired - Fee Related JP5080108B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/369,939 2006-03-08
US11/369,939 US7794546B2 (en) 2006-03-08 2006-03-08 Sealing device and method for a processing system

Publications (3)

Publication Number Publication Date
JP2007239103A true JP2007239103A (ja) 2007-09-20
JP2007239103A5 JP2007239103A5 (ja) 2010-04-22
JP5080108B2 JP5080108B2 (ja) 2012-11-21

Family

ID=38477659

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007058894A Expired - Fee Related JP5080108B2 (ja) 2006-03-08 2007-03-08 処理システムのためのシーリングのデバイスおよび方法

Country Status (2)

Country Link
US (1) US7794546B2 (ja)
JP (1) JP5080108B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010103732A1 (ja) * 2009-03-10 2010-09-16 三井造船株式会社 原子層堆積装置
JP2010212430A (ja) * 2009-03-10 2010-09-24 Mitsui Eng & Shipbuild Co Ltd 原子層成長装置
JP2011084788A (ja) * 2009-10-16 2011-04-28 Sharp Corp ゲートバルブ、真空処理装置、及び半導体装置の製造方法
KR20130093110A (ko) * 2010-08-04 2013-08-21 램 리써치 코포레이션 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버
JP2015506087A (ja) * 2011-09-09 2015-02-26 ラム・リサーチ・アーゲーLam Research Ag ウエハ形状物の表面を処理する装置
JP2015074796A (ja) * 2013-10-08 2015-04-20 国立大学法人東北大学 原子層堆積装置
JP2022020619A (ja) * 2017-03-10 2022-02-01 アプライド マテリアルズ インコーポレイテッド 高圧ウエハ処理システム及び関連方法

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR20100061731A (ko) * 2007-09-14 2010-06-08 퀄컴 엠이엠스 테크놀로지스, 인크. Mems 제조에 이용되는 에칭 방법
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
CN101889101B (zh) * 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
CN102164457A (zh) * 2010-02-22 2011-08-24 株式会社日立高新技术仪器 等离子洗净方法
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10738381B2 (en) * 2015-08-13 2020-08-11 Asm Ip Holding B.V. Thin film deposition apparatus
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI642131B (zh) * 2016-01-11 2018-11-21 日月光半導體製造股份有限公司 基板清洗裝置及清洗方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
FI129699B (en) * 2018-04-16 2022-07-15 Beneq Oy Device, method and use of the method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058523A1 (en) * 2018-08-20 2020-02-20 Ingentec Corporation Gas etching device
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN113166933A (zh) 2018-09-28 2021-07-23 康宁股份有限公司 用于在金属基材上沉积无机颗粒的低温方法及由其生产的制品
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
SG11202112556XA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Methods of reducing chamber residues
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08172037A (ja) * 1994-08-23 1996-07-02 Applied Materials Inc 区画化された基板処理チャンバ
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
JP2002001100A (ja) * 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP2004014952A (ja) * 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
JP2006009152A (ja) * 2004-06-25 2006-01-12 Tokyo Electron Ltd 高速原子層堆積装置及び使用方法
WO2006104741A1 (en) * 2005-03-25 2006-10-05 Tokyo Electron Limited A plasma enhanced atomic layer deposition system having reduced contamination

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047496A (en) 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4778559A (en) 1986-10-15 1988-10-18 Advantage Production Technology Semiconductor substrate heater and reactor process and apparatus
KR0171600B1 (ko) 1990-02-26 1999-03-30 이노우에 아끼라 밀봉장치
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3172758B2 (ja) 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20050136657A1 (en) 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
WO2004085703A1 (ja) 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08172037A (ja) * 1994-08-23 1996-07-02 Applied Materials Inc 区画化された基板処理チャンバ
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
JP2002001100A (ja) * 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP2004014952A (ja) * 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
JP2006009152A (ja) * 2004-06-25 2006-01-12 Tokyo Electron Ltd 高速原子層堆積装置及び使用方法
WO2006104741A1 (en) * 2005-03-25 2006-10-05 Tokyo Electron Limited A plasma enhanced atomic layer deposition system having reduced contamination

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010103732A1 (ja) * 2009-03-10 2010-09-16 三井造船株式会社 原子層堆積装置
JP2010212430A (ja) * 2009-03-10 2010-09-24 Mitsui Eng & Shipbuild Co Ltd 原子層成長装置
JP2010212433A (ja) * 2009-03-10 2010-09-24 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置
JP4564570B2 (ja) * 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US9194043B2 (en) 2009-03-10 2015-11-24 Mitsui Engineering & Shipbuilding Atomic layer deposition apparatus
JP2011084788A (ja) * 2009-10-16 2011-04-28 Sharp Corp ゲートバルブ、真空処理装置、及び半導体装置の製造方法
KR20130093110A (ko) * 2010-08-04 2013-08-21 램 리써치 코포레이션 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버
KR101871521B1 (ko) 2010-08-04 2018-08-02 램 리써치 코포레이션 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버
JP2015506087A (ja) * 2011-09-09 2015-02-26 ラム・リサーチ・アーゲーLam Research Ag ウエハ形状物の表面を処理する装置
JP2015074796A (ja) * 2013-10-08 2015-04-20 国立大学法人東北大学 原子層堆積装置
JP2022020619A (ja) * 2017-03-10 2022-02-01 アプライド マテリアルズ インコーポレイテッド 高圧ウエハ処理システム及び関連方法
JP7253015B2 (ja) 2017-03-10 2023-04-05 アプライド マテリアルズ インコーポレイテッド 高圧ウエハ処理システム及び関連方法

Also Published As

Publication number Publication date
US20070209590A1 (en) 2007-09-13
US7794546B2 (en) 2010-09-14
JP5080108B2 (ja) 2012-11-21

Similar Documents

Publication Publication Date Title
JP5080108B2 (ja) 処理システムのためのシーリングのデバイスおよび方法
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5209198B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5242066B2 (ja) 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
US7670432B2 (en) Exhaust system for a vacuum processing system
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
JP5312036B2 (ja) プラズマ原子層堆積を実行する方法及びシステム
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100304

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100304

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120118

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120731

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120830

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees