KR101871521B1 - 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버 - Google Patents
듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버 Download PDFInfo
- Publication number
- KR101871521B1 KR101871521B1 KR1020137005615A KR20137005615A KR101871521B1 KR 101871521 B1 KR101871521 B1 KR 101871521B1 KR 1020137005615 A KR1020137005615 A KR 1020137005615A KR 20137005615 A KR20137005615 A KR 20137005615A KR 101871521 B1 KR101871521 B1 KR 101871521B1
- Authority
- KR
- South Korea
- Prior art keywords
- distribution unit
- gas
- gas distribution
- gas supply
- plasma
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 52
- 230000009977 dual effect Effects 0.000 title description 5
- 238000002347 injection Methods 0.000 title description 4
- 239000007924 injection Substances 0.000 title description 4
- 238000009826 distribution Methods 0.000 claims abstract description 162
- 239000000758 substrate Substances 0.000 claims abstract description 11
- 239000007789 gas Substances 0.000 claims description 314
- 238000000034 method Methods 0.000 claims description 71
- 239000004065 semiconductor Substances 0.000 claims description 32
- 239000012530 fluid Substances 0.000 claims description 25
- 239000004020 conductor Substances 0.000 claims description 4
- 230000002093 peripheral effect Effects 0.000 claims description 3
- 238000007599 discharging Methods 0.000 claims 1
- 239000000463 material Substances 0.000 description 11
- 239000011248 coating agent Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 238000004891 communication Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 230000002411 adverse Effects 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 230000001681 protective effect Effects 0.000 description 3
- 238000007792 addition Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 239000002470 thermal conductor Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45576—Coaxial inlets for each gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
전극은 플라즈마 발생 체적에 노출되고 플라즈마 발생 체적에 무선주파수 전력을 전송하도록 정의되며, 플라즈마 발생 체적에 대한 노출 시 기판을 홀딩하기 위한 상면을 포함한다. 가스 분배 유닛이 플라즈마 발생 체적 위에 그리고 전극에 대해 실질적으로 평행 배향으로 배치된다. 가스 분배 유닛은 전극의 상면에 대해 실질적으로 수직인 방향으로 플라즈마 프로세싱 가스의 입력 플로우를 플라즈마 발생 체적 내부로 지향시키기 위한 가스 공급 포트들의 배열을 포함한다. 또한, 가스 분배 유닛은 각각이 가스 분배 유닛을 통해 연장하여 플라즈마 발생 체적에 유체적으로 연결하는 쓰루홀들의 배열을 포함한다. 쓰루홀들의 각각은 플라즈마 발생 체적으로부터의 배출 플로우를 전극의 상면에 실질적으로 수직인 방향으로 지향시킨다.
Description
반도체 피쳐 크기들이 점점 더 지속적으로 작아짐에 따라, 반도체 제조 프로세스들이 페이스를 유지하기 위해 고투하고 있다. 제조 프로세스들의 일 유형은 웨이퍼 상에 재료를 성막하거나 또는 웨이퍼로부터 재료를 제거하기 위해 반응 가스의 플라즈마 또는 다른 형태에 대한 반도체 웨이퍼의 노출을 수반한다. 더 작은 피쳐 크기들은 보다 정확한 재료 성막 및 식각 제어를 요구하며, 이는 결국 플라즈마/반응 가스에 웨이퍼가 어떻게 노출되는지의 보다 정확한 제어를 필요로 한다. 이러한 정확한 제어 요건들은, 그 중에서도, 웨이퍼에 대한 노출 시, 웨이퍼에 걸친 플라즈마 균일성의 보다 정확한 제어, 웨이퍼에 걸친 플라즈마 밀도의 보다 정확한 제어, 및/또는 플라즈마 상주 시간의 보다 정확한 제어를 포함할 수도 있다. 본 발명은 이러한 맥락에서 창출된 것이다.
일 실시형태에 있어서, 전극 및 가스 분배 유닛을 포함하는 반도체 웨이퍼 프로세싱 장치가 개시된다. 전극은 플라즈마 발생 체적에 노출되고 플라즈마 발생 체적으로 무선주파수 (RF) 전력을 전송한다. 전극은 플라즈마 발생 체적에 대한 노출 시 기판을 홀딩하도록 (hold) 정의된 (define) 상면을 갖는다. 가스 분배 유닛은 플라즈마 발생 체적 위에 그리고 전극에 관하여 실질적으로 평행 배향으로 배치된다. 가스 분배 유닛은 전극의 상면에 실질적으로 수직인 방향으로 플라즈마 프로세스 가스의 입력 플로우를 플라즈마 발생 체적 내부로 지향시키도록 정의된 가스 공급 포트들의 배열을 포함한다. 가스 분배 유닛은, 각각이 가스 분배 유닛을 통해 연장하여 배출 영역에 플라즈마 발생 체적을 유체적으로 (fluidly) 연결시키는 쓰루홀들의 배열을 포함하도록 또한 정의된다. 쓰루홀들의 각각은 전극의 상면에 대해 실질적으로 수직인 방향으로 플라즈마 발생 체적으로부터 플라즈마 프로세스 가스의 배출 플로우를 지향시키도록 정의된다.
다른 실시형태에 있어서, 반도체 웨이퍼 프로세싱 시스템이 개시된다. 시스템은 내부 캐비티를 갖도록 정의된 챔버를 포함한다. 또한, 시스템은 챔버의 내부 캐비티 내에 배치된 척을 포함한다. 척은 플라즈마 발생 체적에 대한 노출시 기판을 홀딩하기 위해 정의된 상면을 갖는다. 그리고, 척은 플라즈마 발생 체적에 무선주파수 (RF) 전력을 공급하도록 정의된다. 또한, 척은 척 상에 배치되고 플라즈마 발생 체적의 주변을 둘러싸고 인클로징하도록 정의된 외주 구조를 포함한다. 시스템은, 외주 구조 상에 배치되고 척의 상면에 실질적으로 평행 관계로 플라즈마 발생 체적에 걸쳐 연장하도록 정의된 가스 분배 유닛을 더 포함한다. 가스 분배 유닛은 플라즈마 발생 체적 내부로 플라즈마 프로세스 가스의 입력 플로우를 지향하도록 정의된 가스 공급 포트들의 배열을 포함하도록 정의된다. 가스 분배 유닛은 쓰루홀들의 배열을 포함하도록 또한 정의된다. 또한, 시스템은 가스 분배 유닛 위의 챔버 내부에 정의된 배출 영역을 포함하여, 쓰루홀들의 각각이 가스 분배 유닛을 통해 연장하여 배출 영역에 플라즈마 발생 체적을 유체적으로 연결시킨다. 또한, 시스템은 배출 영역으로부터 가스들을 제거하기 위해 배출 영역에 유체적으로 연결된 펌프를 포함한다.
다른 실시형태에 있어서, 반도체 웨이퍼 프로세싱 방법이 개시된다. 방법은, 반도체 웨이퍼와 가스 분배 유닛 사이에 플라즈마 프로세싱 체적이 형성되도록 가스 분배 유닛에 대해 실질적으로 평행 배향으로 반도체 웨이퍼를 홀딩하는 동작을 포함한다. 또한, 방법은 반도체 웨이퍼에 대해 실질적으로 수직인 방향으로 가스 분배 유닛 내에서부터 플라즈마 프로세싱 체적 내부로 플라즈마 프로세싱 가스를 플로우시키는 동작을 포함한다. 방법은 반도체 웨이퍼에 대해 실질적으로 수직인 방향으로 플라즈마 프로세싱 체적 내로부터 가스 분배 유닛을 통해 플라즈마 프로세싱 가스의 배출 플로우를 지향시키는 동작을 더 포함하며, 가스 분배 유닛을 통한 플라즈마 프로세싱 가스의 배출 플로우가 플라즈마 프로세싱 체적 내로부터의 플라즈마 프로세싱 가스의 유일한 배출 플로우이다.
본 발명의 다른 양태들 및 이점들은 본 발명을 예시로서 도시하는 첨부 도면들과 함께 취해지는 다음의 상세한 설명으로부터 더 명백해질 것이다.
도 1a는 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 장치를 나타낸다.
도 1b는 본 발명의 일 실시형태에 따른 가스 분배 유닛을 통한 가스 플로우 및 배출 플로우를 도시하는 화살표들에 의한 도 1a의 챔버를 나타낸다.
도 2는 본 발명의 일 실시형태에 따른 도 1a의 챔버의 다른 구성을 나타낸다.
도 3a는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 저면도를 나타낸다.
도 3b는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상면도를 나타낸다.
도 3c는 본 발명의 일 실시형태에 따른 가스 공급 포트 단면을 나타낸다.
도 4a는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상면 상에 배치된 플로우 제어 플레이트를 나타낸다.
도 4b는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이 하부의 가스 분배 유닛 내에 정의된 모든 쓰루홀들을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트의 상면도를 나타낸다.
도 4c는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이 하부의 가스 분배 유닛 내에 정의된 쓰루홀들의 단지 일 부분만을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트의 상면도를 나타낸다.
도 4d는 본 발명의 일 실시형태에 따라, 다수의 동심의 회전가능한 플로우 제어 플레이트들에 의해 정의된 플로우 제어 플레이트 어셈블리의 상면도를 나타낸다.
도 5는 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 방법의 플로우 챠트를 나타낸다.
도 1b는 본 발명의 일 실시형태에 따른 가스 분배 유닛을 통한 가스 플로우 및 배출 플로우를 도시하는 화살표들에 의한 도 1a의 챔버를 나타낸다.
도 2는 본 발명의 일 실시형태에 따른 도 1a의 챔버의 다른 구성을 나타낸다.
도 3a는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 저면도를 나타낸다.
도 3b는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상면도를 나타낸다.
도 3c는 본 발명의 일 실시형태에 따른 가스 공급 포트 단면을 나타낸다.
도 4a는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상면 상에 배치된 플로우 제어 플레이트를 나타낸다.
도 4b는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이 하부의 가스 분배 유닛 내에 정의된 모든 쓰루홀들을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트의 상면도를 나타낸다.
도 4c는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이 하부의 가스 분배 유닛 내에 정의된 쓰루홀들의 단지 일 부분만을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트의 상면도를 나타낸다.
도 4d는 본 발명의 일 실시형태에 따라, 다수의 동심의 회전가능한 플로우 제어 플레이트들에 의해 정의된 플로우 제어 플레이트 어셈블리의 상면도를 나타낸다.
도 5는 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 방법의 플로우 챠트를 나타낸다.
다음의 설명에 있어서, 많은 특정 상세들이 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 특정 상세들의 일부 또는 전부 없이도 본 발명이 실시될 수 있음이 당업자에게 자명할 것이다. 다른 예시들에 있어서, 주지된 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세하게 설명되지 않는다.
웨이퍼에 걸친 플라즈마 상주 시간 및 균일성의 정확한 제어를 가능하게 하여 빠르고 균일한 프로세스 가스 주입 및 펌프 아웃을 요구하는 웨이퍼 제조 프로세스들을 가능하게 하는 반도체 웨이퍼 프로세싱 장치가 본 명세서에 개시된다. 이러한 빠르고 균일한 프로세스 가스 주입 및 펌프 아웃을 요구하는 웨이퍼 제조 프로세스들의 예들은 원자층 식각 및 원자층 성막을 포함하지만 이에 한정되지 않는다.
장치는 플라즈마 발생 영역 위에 배치된 가스 분배 유닛을 포함하며, 웨이퍼는 플라즈마 발생 영역 아래의 정전 척 상에서 플라즈마 발생 영역에 대한 노출 시 홀딩된다. 가스 분배 유닛은 실질적으로 균일한 방식으로 웨이퍼 쪽으로 아래로 플라즈마 프로세스 가스를 공급하도록 정의된다. 가스 분배 유닛은 또한 실질적으로 균일한 방식으로 웨이퍼로부터 위로 플라즈마 프로세스 가스를 배출하도록 정의된다. 즉, 하기에서 보다 상세하게 설명되는 바와 같이, 가스 분배 유닛은 가스 주입 및 배출의 양축을 제공한다.
도 1a는 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 장치를 나타낸다. 장치는 상부 플레이트 (100A), 하부 플레이트 (100B), 및 벽들 (100C) 에 의해 형성된 챔버 (100) 를 포함한다. 일 실시형태에 있어서, 벽들 (100C) 은 인접 실린더 형상 벽 (100C) 을 형성한다. 다른 실시형태에 있어서, 벽들 (100C) 은, 챔버 (100) 의 내부 캐비티 (100D) 가 챔버 (100) 외측의 외부 환경과 격리될 수 있는 한, 다른 구성들을 가질 수 있다. 다수의 시일들 (139) 이 챔버 상부 플레이트 (100A), 하부 플레이트 (100B) 및 벽들 (100C) 사이에 배치되어 외부 환경으로부터 챔버 (100) 의 내부 캐비티 (100D) 의 격리를 용이하게 한다.
다른 실시형태에 있어서, 챔버 (100) 의 상부 플레이트 (100A), 하부 플레이트 (100B) 및 벽들 (100C) 은, 우수한 전기 및 열 전도체이며 웨이퍼 프로세싱 동안 내부 캐비티 (100D) 가 노출되게 되는 프로세스 가스들과 화학적으로 양립가능한 금속으로 형성될 수 있다. 예를 들어, 다양한 실시형태들에 있어서, 알루미늄, 스테인리스 스틸 등과 같은 금속들이 아마도 챔버 (100) 컴포넌트들을 형성하기 위해 사용되었다. 또한, 시일들 (139) 은 탄성 시일들 또는 소모성 금속 시일들일 수 있으며, 또는 시일들 (139) 이 내부 캐비티 (100D) 가 노출되게 되는 프로세싱 재료들과 화학적으로 양립가능한 한, 그리고 챔버 (100) 외측의 외부 환경으로부터 내부 캐비티 (100D) 의 충분한 격리를 제공하는 한, 임의의 다른 유형의 시일 재료일 수 있다.
다른 실시형태들에 있어서, 하나 이상의 부가 플레이트들 또는 부재들은, 챔버 (100) 가 전개 특정 조건들 또는 다른 고려 상황들을 충족시키기 위해 필요한 것으로서, 임의의 하나 이상의 상부 플레이트 (100A), 하부 플레이트 (100B) 또는 벽들 (100C) 외측에 배치될 수 있다. 부가적으로, 상부 플레이트 (100A), 하부 플레이트 (100B), 및/또는 벽들 (100C) 이 특정 구현을 위해 적절한 것으로서, 이러한 부가 플레이트들 또는 부재들에 고정될 수 있다. 상부 플레이트 (100A), 하부 플레이트 (100B), 및 벽들 (100C) 을 포함하는 챔버 (100) 구조는, 도전성 재료로 형성되고 기준 접지 전위에 전기적으로 접속된다.
챔버 (100) 는 외부 배출 펌프 (137) 에 내부 캐비티 (100D) 의 유체 연결을 제공하는 외부 포트 (135) 를 포함하여, 내부 캐비티 (100D) 내로부터 가스들 및/또는 파티클들을 제거하기 위해 외부 포트 (135) 를 통해 네가티브 압력이 가해질 수 있다. 일 실시형태에 있어서, 챔버 (100) 는 내부 캐비티 (100D) 내부로의 웨이퍼 (113) 의 삽입 및 대응하는 내부 캐비티 (100D) 로부터의 웨이퍼 (113) 의 제거를 가능하게 하기 위해 챔버 벽 (100C) 의 섹션 내에 형성된 게이트 밸브 (102) 를 또한 포함한다. 그 폐쇄 위치에서, 게이트 밸브 (102) 는 외부 환경으로부터 내부 캐비티 (100D) 의 격리를 유지하도록 정의된다. 다양한 실시형태들에 있어서, 배출 펌프 (137) 는, 챔버 (100) 의 내부 캐비티 (100D) 로부터 유체 플로우를 도출하기 위해 외부 포트 (135) 에서 흡입을 적용할 수 있는 한, 상이한 방식으로 구현될 수 있다.
플라즈마 프로세싱 장치는 챔버 (100) 의 내부 캐비티 (100D) 내에 배치된다. 플라즈마 프로세싱 장치는 척 (107A/B) 과 가스 분배 유닛 (115) 사이에 형성된 플라즈마 발생 체적 (109) 을 포함한다. 보다 구체적으로, 플라즈마 발생 체적 (109) 은 척 (107A/B) 위에 그리고 가스 분배 유닛 (115) 아래에 상주하며, 척 (107A/B) 의 상부 표면 및 가스 분배 유닛 (115) 의 하부 표면은 서로에 대해 실질적으로 평행 배향으로 배치된다. 또한, 주변 구조 부재 (108) 가 가스 분배 유닛 (115) 과 척 (107A/B) 의 상부 표면 사이의 플라즈마 발생 체적 (109) 의 주변을 인클로징하도록 배치된다.
상기 언급된 바와 같이, 척 (107A/B) 은 플라즈마 발생 체적 (109) 아래의 챔버 (100) 의 내부 캐비티 (100D) 내에 배치된다. 척 (107A/B) 은 바디 부분 (107A) 및 전극 부분 (107B) 을 포함한다. 일 실시형태에 있어서, 척 바디 (107A) 는 챔버 (100) 의 벽 (100C) 으로부터 컨틸레버된다. 일 실시형태에 있어서, 척 (107A/B) 은 플라즈마 발생 체적 (109) 에 RF 전력을 전송하도록 정의된 전극 (107B) 을 갖는 정전척이다. 척 (107B) 의 전극 부분의 상부 표면은 플라즈마 발생 체적 (109) 에 대한 노출 시, 기판 (113), 즉 웨이퍼 (113) 를 홀딩하도록 정의된다. 일 실시형태에 있어서, 석영 포커스 링 (149) 이 척 (107B) 상의 기판 (113) 수용/홀딩 영역의 주변 둘레의 척 (107A) 의 바디 상에 배치된다. 또한, 척 (107) 은 냉각 채널들 및/또는 가열 엘리먼트들의 구성을 포함하도록 정의되어, 기판 (113) 및 플라즈마 발생 체적 (109) 의 온도 제어를 가능하게 한다.
척 (107A/B) 은 화살표 (123) 로 표시된 바와 같이, 내부 캐비티 (100D) 내에서 수직으로 이동하도록 정의된다. 이러한 방식으로, 척 (107A/B) 은 게이트 밸브 (102) 를 통해 기판 (113) 을 수용/제공하기 위해 하강될 수 있고, 플라즈마 발생 체적 (109) 의 하부 표면을 형성하기 위해 상승될 수 있다. 또한, 척 (107B) 및 가스 분배 유닛 (115) 양자에 대해 수직으로 측정되는 바와 같은 플라즈마 발생 체적 (109) 에 걸친 수직 거리는, 척 (107B) 의 수직 위치를 제어함으로써 설정되고 제어될 수 있다. 플라즈마 발생 체적 (109) 에 걸친 수직 거리는 충분한 센터 투 에지 플라즈마 균일성 및 밀도를 달성하도록 설정될 수 있으며, 또한 가스 분배 유닛 (115) 의 가스 공급 포트들 (119) 로부터 플로우 가스의 제트들에 의한 웨이퍼 (113) 상의 프린팅을 회피하도록 설정될 수 있다. 다양한 실시형태들에 있어서, 플라즈마 발생 체적 (109) 에 걸친 수직 거리는 약 1㎝ 내지 약 5㎝로 연장하는 범위 내에서 설정될 수 있다. 일 실시형태에 있어서, 플라즈마 발생 체적 (109) 에 걸친 수직 거리는 약 2㎝로 설정된다. 플라즈마 발생 체적 (109) 에 걸친 수직 거리가, 플라즈마 발생 체적 (109) 의 급속 배출을 가능하게 하고, 이로써 플라즈마 발생 체적 (109) 내의 플라즈마 상주 시간의 정확한 제어를 가능하게 하도록 제어된다는 것을 알아야 한다.
척 (107B) 의 전극 부분은 RF 전원 (111) 으로부터 플라즈마 발생 체적 (109) 으로 RF 전력을 공급하도록 정의된다. RF 전원 (111) 은 척 (107B) 의 전극 부분으로의 RF 전력의 전송을 가능하게 하도록 매칭 네트워크를 통해 접속된다는 것을 알아야 한다. 이미 논의된 바와 같이, 일 실시형태에 있어서, 가스 분배 유닛 (115) 은 기준 접지 전위에 전기적으로 접속되어, 가스 분배 유닛 (115) 이 플라즈마 발생 체적들 (109) 에 대한 RF 전력 리턴 경로에서 기준 접지 전극으로서 작용한다.
가스 분배 유닛 (115) 은 플라즈마 발생 체적 (109) 및 주변 구조 부재 (108) 위의 고정된 위치에서 홀딩된다. 가스 분배 유닛 (115) 은 가스 공급 포트들 (119) 의 배열을 통해 플라즈마 발생 체적 (109) 에 플라즈마 프로세스 가스를 공급하도록 정의된다. 가스 분배 유닛 (115) 은 플라즈마 발생 체적 (109) 으로부터 유체 배출을 제공하기 위해 쓰루홀들 (117) 의 배열을 포함하도록 또한 정의된다. 쓰루홀들 (117) 의 각각은 가스 분배 유닛 (115) 을 통해 그 상부 표면에서 그 하부 표면으로 연장한다.
도 1b는 본 발명의 일 실시형태에 따라 가스 분배 유닛 (115) 을 통한 가스 플로우 및 배출 플로우를 도시하는 화살표들에 의해 도 1a의 챔버 (100) 를 나타낸다. 도 1a 및 도 1b에 나타낸 바와 같이, 플라즈마 프로세스 가스는 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (118A/118B) 로부터 가스 분배 유닛 (115) 으로 공급된다. 플라즈마 프로세스 가스는 가스 분배 유닛 (115) 을 통해 가스 공급 포트들 (119) 로부터 플라즈마 발생 체적 (109) 내부로 플로우한다. 플라즈마 프로세스 가스는 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통해 플라즈마 발생 체적 (109) 으로부터 배출 매니폴드 (103) 로 배출된다. 도 1a 및 도 1b의 실시형태에 있어서, 플라즈마 발생 체적 (109) 은, 플라즈마 프로세스 가스가 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통해 배출 매니폴드 (103) 로만 배출되도록 시일된다.
일 실시형태에 있어서, 배출 매니폴드 (103) 는 밸브 (101) 를 통해 진공 펌프 (102) 에 접속된다. 밸브 (101) 는 배출 매니폴드 (103) 를 펌프 (102) 로/펌프 (102) 로부터 유체적으로 연결/접속해제 하도록 동작될 수 있고, 이로써 배출 매니폴드 (103) 내의 압력이 펌프 (102) 로 방출되게 하여, 고압의 플라즈마 발생 체적 (109) 내의 플라즈마 프로세스 가스가 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통해 저압의 배출 매니폴드 (103) 내부로 밸브 (101) 를 통해 펌프 (102) 로 흐르게 되어, 플라즈마 발생 체적 (109) 으로부터 플라즈마 프로세스 가스를 배출한다.
도 2는 본 발명의 일 실시형태에 따른 챔버 (100) 의 다른 구성을 나타낸다. 본 실시형태에 있어서, 가스 분배 유닛 (115) 의 쓰루홀들 (117) 은 챔버 (100) 의 내부 캐비티 (100D) 와 유체 연통한다. 본 실시형태에 있어서, 플라즈마 프로세스 가스는 플라즈마 발생 체적 (109) 으로부터 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통해 챔버 (100) 의 내부 캐비티 (100D) 내부로 직접 배출된다. 챔버의 내부 캐비티 (100D) 내의 플라즈마 프로세스 가스는 펌프 (137) 에 의해 배출 포트 (135) 를 통해 제거된다. 본 실시형태에 있어서, 챔버 (100) 의 내부 캐비티 (100D) 는 배출 매니폴드로서 작용한다. 이로써, 도 1a 및 도 1b의 실시형태의 외부 구조 부재 (104) 가 제거된다. 그리고, 상부 플레이트 (100A) 는 밸브 (101) 및 펌프 (102) 로의 접속을 포함하지 않는 상부 플레이트 (100E) 에 의해 교체된다. 본 실시형태에 있어서, 챔버 (100) 의 내부 캐비티 (100D) 내의 압력은 플라즈마 발생 체적 (109) 내의 압력에 대해 제어되어, 결국 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통한 플라즈마 프로세스 가스 배출 플로우 레이트를 제어할 수 있다.
가스 분배 유닛 (115) 에 의해 제공되는 듀얼 축 프로세스 가스 입력 및 배출은 웨이퍼 (113) 상부의 실질적으로 균일한 센터 투 에지 밀도를 갖는 웨이퍼 (113) 의 플라즈마 프로세싱을 가능하게 한다는 것을 알아야 한다. 보다 구체적으로, 가스 분배 유닛 (115) 에 의해 제공되는 듀얼 축 플라즈마 프로세스 가스 입력 및 배출은, 센터 투 에지 플라즈마 밀도 프로파일에서 방사상 불균일성을 야기할 수 있는 플라즈마 발생 체적 (109) 내에서의 방사상 플라즈마 프로세스 가스 플로우들을 방지한다. 또한, 가스 분배 유닛 (115) 에 의해 제공되는 듀얼 축 플라즈마 프로세스 가스 입력 및 배출은, 필요할 때, 웨이퍼 (113) 상에서 실질적으로 짧은 플라즈마 상주 시간으로 웨이퍼 (113) 의 플라즈마 프로세싱을 가능하게 한다.
도 3a는 본 발명의 일 실시형태에 따른 가스 분배 유닛 (115) 의 저면도를 나타낸다. 가스 공급 포트들 (119) 및 쓰루홀들 (117) 의 각각은 가스 분배 유닛 (115) 의 하부 표면을 통해 개방 유체 연통으로 정의된다. 가스 공급 포트들 (119) 의 배열은 쓰루홀들 (117) 의 배열 사이에 배치된다. 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 을 통해 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (118A/B) 에 플럼되어 (plumbed), 가스 분배 유닛 (115) 내에서 가스 공급 포트들 (119) 과 쓰루홀들 (117) 사이에 어떠한 직접적인 유체 연통도 존재하지 않는다.
도 3b는 본 발명의 일 실시형태에 따른 가스 분배 유닛 (115) 의 상면도를 나타낸다. 쓰루홀들 (117) 의 각각은 가스 분배 유닛 (115) 의 상부 표면을 통해 개방 유체 연통으로 정의된다. 그러나, 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 의 상면을 통해 유체적으로 노출되지 않는다. 이에 따라, 가스 공급 포트들 (119) 은 단지 플라즈마 발생 체적 (109) 내부로만 플라즈마 프로세스 가스를 플로우하도록 정의된다. 반대로, 쓰루홀들 (117) 은 플라즈마 발생 체적 (109) 으로부터 배출 매니폴드 (103) 로의 (또는 도 2의 실시형태에서의 내부 캐비티 (100D) 로의) 유체 연통을 가능하게 하도록 정의된다. 가스 분배 유닛 (115) 의 쓰루홀들 (117) 을 통한 유체 플로우는 주로 플라즈마 발생 체적 (109) 과 배출 매니폴드 (103)(또는 도 2의 실시형태에서의 내부 캐비티 (100D)) 사이의 압력 차분에 의해 제어된다.
가스 분배 유닛 (115) 이 RF 리턴 경로 전극, 플라즈마 프로세스 가스 매니폴드, 및 유체 플로우 배플 플레이트로서 작용한다는 것을 이해해야 한다. 다양한 실시형태들에 있어서, 가스 분배 유닛 (115) 은, 우수한 전기 및 열 전도체이고, 플라즈마 발생 체적 (109) 내에서 수행되는 프로세스들과 화학적으로 양립가능한 금속, 예를 들어 알루미늄, 스테인리스 스틸 등으로 형성될 수 있다. 다양한 실시형태들에 있어서, 가스 분배 유닛 (115) 은 가스 분배 유닛 (115) 의 RF 리턴 경로 전극 기능을 가능하게 하기 위해 기준 접지 전위 또는 바이어스 전압 중 어느 하나에 전기적으로 접속될 수 있다. 이로써, 가스 분배 유닛 (115) 은 플라즈마 발생 체적 (109) 에 대해 접지 전극을 제공한다. 일 실시형태에 있어서, 전극 (107B) 및 가스 분배 유닛 (115) 은 대략 일대일 전력 투 접지 표면 영역을 형성한다. 전극 (107B) 에 관한 가스 분배 유닛 (115) 의 구성은 플라즈마 발생 체적 (109) 내에 용량 결합형 플라즈마의 형성을 가능하게 한다.
일 실시형태에 있어서, 플라즈마에 노출되는 가스 분배 유닛 (115) 의 부분들은 플라즈마 내성 재료의 피복에 의해 보호된다. 일 실시형태에 있어서, 플라즈마 내성 재료는 코팅으로서 형성된다. 다른 실시형태에 있어서, 플라즈마 내성 재료는 보호 구조, 예를 들어 가스 분배 유닛 (115) 을 컨포멀하게 피복하는 플레이트로서 형성된다. 이들 실시형태들 중 어느 것에 있어서, 플라즈마 내성 재료는 가스 분배 유닛 (115) 에 고정되어 플라즈마 내성 재료와 가스 분배 유닛 (115) 사이에서 적절한 전기 및 열 전도를 보장한다. 플라즈마 내성 보호 구조의 일 실시형태에 있어서, 보호 구조는 가스 분배 유닛 (115) 아래에 배치될 때, 가스 분배 유닛 (115) 과 외주 구조 (108) 사이의 압착에 의해 또는 다수의 잠금장치들에 의해 가스 분배 유닛 (115) 에 고정될 수도 있다. 다양한 실시형태들에 있어서, 가스 분배 유닛 (115) 을 보호하기 위해 사용되는 플라즈마 내성 코팅/보호 구조는 실리콘, 실리콘 카바이드, 실리콘 옥사이드, 이트륨 옥사이드 또는 노출되는 플라즈마 프로세스들에 대하여 적절한 플라즈마 내성, 전기 전도 및 열 전도를 제공하는 본질적으로 임의의 다른 재료로 형성될 수 있다.
가스 분배 유닛 (115) 은 교환가능한 컴포넌트로서 정의된다. 가스 분배 유닛 (115) 의 상이한 버전들/구성들은 가스 공급 포트들 (119) 과 쓰루홀들 (117) 의 상이한 배열들을 갖도록 정의될 수 있다. 부가적으로, 플라즈마가 가스 분배 유닛 (115) 또는 그 기능성을 저하시키는 경우, 가스 분배 유닛 (115) 이 교체될 수 있다.
가스 공급 포트들 (119) 및 쓰루홀들 (117) 의 각각은 그것을 통한 유체 플로우를 최적화하도록 정의되며, 동시에 그것으로의 플라즈마의 불리한 침입을 방지한다. 가스 공급 포트들 (119) 및 쓰루홀들 (117) 각각을 통해/각각으로의 유체 플로우 및 플라즈마 침입은 그 크기에 정비례한다. 이에 따라, 가스 공급 포트들 (119) 및 쓰루홀들 (117) 의 각각을, 그 크기가 그것을 통한 적절한 유체 플로우를 제공하기에 충분히 크도록 유지하면서 그 내부로의 불리한 플라즈마 침입을 방지하기에 충분히 작도록 정의할 필요가 있다. 다양한 실시형태들에 있어서, 가스 공급 포트들 (119) 의 직경은 약 0.1㎜ 내지 약 3㎜로 연장하는 범위 내에서 크기가 정해진다. 다양한 실시형태들에 있어서, 쓰루홀들 (117) 의 직경은 약 0.5㎜ 내지 약 5㎜로 연장하는 범위 내에서 크기가 정해진다. 그러나, 다양한 실시형태들에 있어서, 가스 공급 포트들 (119) 및 쓰루홀들 (117) 은, 직경 크기가 그를 통해 적절한 유체 플로우를 제공하고, 동시에 그 내부에서의 플라즈마 침입의 적절한 억제를 제공하는 한, 본질적으로 임의의 직경 크기를 갖도록 각각 정의된다.
가스 공급 포트들 (119) 에 대한 유체 플로우 압력은 직접 제어가능하기 때문에, 가스 공급 포트들 (119) 내부로의 플라즈마 침입을 본질적으로 방지하기에 충분히 작은 크기를 갖도록 가스 공급 포트들 (119) 를 정의하는 것이 가능하다. 그러나, 가스 공급 포트들 (119) 을 통한 초음속 유체 플로우를 일으킬 만큼 작은 가스 공급 포트들 (119) 을 정의하는 것을 회피하는 것이 적절하다. 가스 공급 포트들 (119) 로부터 초음속 유체 플로우를 회피하기 위해, 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 의 하부 표면으로부터의 그들의 출구에서 확산기 형상을 갖도록 정의될 수 있다. 도 3c는 본 발명의 일 실시형태에 따른 가스 공급 포트 (119) 단면을 나타낸다. 가스 분배 유닛 (115) 으로부터 그 출구 위치에서 확산기 형상 (307) 을 갖는 가스 공급 포트 (119) 가 나타나 있다.
가스 분배 유닛 (115) 은 가스 공급 포트들 (119) 의 배열에 유체적으로 연결된 내부 가스 공급 채널들을 포함한다. 이 내부 가스 공급 채널들은 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (118A/B) 에 유체적으로 연결된다. 도 1a, 도 1b, 및 도 2의 실시형태들이 설명의 용이를 위해 2개의 플라즈마 프로세스 가스 공급 소스들 (118A/B) 을 나타내고 있지만, 가스 분배 유닛 (115) 및 챔버 (100) 의 특정 구성에 의존하여, 본질적으로 임의의 개수의 플라즈마 프로세스 가스 공급 소스들 (118A/B/C/D 등) 이 가스 분배 유닛 (115) 에 플라즈마 프로세스 가스를 공급하도록 접속될 수 있음을 이해해야 한다. 또한, 내부 가스 공급 채널들 및 연관된 가스 공급 포트들 (119) 은, 플라즈마 프로세스 가스가 쓰루홀들 (117) 을 통해 진입하기 전에 플라즈마 발생 체적 (109) 에 분배되도록 쓰루홀들 (117) 의 배열 사이에 정의됨을 알아야 한다.
도 3a에 도시된 바와 같은, 일 실시형태에 있어서, 가스 분배 유닛 (115) 내의 내부 가스 공급 채널들은 가스 분배 유닛 (115) 의 하부 표면에 걸쳐 복수의 동심 영역들/존들 (115A, 115B, 115C) 내부로 가스 공급 포트들 (119) 의 배열을 유체적으로 분리하도록 정의되어, 각각의 복수의 동심 영역들/존들 (115A, 115B, 115C) 내부의 가스 공급 포트들 (119) 로의 플라즈마 프로세스 가스의 플로우 레이트가 별도로 제어될 수 있다. 일 실시형태에 있어서, 각각의 동심 방사상 영역/존 (115A, 115B, 115C) 내의 가스 공급 포트들 (119) 이 각각의 가스 플로우 제어 디바이스 (305A, 305B, 305C) 에 플럼되어, 각각의 동심 방사상 영역/존 (115A, 115B, 115C) 으로의 플라즈마 프로세스 가스의 공급이 독립적으로 제어가능하다.
독립적으로 제어가능한 복수의 동심 영역들/존들 (115A, 115B, 115C) 로의 가스 공급 포트들 (119) 의 분리는 플라즈마 발생 체적 (109) 내의 센터 투 에지 가스 공급 제어를 제공하며, 이는 결국 플라즈마 발생 체적 (109) 내의 센터 투 에지 플라즈마 균일성 제어를 개선시킨다. 도 3a의 예시적인 실시형태가 3개의 동심 가스 공급 영역들/존들 (115A, 115B, 115C) 을 나타내지만, 가스 분배 유닛 (115) 은 거의 독립적으로 제어가능한 가스 공급 영역들/존들을 포함하도록 정의될 수 있음을 알아야 한다. 예를 들어, 다른 실시형태에 있어서, 가스 분배 유닛 (115) 은 2개의 독립적으로 제어가능한 동심 가스 공급 영역들/존들을 포함하도록 정의된다.
일 실시형태에 있어서, 쓰루홀들 (117) 의 수는 가스 공급 포트들 (119) 의 수보다 더 커서, 플라즈마 발생 체적 (109) 으로부터 적절한 배출 플로우를 제공한다. 또한, 쓰루홀들 (117) 은 가스 공급 포트들 (119) 보다 큰 크기를 갖도록 정의되어, 플라즈마 발생 체적 (109) 으로부터 적절한 유체 배출 플로우를 제공한다. 그러나, 이미 논의된 바와 같이, 쓰루홀들 (117) 의 크기는 플라즈마 발생 체적 (109) 으로부터 쓰루홀들 (117) 로의 불리한 플라즈마 침입을 방지하도록 제한된다.
일 실시형태에 있어서, 플로우 제어 플레이트는, 플라즈마 발생 체적 (109) 으로부터 유체 배출을 위해 쓰루홀들 (117) 이 개방되는 제어를 위해 가스 분배 유닛 (115) 의 상부 표면 상에 배치된다. 도 4a는 본 발명의 일 실시형태에 따라 가스 분배 유닛 (115) 의 상부 표면 상에 배치된 플로우 제어 플레이트 (401) 를 나타낸다. 일 실시형태에 있어서, 플로우 제어 플레이트 (401) 는 약 3㎜ 내지 약 6㎜ 로 연장하는 범위 내의 두께를 갖는 디스크로서 정의된다. 플로우 제어 플레이트 (401) 디스크는 플로우가 제어되는 쓰루홀들 (117) 을 커버하기에 충분한 직경을 갖도록 정의된다. 일 실시형태에 있어서, 플로우 제어 플레이트 (401) 디스크는 가스 분배 유닛 (115) 의 상부 표면을 커버하는 직경을 갖도록 정의된다.
일 실시형태에 있어서, 플로우 제어 플레이트 (401) 는 전기 및 열 전도성 재료로 형성되고, 플로우 제어 플레이트 (401) 와 가스 분배 유닛 (115) 사이에서 적절한 전기 및 열 전달을 보장하기 위해 가스 분배 유닛 (115) 에 고정된다. 일 실시형태에 있어서, 플로우 제어 플레이트 (401) 는 다수의 패스너들에 의해 가스 분배 유닛 (115) 에 고정된다. 또한, 다양한 실시형태들에 있어서, 플로우 제어 플레이트 (401) 는 가스 분배 유닛 (115) 에 관하여 상기 논의된 바와 같은 플라즈마 내성 코팅에 의해 피복되고 보호될 수 있다.
일 실시형태에 있어서, 홀들의 복수의 패턴들은 플로우 제어 플레이트 (401) 를 통해 정의된다. 플로우 제어 플레이트 (401) 내의 홀들의 복수의 패턴들의 각각은 가스 분배 유닛 (115) 내의 쓰루홀들 (117) 의 상이한 세트와 정렬한다. 가스 분배 유닛 (115) 의 상부 표면에 관하여 플로우 제어 플레이트 (401) 의 특정 회전 위치에서 가스 분배 유닛 (115) 의 상부 표면 상의 플로우 제어 플레이트 (401) 의 배치는, 플로우 제어 플레이트 (401) 내의 홀들의 복수의 패턴들 중 특정 하나와 가스 분배 유닛 (115) 내의 쓰루홀들 (117) 의 그 대응 세트와의 정렬에 대응한다. 플로우 제어 플레이트 (401) 를 통해 연장하는 홀들의 복수의 패턴들의 각각은 가스 분배 유닛 (115) 내에서 쓰루홀들 (117) 의 상이한 개수 또는 상이한 공간 패턴을 노출시키도록 정의된다. 이에 따라, 가스 분배 유닛 (115) 을 통한 유체 배출은 가스 분배 유닛 (115) 의 상부 표면에 관하여 특정 회전 위치에서 플로우 제어 플레이트 (401) 를 설정함으로써 제어될 수 있다.
도 4b는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 (405) 패턴이 하부 가스 분배 유닛 (115) 내에 정의된 모든 쓰루홀들 (117) 을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트 (401) 의 상면도를 나타낸다. 도 4c는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 (405) 패턴이 하부의 가스 분배 유닛 (115) 내에 정의된 쓰루홀들 (117) 의 단지 일 부분만을 통한 플로우를 허용하도록 위치된 플로우 제어 플레이트 (401) 의 상면도를 나타낸다. 또한, 다른 실시형태에 있어서, 플로우 제어 플레이트 (401) 에서의 홀들 (405) 의 복수의 패턴들은 가스 분배 유닛 (115) 을 통한 유체 배출 플로우의 상이한 공간 패턴들을 제공하도록 정의된다.
도 4d는 본 발명의 일 실시형태에 따라, 다수의 동심 회전가능한 플로우 제어 플레이트들 (407A, 407B, 407C) 에 의해 정의된 플로우 제어 플레이트 어셈블리 (401A) 의 상면도를 나타낸다. 각각의 동심 회전가능한 플로우 제어 플레이트 (407A, 407B, 407C) 는, 가스 분배 유닛 (115) 내에서 쓰루홀들 (117) 이 개방 또는 폐쇄되는 센터 투 에지 제어를 제공하도록 독립적으로 설정될 수 있다. 구체적으로, 플로우 제어 플레이트 어셈블리 (401A) 는 가스 분배 유닛 (115) 의 상부 표면 상에서 동심 방식으로 배치된 동심 디스크 (407A) 및 다수의 동심 링들 (407B/407C) 을 포함한다. 도 4d의 특정 구성이 예시로서 제공됨을 이해해야 한다. 다른 실시형태들은 도 4d에 나타낸 것과 상이한 수의 동심의 회전 가능한 제어 플레이트들을 포함한다.
각각의 동심 디스크 (407A) 및 다수의 동심 링들 (407B/407C) 은 거기를 통해 연장하는 홀들의 복수의 패턴들을 각각 포함한다. 각각의 홀들의 복수의 패턴은 가스 분배 유닛 (115) 내에서 상이한 세트의 쓰루홀들 (117) 과 정렬하여, 가스 분배 유닛 (115) 의 상부 표면에 관하여 특정 회전 위치에서 가스 분배 유닛 (115) 의 상부 표면 상의 각각의 동심 디스크 (407A) 및 동심 링들 (407B/407C) 의 배치가, 홀들의 복수의 패턴들 중 특정 하나와 가스 분배 유닛 (115) 내의 쓰루홀들 (117) 의 그 대응 세트와의 정렬에 대응한다. 동심 디스크 (407A) 및 동심 링들 (407B/407C) 을 통해 연장하는 홀들의 복수의 패턴들의 각각은 가스 분배 유닛 (115) 내에서 쓰루홀들 (117) 의 상이한 개수 또는 상이한 공간 패턴을 노출시키도록 정의된다.
플라즈마 발생 체적 (109) 이 한정된 플라즈마를 포함하도록 크기가 정해짐을 이해해야 한다. 한정된 플라즈마는 그 상주 시간이 플라즈마 영역 내의, 즉 플라즈마 발생 체적 (109) 내의, 체적, 압력 및 플로우를 제어함으로써 제어될 수 있다는 점에서 이롭다. 플라즈마 상주 시간은 해리 프로세스에 영향을 미치며, 이는 라디칼/뉴트론 형성에서의 인자이다. 또한, 플라즈마 상주 시간은 웨이퍼 (113) 상에서 발생하는 성막 또는 식각의 양에 영향을 미치며, 이는 원자층 성막 또는 원자층 식각과 같은 짧은 상주 시간 프로세스들을 수행하는데 있어서 중요한 인자이다. 플라즈마 발생 체적 (109) 은 작으며, 압력 및 온도에 관하여 잘 제어된다. 다양한 실시형태들에 있어서, 낮은 플라즈마 프로세싱 체적 (109) 내의 압력은, 약 5 mTorr 내지 약 100 mTorr, 또는 약 10 mTorr 내지 약 30 mTorr, 또는 약 100 mTorr 내지 약 1 Torr, 또는 약 200 mTorr 내지 약 600 mTorr로 연장하는 범위 내에서 제어될 수 있다.
가스 분배 유닛 (115) 에 의해 제공되는 듀얼 축 플라즈마 프로세스 가스 입력 및 배출은 웨이퍼 (113) 에 걸쳐 정확한 압력 균일성 제어를 가능하게 하며, 이는 웨이퍼 (113) 에 걸쳐 방사상 압력 분포를 야기하는, 방사상과는 대조적으로 가스들이 수직으로 펌핑 아웃된다. 또한, 듀얼 축 플라즈마 프로세스 가스 입력 및 배출은, 짧은 플라즈마 상주 시간, 예를 들어 밀리초 미만이 요구되는 원자층 성막 또는 원자층 식각과 같은 로우 플로우 애플리케이션들에서의 상주 시간의 정확한 제어를 허용한다.
도 5는 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 방법의 플로우챠트를 나타낸다. 방법은 가스 분배 유닛에 대해 실질적으로 평행 배향으로 반도체 웨이퍼를 홀딩하는 동작 (501) 을 포함하여, 반도체 웨이퍼와 가스 분배 유닛 사이에 플라즈마 프로세싱 체적이 형성된다. 일 실시형태에 있어서, 가스 분배 유닛은 플라즈마 프로세싱 체적의 전체 상부에서 연장하는 플레이트로서 정의된다. 또한, 일 실시형태에 있어서, 반도체 웨이퍼는 척의 상부 표면 상에 홀딩된다. 방법은 또한 반도체 웨이퍼에 대해 실질적으로 수직인 방향으로 가스 분배 유닛 내로부터 플라즈마 프로세싱 체적 내부로 플라즈마 프로세싱 가스를 플로우하는 동작 (503) 을 포함한다. 부가적으로, 동작 (505) 은 반도체 웨이퍼에 대해 실질적으로 수직인 방향으로 플라즈마 프로세싱 체적 내로부터 가스 분배 유닛을 통해 플라즈마 프로세싱 가스의 배출 플로우를 지향시키도록 수행된다. 가스 분배 유닛을 통한 플라즈마 프로세싱 가스의 배출 플로우는 플라즈마 프로세싱 체적 내로부터의 플라즈마 프로세싱 가스의 유일한 배출 플로우이다.
방법은 반도체 웨이퍼에 대한 노출시 플라즈마 프로세싱 가스를 플라즈마로 변형하기 위해 플라즈마 프로세싱 체적에 RF 전력을 전송하는 동작 (507) 을 더 포함한다. 일 실시형태에 있어서, 반도체 웨이퍼를 홀딩하는 척은 플라즈마 프로세싱 체적에 RF 전력을 전송하기 위해 전극으로서 동작된다. 또한, 이 방법에 있어서, 가스 분배 유닛으로부터의 플라즈마 프로세싱 가스의 배출 플로우는 배출 영역 내부로 수용된다. 펌프는 배출 영역에 유체적으로 연결된 밸브에 흡입력을 제공하도록 동작된다. 그리고, 밸브는 배출 영역으로부터의 배출 플로우를 제어하도록 동작되고, 이로써 가스 분배 유닛을 통한 플라즈마 발생 체적으로부터 배출 영역으로의 배출 플로우를 제어한다.
일 실시형태에 있어서, 동작 (503) 은 가스 분배 유닛 내의 복수의 독립적으로 제어가능한 가스 공급 존들로부터 플라즈마 프로세싱 체적 내부로 플라즈마 프로세싱 가스를 플로우하는 것을 포함한다. 본 실시형태에 있어서, 복수의 가스 공급 존들 각각을 통한 플라즈마 프로세싱 가스의 각각의 플로우 레이트들은 반도체 웨이퍼에 걸친 플라즈마 밀도 제어를 가능하게 하도록 제어된다. 또한, 본 실시형태의 일 구현에 있어서, 복수의 독립적으로 제어가능한 가스 공급 존들은 가스 분배 유닛에 걸쳐 동심으로 정의된다. 부가적으로, 일 실시형태에 있어서, 가스 분배 유닛 내로부터 플라즈마 프로세싱 체적 내부로 플라즈마 프로세싱 가스를 플로우하는 것, 및 가스 분배 유닛을 통해 플라즈마 프로세싱 체적 내로부터 플라즈마 프로세싱 가스의 배출 플로우를 지향시키는 것은, 반도체 웨이퍼에 대한 노출 시 플라즈마의 상주 시간을 제어하기 위해 펄스형 방식으로 수행된다.
본 발명은 몇몇 실시형태들에 의해 설명되었지만, 당업자는 앞의 명세서를 읽고 도면들을 연구하면 발명의 다양한 변경물들, 부가물들, 치환물들 및 등가물들을 실현하게 된다는 것을 이해할 것이다. 이에 따라, 본 발명은 발명의 진정한 사상 및 범위 내에 포함된 모든 이러한 변경물들, 부가물들, 치환물들 및 등가물들을 포함한다.
Claims (21)
- 반도체 웨이퍼 프로세싱 장치로서,
플라즈마 발생 체적에 노출된 전극을 포함하는 척으로서, 상기 전극은 상기 플라즈마 발생 체적에 무선주파수 (RF) 전력을 전송하기 위해 정의되고 (define), 상기 전극은 상기 플라즈마 발생 체적으로의 노출 시 기판을 홀딩하도록 (hold) 정의된 상면을 갖고, 상기 척은 상기 전극의 상단면의 주변을 둘러싸는 상단면을 가지는, 상기 척;
상기 플라즈마 발생 체적 위에 상기 전극에 대하여 실질적으로 평행 배향으로 배치된 가스 분배 유닛으로서, 상기 가스 분배 유닛은 상기 전극의 상면에 실질적으로 수직인 방향으로 상기 플라즈마 발생 체적 내부로 플라즈마 프로세스 가스의 입력 플로우를 지향시키도록 정의된 가스 공급 포트들의 배열을 포함하도록 정의되고, 상기 가스 분배 유닛은 또한 상기 플라즈마 발생 체적을 배출 영역에 유체적으로 (fluidly) 연결하도록 상기 가스 분배 유닛을 통해 각각 연장하는 쓰루홀들의 배열을 포함하도록 정의되고, 상기 쓰루홀들의 각각이 상기 전극의 상면에 대해 실질적으로 수직인 방향으로 상기 플라즈마 발생 체적으로부터 상기 플라즈마 프로세스 가스의 배출 플로우를 지향시키도록 정의되며, 상기 가스 분배 유닛은 상기 플라즈마 발생 체적을 상기 배출 영역으로부터 분리시키도록 형성된 플레이트로 정의되고, 상기 가스 공급 포트들의 상기 배열 내의 가스 공급 포트들 각각은 상기 플라즈마 발생 체적에 플라즈마 프로세스 가스의 분배를 제공하도록 상기 플레이트의 하면에 정의되는, 상기 가스 분배 유닛; 및
상단면과 하단면을 가지고 상기 상단면과 상기 하단면 사이에서 고체 형태로 연장되도록 형성된 외주 구조로서, 상기 외주 구조의 상기 하단면은 상기 척의 상기 상단면 상에 배치되고, 상기 가스 분배 유닛은 상기 외주 구조의 상기 상단면 상에 배치되고, 상기 외주 구조는, 끊김 없는 유체 시일이 상기 외주 구조의 상기 하단면과 상기 플라즈마 발생 체적의 주변 주위의 상기 척의 상기 상단면 사이에 존재하고, 끊김 없는 유체 시일이 상기 외주 구조의 상기 상단면과 상기 플라즈마 발생 체적의 상기 주변 주위의 상기 가스 분배 유닛 사이에 존재하도록, 상기 플라즈마 발생 체적의 상기 주변을 둘러싸고 인클로징하게 정의되는, 상기 외주 구조를 포함하는, 반도체 웨이퍼 프로세싱 장치. - 제 1 항에 있어서,
상기 전극은 상기 플라즈마 발생 체적의 하면을 형성하고,
상기 플레이트의 상기 하면이 상기 플라즈마 발생 체적의 상부 경계를 제공하는, 반도체 웨이퍼 프로세싱 장치. - 제 1 항에 있어서,
상기 가스 분배 유닛은 도전성 재료로 형성되고, 상기 가스 분배 유닛이 상기 플라즈마 발생 체적에 대한 접지 전극을 제공하도록 기준 접지 전위에 전기적으로 접속되는, 반도체 웨이퍼 프로세싱 장치. - 제 1 항에 있어서,
상기 전극은, 상기 전극 및 상기 가스 분배 유닛 양자에 대해 수직으로 상기 플라즈마 발생 체적에 걸쳐 연장하는 거리의 제어를 제공하기 위해 상기 가스 분배 유닛 쪽의 방향으로 상기 가스 분배 유닛과 멀어지게 이동가능한, 반도체 웨이퍼 프로세싱 장치. - 제 1 항에 있어서,
상기 배출 영역을 형성하기 위해 상기 가스 분배 유닛 위에 배치된 배출 매니폴드;
상기 배출 매니폴드에 유체적으로 연결된 밸브; 및
상기 밸브에 흡입력을 제공하기 위해 상기 밸브에 유체적으로 연결된 펌프를 더 포함하고,
상기 밸브는 상기 가스 분배 유닛을 통해 상기 플라즈마 발생 체적으로부터 배출 플로우를 제어하도록 동작가능한, 반도체 웨이퍼 프로세싱 장치. - 제 1 항에 있어서,
챔버의 내부 캐비티 내에서 상기 가스 분배 유닛 및 상기 전극을 인클로징하도록 정의된 상기 챔버로서, 상기 챔버의 상기 내부 캐비티가 상기 배출 영역을 형성하는, 상기 챔버;
상기 챔버의 상기 내부 캐비티에 흡입력을 제공하기 위해 상기 챔버의 상기 내부 캐비티에 유체적으로 연결된 펌프; 및
상기 펌프에 의해 제공된 상기 흡입력에 기인하여 상기 챔버의 상기 내부 캐비티로부터 유체 플로우를 제어하도록 배치된 밸브를 더 포함하는, 반도체 웨이퍼 프로세싱 장치. - 반도체 웨이퍼 프로세싱을 위한 시스템으로서,
내부 캐비티를 갖도록 정의된 챔버;
상기 챔버의 상기 내부 캐비티 내에 배치된 척으로서, 플라즈마 발생 체적에 대한 노출시 기판을 홀딩하도록 정의된 상면을 갖고, 상기 플라즈마 발생 체적에 무선주파수 (RF) 전력을 공급하도록 정의된, 상기 척;
끊김 없는 유체 시일이 외주 구조와 상기 플라즈마 발생 체적의 주변 주위의 상기 척 사이에 존재하도록 상기 플라즈마 발생 체적의 상기 주변을 둘러싸고 인클로징하도록 정의되고 상기 척 상에 배치된, 상기 외주 구조;
끊김 없는 유체 시일이 상기 외주 구조와 상기 플라즈마 발생 체적의 상기 주변 주위의 가스 분배 유닛 사이에 존재하도록 상기 외주 구조 상에 배치되고, 상기 척의 상면에 대해 실질적으로 평행 관계로 상기 플라즈마 발생 체적에 걸쳐 연장하도록 정의된 가스 분배 유닛으로서, 상기 플라즈마 발생 체적 내부로 플라즈마 프로세스 가스의 입력 플로우를 지향하도록 정의된 가스 공급 포트들의 배열을 포함하도록 정의되고, 또한 쓰루홀들의 배열을 포함하도록 정의된, 상기 가스 분배 유닛;
상기 쓰루홀들의 각각은 상기 플라즈마 발생 체적을 배출 영역에 유체적으로 연결하도록 상기 가스 분배 유닛을 통해 연장하는 상기 가스 분배 유닛 위의 상기 챔버 내부에 정의된 상기 배출 영역으로서, 상기 쓰루홀들의 상기 배열은 상기 플라즈마 발생 체적으로부터 가스들을 배출하기 위한 유일한 수단인, 상기 배출 영역; 및
상기 배출 영역으로부터 가스들을 제거하도록 상기 배출 영역에 유체적으로 연결된 펌프를 포함하는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 7 항에 있어서,
상기 가스 공급 포트들의 각각은 상기 척의 상면에 실질적으로 수직인 방향으로 상기 플라즈마 발생 체적 내부로 상기 플라즈마 프로세스 가스의 입력 플로우를 지향하도록 정의되고,
상기 쓰루홀들의 각각은 상기 척의 상기 상면에 실질적으로 수직인 방향으로 상기 플라즈마 발생 체적으로부터 상기 플라즈마 프로세스 가스의 배출 플로우를 지향하도록 정의되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 7 항에 있어서,
상기 가스 분배 유닛은 도전성 재료로 형성되고, 상기 가스 분배 유닛이 상기 플라즈마 발생 체적에 대한 접지 전극을 제공하도록 기준 접지 전위에 전기적으로 접속되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 7 항에 있어서,
상기 척은 상기 척의 상기 상면 및 상기 가스 분배 유닛의 양자에 대해 수직으로 상기 플라즈마 발생 체적에 걸쳐 연장하는 거리의 제어를 제공하기 위해 상기 가스 분배 유닛 쪽 방향으로 상기 가스 분배 유닛과 멀어지게 이동가능한, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 1 항에 있어서,
상기 가스 분배 유닛의 상면 상에 배치된 플로우 제어 플레이트로서, 상기 플로우 제어 플레이트는 주어진 시간에 상기 쓰루홀들 중 어느 것이 폐쇄되는지와 상기 쓰루홀들 중 어느 것이 상기 플라즈마 발생 체적으로부터 상기 플라즈마 프로세스 가스의 상기 배출 플로우를 지향시키도록 노출되는지를 제어하도록 정의되는, 상기 플로우 제어 플레이트를 더 포함하는, 반도체 웨이퍼 프로세싱 장치. - 제 11 항에 있어서,
홀들의 복수의 패턴들 각각이 상기 가스 분배 유닛의 상기 상면에 대해 디스크의 대응하는 회전 위치에서 상기 쓰루홀들의 서로 다른 세트와 정렬하도록, 상기 플로우 제어 플레이트는 상기 디스크를 통해 정의되는 상기 홀들의 복수의 패턴들을 가지는 상기 디스크인, 반도체 웨이퍼 프로세싱 장치. - 제 12 항에 있어서,
상기 홀들의 복수의 패턴들 각각은 상기 가스 분배 유닛 내에서 상기 쓰루홀들의 상이한 개수 또는 상이한 공간 패턴을 노출시키도록 정의되는, 반도체 웨이퍼 프로세싱 장치. - 제 7 항에 있어서,
상기 가스 분배 유닛의 상면 상에 배치된 플로우 제어 플레이트로서, 상기 플로우 제어 플레이트는 주어진 시간에 상기 쓰루홀들 중 어느 것이 폐쇄되는지와 상기 쓰루홀들 중 어느 것이 상기 플라즈마 발생 체적을 상기 배출 영역에 유체적으로 연결하도록 노출되는지를 제어하도록 정의되는, 상기 플로우 제어 플레이트를 더 포함하는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 14 항에 있어서,
홀들의 복수의 패턴들 각각이 상기 가스 분배 유닛의 상기 상면에 대해 디스크의 대응하는 회전 위치에서 상기 쓰루홀들의 서로 다른 세트와 정렬하도록, 상기 플로우 제어 플레이트는 상기 디스크를 통해 정의되는 상기 홀들의 복수의 패턴들을 가지는 상기 디스크인, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 15 항에 있어서,
상기 홀들의 복수의 패턴들 각각은 상기 가스 분배 유닛 내에서 상기 쓰루홀들의 상이한 개수 또는 상이한 공간 패턴을 노출시키도록 정의되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 1 항에 있어서,
쓰루홀들 각각이 상기 플레이트의 상기 하면의 일부분만큼 인접한 가스 공급 포트들로부터 이격되도록, 상기 쓰루홀들의 상기 배열의 쓰루홀들 각각은 상기 플레이트의 상기 하면에 정의되는, 반도체 웨이퍼 프로세싱 장치. - 제 7 항에 있어서,
상기 가스 분배 유닛은 상기 플라즈마 발생 체적을 상기 배출 영역으로부터 분리시키도록 형성된 플레이트로 정의되고, 상기 가스 공급 포트들의 상기 배열 내의 가스 공급 포트들 각각은 상기 플라즈마 발생 체적에 플라즈마 프로세스 가스의 분배를 제공하도록 확산기 형상을 가지는 상기 플레이트의 하면에 정의되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 18 항에 있어서,
쓰루홀들 각각이 상기 플레이트의 상기 하면의 일부분만큼 인접한 가스 공급 포트들로부터 이격되도록, 상기 쓰루홀들의 상기 배열의 쓰루홀들 각각은 상기 플레이트의 상기 하면에 정의되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 7 항에 있어서,
상기 가스 분배 유닛은 상기 가스 공급 포트들의 상기 배열에 유체적으로 연결된 내부 가스 공급 채널들을 포함하고, 상기 내부 가스 공급 채널들은, 하나 이상의 환형 가스 공급 영역들 각각이 중심 가스 공급 영역을 둘러싸도록, 상기 가스 분배 유닛을 가로질러 상기 중심 가스 공급 영역에 대해 동심으로 정의되는 상기 하나 이상의 상기 환형 가스 공급 영역들과 상기 중심 가스 공급 영역을 포함하는 복수의 가스 공급 영역들로 상기 가스 공급 포트들의 상기 배열을 유체적으로 분리시키도록 정의되고,
상기 시스템은 상기 가스 공급 포트들의 상기 배열이 분리되는 상기 복수의 가스 공급 영역들의 수에 대응하는 수의 가스 플로우 제어 디바이스들을 포함하고, 상기 복수의 가스 공급 영역들 중 어느 하나의 가스 공급 포트 각각은 동일한 가스 플로우 제어 디바이스에 플럼되고, 상기 복수의 가스 공급 영역들 중 서로 다른 가스 공급 영역들의 가스 공급 포트들은 상기 복수의 가스 공급 영역들에 가스 플로우의 독립적인 제어를 제공하도록 서로 다른 가스 플로우 제어 디바이스들에 플럼되는, 반도체 웨이퍼 프로세싱을 위한 시스템. - 제 1 항에 있어서,
상기 가스 분배 유닛은 상기 가스 공급 포트들의 상기 배열에 유체적으로 연결된 내부 가스 공급 채널들을 포함하고, 상기 내부 가스 공급 채널들은, 하나 이상의 환형 가스 공급 영역들 각각이 중심 가스 공급 영역을 둘러싸도록, 상기 가스 분배 유닛을 가로질러 상기 중심 가스 공급 영역에 대해 동심으 정의되는 상기 하나 이상의 상기 환형 가스 공급 영역들과 상기 중심 가스 공급 영역을 포함하는 복수의 가스 공급 영역들로 상기 가스 공급 포트들의 상기 배열을 유체적으로 분리시키도록 정의되고, 상기 복수의 가스 공급 영역들 중 어느 하나의 가스 공급 포트 각각은 동일한 가스 플로우 제어 디바이스에 플럼되고, 상기 복수의 가스 공급 영역들 중 서로 다른 가스 공급 영역들의 가스 공급 포트들은 상기 복수의 가스 공급 영역들에 가스 플로우의 독립적인 제어를 제공하도록 서로 다른 가스 플로우 제어 디바이스들에 플럼되는, 반도체 웨이퍼 프로세싱 장치.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/850,552 | 2010-08-04 | ||
US12/850,552 US8869742B2 (en) | 2010-08-04 | 2010-08-04 | Plasma processing chamber with dual axial gas injection and exhaust |
PCT/US2011/041522 WO2012018448A2 (en) | 2010-08-04 | 2011-06-22 | Plasma processing chamber with dual axial gas injection and exhaust |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20130093110A KR20130093110A (ko) | 2013-08-21 |
KR101871521B1 true KR101871521B1 (ko) | 2018-08-02 |
Family
ID=45556459
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020137005615A KR101871521B1 (ko) | 2010-08-04 | 2011-06-22 | 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8869742B2 (ko) |
KR (1) | KR101871521B1 (ko) |
CN (2) | CN103053012B (ko) |
SG (2) | SG187256A1 (ko) |
TW (2) | TWI546857B (ko) |
WO (1) | WO2012018448A2 (ko) |
Families Citing this family (162)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
FI124414B (fi) * | 2010-04-30 | 2014-08-29 | Beneq Oy | Lähde ja järjestely substraatin käsittelemiseksi |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8883028B2 (en) * | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
US9447499B2 (en) * | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9255326B2 (en) | 2013-03-12 | 2016-02-09 | Novellus Systems, Inc. | Systems and methods for remote plasma atomic layer deposition |
US20140272135A1 (en) * | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company Limited | Deposition injection masking |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
JP6119408B2 (ja) * | 2013-05-09 | 2017-04-26 | ソニー株式会社 | 原子層堆積装置 |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
USD732093S1 (en) * | 2014-03-19 | 2015-06-16 | Veeco Ald Inc. | Gas tube assembly |
USD732092S1 (en) * | 2014-03-19 | 2015-06-16 | Veeco Ald Inc. | Gas injection plate |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
JP6001015B2 (ja) * | 2014-07-04 | 2016-10-05 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10760161B2 (en) * | 2014-09-05 | 2020-09-01 | Applied Materials, Inc. | Inject insert for EPI chamber |
US11060203B2 (en) | 2014-09-05 | 2021-07-13 | Applied Materials, Inc. | Liner for epi chamber |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
KR102638572B1 (ko) * | 2015-06-17 | 2024-02-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 프로세스 챔버 내의 가스 제어 |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) * | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
WO2017123589A1 (en) * | 2016-01-15 | 2017-07-20 | Mattson Technology, Inc. | Variable pattern separation grid for plasma chamber |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
TWI641016B (zh) * | 2016-06-28 | 2018-11-11 | 周業投資股份有限公司 | Upper electrode device |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
DE102016008775A1 (de) | 2016-07-22 | 2018-01-25 | Oliver Feddersen-Clausen | Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition |
US10872760B2 (en) * | 2016-07-26 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cluster tool and manufacuturing method of semiconductor structure using the same |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102410571B1 (ko) | 2016-12-09 | 2022-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 열적 원자층 식각 공정 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10964514B2 (en) * | 2017-10-17 | 2021-03-30 | Lam Research Corporation | Electrode for plasma processing chamber |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
WO2019113478A1 (en) | 2017-12-08 | 2019-06-13 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US11149350B2 (en) * | 2018-01-10 | 2021-10-19 | Asm Ip Holding B.V. | Shower plate structure for supplying carrier and dry gas |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
KR102577264B1 (ko) | 2018-04-20 | 2023-09-11 | 삼성전자주식회사 | 샤워헤드 및 기판 처리 장치 |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102576220B1 (ko) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | 박막 처리 장치 및 박막 처리 방법 |
JP7296699B2 (ja) * | 2018-07-02 | 2023-06-23 | 東京エレクトロン株式会社 | ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR20200015264A (ko) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | 웨이퍼 접합 방법 및 웨이퍼 접합 시스템 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111101117B (zh) * | 2018-10-29 | 2022-07-22 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
CN114678246A (zh) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法 |
EP4190938B1 (en) * | 2021-12-03 | 2024-03-06 | Semsysco GmbH | Distribution body for distributing a process gas for treating a substrate by means of the process gas |
WO2024091420A1 (en) * | 2022-10-24 | 2024-05-02 | Lam Research Corporation | Showerhead with three plenums |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100392318B1 (ko) | 1997-09-19 | 2003-11-28 | 인터내셔널 비지네스 머신즈 코포레이션 | 대구경 웨이퍼를 위한 공간적으로 균일한 가스 공급 및 펌프 구성 |
JP2007239103A (ja) | 2006-03-08 | 2007-09-20 | Tokyo Electron Ltd | 処理システムのためのシーリングのデバイスおよび方法 |
CN101540273A (zh) | 2008-03-19 | 2009-09-23 | 东京毅力科创株式会社 | 喷淋头以及基板处理装置 |
Family Cites Families (100)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2610556C2 (de) * | 1976-03-12 | 1978-02-02 | Siemens AG, 1000 Berlin und 8000 München | Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt |
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4579618A (en) * | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
JPS60198822A (ja) * | 1984-03-23 | 1985-10-08 | Anelva Corp | ドライエツチング装置 |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US5246526A (en) * | 1989-06-29 | 1993-09-21 | Hitachi, Ltd. | Surface treatment apparatus |
DE4011933C2 (de) * | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
DE4025396A1 (de) * | 1990-08-10 | 1992-02-13 | Leybold Ag | Einrichtung fuer die herstellung eines plasmas |
JP2987663B2 (ja) * | 1992-03-10 | 1999-12-06 | 株式会社日立製作所 | 基板処理装置 |
US5248371A (en) | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
US5487785A (en) * | 1993-03-26 | 1996-01-30 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US6200389B1 (en) * | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
US5891350A (en) * | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
EP0756309A1 (en) | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
US5614026A (en) * | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
JP3360265B2 (ja) | 1996-04-26 | 2002-12-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
JP3317209B2 (ja) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US6074488A (en) | 1997-09-16 | 2000-06-13 | Applied Materials, Inc | Plasma chamber support having an electrically coupled collar ring |
US6020458A (en) | 1997-10-24 | 2000-02-01 | Quester Technology, Inc. | Precursors for making low dielectric constant materials with improved thermal stability |
KR100505310B1 (ko) | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6565661B1 (en) * | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
EP1214459B1 (en) * | 1999-08-17 | 2009-01-07 | Tokyo Electron Limited | Pulsed plasma processing method and apparatus |
JP4487338B2 (ja) * | 1999-08-31 | 2010-06-23 | 東京エレクトロン株式会社 | 成膜処理装置及び成膜処理方法 |
US6261408B1 (en) | 2000-02-16 | 2001-07-17 | Applied Materials, Inc. | Method and apparatus for semiconductor processing chamber pressure control |
JP3578398B2 (ja) * | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | 成膜用ガス分散プレート及びその製造方法 |
AU2001288225A1 (en) * | 2000-07-24 | 2002-02-05 | The University Of Maryland College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
WO2002058126A1 (fr) * | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement |
US6886491B2 (en) * | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
US20030000924A1 (en) * | 2001-06-29 | 2003-01-02 | Tokyo Electron Limited | Apparatus and method of gas injection sequencing |
DE10153463A1 (de) * | 2001-10-30 | 2003-05-15 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
TWI272877B (en) | 2001-12-13 | 2007-02-01 | Tokyo Electron Ltd | Ring mechanism, and plasma processing device using the ring mechanism |
US20040025791A1 (en) | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
KR100465877B1 (ko) * | 2002-08-23 | 2005-01-13 | 삼성전자주식회사 | 반도체 식각 장치 |
US6963043B2 (en) * | 2002-08-28 | 2005-11-08 | Tokyo Electron Limited | Asymmetrical focus ring |
US6821563B2 (en) * | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040082251A1 (en) * | 2002-10-29 | 2004-04-29 | Applied Materials, Inc. | Apparatus for adjustable gas distribution for semiconductor substrate processing |
TW589396B (en) * | 2003-01-07 | 2004-06-01 | Arima Optoelectronics Corp | Chemical vapor deposition reactor |
US7316761B2 (en) | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
WO2004088729A1 (en) | 2003-03-26 | 2004-10-14 | Tokyo Electron Limited | Chemical processing system and method |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US7845309B2 (en) * | 2004-07-13 | 2010-12-07 | Nordson Corporation | Ultra high speed uniform plasma processing system |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US8366829B2 (en) | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US20070042131A1 (en) | 2005-08-22 | 2007-02-22 | Applied Materials, Inc., A Delaware Corporation | Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films |
JP4700441B2 (ja) | 2005-08-29 | 2011-06-15 | 東ソー・クォーツ株式会社 | 斜め貫通孔を有する開口体の製造方法 |
JP2007088199A (ja) | 2005-09-22 | 2007-04-05 | Canon Inc | 処理装置 |
US7718030B2 (en) * | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
KR100752622B1 (ko) | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
US8187415B2 (en) * | 2006-04-21 | 2012-05-29 | Applied Materials, Inc. | Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone |
US8231799B2 (en) * | 2006-04-28 | 2012-07-31 | Applied Materials, Inc. | Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone |
US7837826B2 (en) | 2006-07-18 | 2010-11-23 | Lam Research Corporation | Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof |
US8187679B2 (en) * | 2006-07-29 | 2012-05-29 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
US7416677B2 (en) * | 2006-08-11 | 2008-08-26 | Tokyo Electron Limited | Exhaust assembly for plasma processing system and method |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US8262847B2 (en) | 2006-12-29 | 2012-09-11 | Lam Research Corporation | Plasma-enhanced substrate processing method and apparatus |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US8123902B2 (en) | 2007-03-21 | 2012-02-28 | Applied Materials, Inc. | Gas flow diffuser |
US8216419B2 (en) * | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
KR100888652B1 (ko) | 2007-08-14 | 2009-03-13 | 세메스 주식회사 | 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치 |
JP5194125B2 (ja) * | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
JP5192214B2 (ja) * | 2007-11-02 | 2013-05-08 | 東京エレクトロン株式会社 | ガス供給装置、基板処理装置および基板処理方法 |
US8282735B2 (en) * | 2007-11-27 | 2012-10-09 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US8066895B2 (en) | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
US8075728B2 (en) * | 2008-02-28 | 2011-12-13 | Applied Materials, Inc. | Gas flow equalizer plate suitable for use in a substrate process chamber |
JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
EP2249372B1 (en) | 2008-03-20 | 2013-01-02 | Ruhr-Universität Bochum | Method for controlling ion energy in radio frequency plasmas |
KR100982842B1 (ko) | 2008-04-25 | 2010-09-16 | 주식회사 케이씨텍 | 원자층 증착 장치 |
JP5102706B2 (ja) * | 2008-06-23 | 2012-12-19 | 東京エレクトロン株式会社 | バッフル板及び基板処理装置 |
US8540844B2 (en) * | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
JP5221421B2 (ja) * | 2009-03-10 | 2013-06-26 | 東京エレクトロン株式会社 | シャワーヘッド及びプラズマ処理装置 |
JP5248370B2 (ja) * | 2009-03-10 | 2013-07-31 | 東京エレクトロン株式会社 | シャワーヘッド及びプラズマ処理装置 |
JP5323628B2 (ja) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5367522B2 (ja) * | 2009-09-24 | 2013-12-11 | 東京エレクトロン株式会社 | プラズマ処理装置及びシャワーヘッド |
JP5432686B2 (ja) * | 2009-12-03 | 2014-03-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5444044B2 (ja) * | 2010-03-02 | 2014-03-19 | 東京エレクトロン株式会社 | プラズマ処理装置及びシャワーヘッド |
JP5591585B2 (ja) * | 2010-05-17 | 2014-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9728429B2 (en) * | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US9184028B2 (en) * | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9175393B1 (en) * | 2011-08-31 | 2015-11-03 | Alta Devices, Inc. | Tiled showerhead for a semiconductor chemical vapor deposition reactor |
US20140023794A1 (en) * | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
JP5939147B2 (ja) * | 2012-12-14 | 2016-06-22 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置及び成膜方法 |
JP5971144B2 (ja) * | 2013-02-06 | 2016-08-17 | 東京エレクトロン株式会社 | 基板処理装置及び成膜方法 |
JP6330623B2 (ja) * | 2014-10-31 | 2018-05-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
-
2010
- 2010-08-04 US US12/850,552 patent/US8869742B2/en active Active
-
2011
- 2011-06-22 CN CN201180038241.XA patent/CN103053012B/zh active Active
- 2011-06-22 KR KR1020137005615A patent/KR101871521B1/ko active IP Right Grant
- 2011-06-22 WO PCT/US2011/041522 patent/WO2012018448A2/en active Application Filing
- 2011-06-22 SG SG2013007901A patent/SG187256A1/en unknown
- 2011-06-22 SG SG10201506065YA patent/SG10201506065YA/en unknown
- 2011-06-22 CN CN201610168495.8A patent/CN105845535B/zh active Active
- 2011-07-14 TW TW100125009A patent/TWI546857B/zh active
- 2011-07-14 TW TW105115668A patent/TWI609425B/zh not_active IP Right Cessation
-
2014
- 2014-09-19 US US14/491,531 patent/US9793128B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100392318B1 (ko) | 1997-09-19 | 2003-11-28 | 인터내셔널 비지네스 머신즈 코포레이션 | 대구경 웨이퍼를 위한 공간적으로 균일한 가스 공급 및 펌프 구성 |
JP2007239103A (ja) | 2006-03-08 | 2007-09-20 | Tokyo Electron Ltd | 処理システムのためのシーリングのデバイスおよび方法 |
CN101540273A (zh) | 2008-03-19 | 2009-09-23 | 东京毅力科创株式会社 | 喷淋头以及基板处理装置 |
Also Published As
Publication number | Publication date |
---|---|
SG187256A1 (en) | 2013-03-28 |
WO2012018448A3 (en) | 2012-04-05 |
US20120034786A1 (en) | 2012-02-09 |
TWI609425B (zh) | 2017-12-21 |
WO2012018448A2 (en) | 2012-02-09 |
US9793128B2 (en) | 2017-10-17 |
CN105845535B (zh) | 2017-12-26 |
SG10201506065YA (en) | 2015-09-29 |
CN103053012B (zh) | 2016-04-20 |
US20150004793A1 (en) | 2015-01-01 |
KR20130093110A (ko) | 2013-08-21 |
CN103053012A (zh) | 2013-04-17 |
CN105845535A (zh) | 2016-08-10 |
TWI546857B (zh) | 2016-08-21 |
US8869742B2 (en) | 2014-10-28 |
TW201214557A (en) | 2012-04-01 |
TW201630072A (zh) | 2016-08-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101871521B1 (ko) | 듀얼 축 가스 주입 및 배출을 갖는 플라즈마 프로세싱 챔버 | |
JP6110540B2 (ja) | 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法 | |
KR102594473B1 (ko) | 내장형 rf 차폐부를 갖는 반도체 기판 지지부들 | |
US6974523B2 (en) | Hollow anode plasma reactor and method | |
CN110383450B (zh) | 处理腔室的排放模块、处理腔室及其中处理基板的方法 | |
CN110914954B (zh) | 斜面蚀刻轮廓控制 | |
JP2022511063A (ja) | 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック | |
US8980046B2 (en) | Semiconductor processing system with source for decoupled ion and radical control | |
JP7349832B2 (ja) | セラミックハイブリッド絶縁プレート | |
KR100884414B1 (ko) | 애노드형 플라즈마 반응기 및 방법 | |
KR20070036274A (ko) | 상부 전극 어셈블리 및 이를 이용한 플라즈마 처리 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |