CN103053012A - 具有双轴向气体注入和排放的等离子体处理室 - Google Patents

具有双轴向气体注入和排放的等离子体处理室 Download PDF

Info

Publication number
CN103053012A
CN103053012A CN201180038241XA CN201180038241A CN103053012A CN 103053012 A CN103053012 A CN 103053012A CN 201180038241X A CN201180038241X A CN 201180038241XA CN 201180038241 A CN201180038241 A CN 201180038241A CN 103053012 A CN103053012 A CN 103053012A
Authority
CN
China
Prior art keywords
distribution member
gas distribution
gas
plasma
plasma generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180038241XA
Other languages
English (en)
Other versions
CN103053012B (zh
Inventor
拉金德·丁德萨
阿列克谢·马拉哈托夫
安德鲁·D·贝利三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201610168495.8A priority Critical patent/CN105845535B/zh
Publication of CN103053012A publication Critical patent/CN103053012A/zh
Application granted granted Critical
Publication of CN103053012B publication Critical patent/CN103053012B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种电极被暴露于等离子体产生容积,并被限定为将射频功率传输到该等离子体产生容积,且包括用于保持暴露于该等离子体产生容积的衬底的上表面。气体分配单元被布置在该等离子体产生容积的上方,且在与该电极基本平行的方向上。该气体分配单元包括用于将等离子体处理气体的输入流沿基本垂直于该电极的上表面的方向上引导到该等离子体产生容积的气体供给端口的布置。该气体分配单元还包括每个延伸通过该气体分配单元以将该等离子体产生容积与排放区域流体连接的通孔的布置。该通孔中的每一个将来自等离子体产生容积的等离子体处理气体的排放流沿着基本上垂直于该电极的上表面的方向引导。

Description

具有双轴向气体注入和排放的等离子体处理室
背景技术
随着半导体特征尺寸不断变小,努力使半导体制造工艺跟上步伐。制造工艺中的一种类型涉及暴露半导体晶片于等离子体或其他形式的反应气体以在晶片上沉积材料,或从晶片上去除材料。更小的特征尺寸,要求更精确的材料沉积和蚀刻的控制,而这又需要对如何将晶片暴露于等离子体/反应气体进行更精确的控制。这些更精确的控制要求可包括在整个晶片的等离子体的均匀性的更精确的控制,跨过晶片的等离子体密度的更精确的控制,和/或对暴露给晶片的等离子体的驻留时间的更精确的控制,等等。在这种背景下,产生了本发明。
发明内容
在一个实施方式中,公开了半导体晶片处理装置,其包括电极和气体分配单元。该电极被暴露于等离子体产生容积(volume),并且被限定为传输射频(RF)功率给该等离子体产生容积。该电极具有限定为保持暴露于该等离子体产生容积的衬底的上表面。该气体分配单元被设置在等离子体产生容积的上方,且在与所述电极基本平行的方向上。该气体分配单元被限定为包括被限定为将等离子体处理气体的输入流沿着基本垂直于该电极的上表面的方向引导到该等离子体产生容积中的气体供给端口的布置。该气体分配单元被进一步限定为包括每个延伸通过该气体分配单元以将该等离子体产生容积与排放区域流体连接的通孔的布置。该通孔中的每一个被限定为将来自该等离子体产生容积的等离子体处理气体的排放流沿着基本上垂直于该电极的上表面的方向引导。
在另一个实施方式中,公开了用于半导体晶片处理的系统。该系统包括限定为具有内腔的室。该系统还包括布置在该室的所述内腔内的卡盘。该卡盘具有限定为保持暴露于等离子体产生容积中的衬底的上表面。而且,该卡盘被限定为提供RF功率给该等离子体产生容积。该系统还包括设置在卡盘上,并限定为围绕和包围该等离子体产生容积的周边的外周结构。该系统进一步包括气体分配单元,其设置在该外周结构上并被限定为以与该卡盘的该上表面基本上平行的关系延伸穿过该等离子体产生容积。该气体分配单元被限定为包括限定为引导等离子体处理气体的输入流进入等离子体产生容积的气体供给端口的布置。该气体分配单元被进一步限定为包括通孔的布置。该系统还包括限定在室内的在该气体分配单元上方的排放区域,以便使得该通孔中的每一个延伸贯通该气体分配单元以流体连接该等离子体产生容积到该排放区域。该系统还包括流体连接到该排放区域以从该排放区域除去气体的泵。
在另一个实施方式中,公开了一种用于半导体晶片处理的方法。该方法包括在与气体分配单元基本平行的方向上保持半导体晶片以使得等离子体处理容积在该半导体晶片和该气体分配单元之间形成的操作。该方法还包括使等离子体处理气体从该气体分配单元内沿着基本垂直于该半导体晶片的方向流入该等离子体处理容积的操作。该方法进一步包括将等离子体处理气体排放流从该等离子体处理容积内沿基本垂直于所述半导体晶片的方向引导通过该气体分配单元的操作,从而使通过该气体分配单元的该离子体处理气体排放流是来自该等离子体处理容积内的唯一的该等离子体处理气体排放流。
本发明的其它方面和优点将通过下面以举例的方式阐述本发明的、结合附图进行的详述而变得更加明显。
附图说明
图1A示出了根据本发明的一个实施方式所述的半导体晶片处理装置;
图1B示出了根据本发明的一个实施方式所述的具有箭头示出通过该气体分配单元的气体流和排放流的图1A的室;
图2示出了根据本发明的一个实施方式所述的图1A的室的替代结构;
图3A示出了根据本发明的一个实施方式所述的气体分配单元的仰视图;
图3B示出了根据本发明的一个实施方式所述的气体分配单元的俯视图;
图3C示出了根据本发明的一个实施方式所述的气体供给端口的横截面;
图4A示出了根据本发明的一个实施方式所述的布置在气体分配单元的上表面上的流控制板;
图4B示出了根据本发明的一个实施方式所述的被定位成使得限定在其中的孔式样允许流通过限定在下伏的气体分配单元内的全部通孔的流控制板的俯视图;
图4C示出了根据本发明的一个实施方式所述的被定位成使得限定在其中的孔式样允许流仅通过限定在下伏的气体分配单元内的部分通孔的流控制板的仰视图;
图4D示出了根据本发明的一个实施方式所述的由多个同心的可旋转流控制板限定的流控制板组件的俯视图;以及
图5示出了根据本发明的一个实施方式所述的用于半导体晶片处理的方法的流程图。
具体实施方式
在下面的说明中,为了提供对本发明的全面理解,阐述了多个具体的细节。然而,对于本领域技术人员显而易见的是,可以不通过这些具体细节中的一些或全部来实施本发明。在其它情形下,为了避免不必要地使本发明变得不清楚,未详细描述公知的处理操作。
本文公开了一种半导体晶片处理装置,其使得等离子体的驻留时间和跨过整个晶片的均匀性能精确控制,从而实现需要快速和均匀的处理气体注入和泵出的晶片制造工艺。这些需要快速和均匀的处理气体注入和泵出的晶片制造工艺的示例包括,但不限于,原子层蚀刻和原子层沉积。
该装置包括布置在等离子体产生区域的上方的气体分配单元,被保持在静电卡盘上的晶片位于其下方且暴露于该等离子体产生区域。该气体分配单元被限定为将等离子体处理气体以基本均匀的方式向下朝着该晶片提供。该气体分配单元还被限定为以基本上均匀的方式从该晶片向上排放等离子体处理气体。因此,如在下面更详细描述的,所述气体分配单元提供轴向的气体注入和排放。
图1示出了根据本发明的一个实施方式的半导体晶片处理装置。该装置包括室100,室100由顶板100A、底板100B和壁100C形成。在一个实施方式中,壁100C形成了邻接的圆柱形壁100C。在其它实施方式中,壁100C可具有其它构造,只要室100的内腔100D能够与室100外部的外界环境隔离即可。多个密封件139布置在室顶板100A、底板100B和壁100C之间以有利于室100的内腔100D与外界环境的隔离。
在各个实施方式中,室100的顶板100A、底板100B和壁100C可由这样的金属形成:该金属具有良好的导热性和导电性,并且能够与在晶片处理期间暴露于内腔100D的处理气体化学兼容。例如,在各个实施方式中,诸如铝、不锈钢等金属可用于形成室100的构件。而且,密封件139可以是弹性密封件或消耗性(consumable)金属密封件,或任何其它类型的密封材料,只要密封件139能够与暴露于内腔100D的处理材料化学兼容并且密封件139提供内腔100D与室100外部的外界环境的充分隔离即可。
应当理解的是,在其它实施方式中,一个或多个额外的板或部件可根据需要布置在顶板100A、底板100B或壁100C中的任一个或多个的外部,以满足室100的特定部署条件或其它构思。另外,顶板100A、底板100B和/或壁100C能够适当地紧固到这些额外的板或部件上以适合于特定的实施方案。包括顶板100A、底板100B和壁100C的室100的结构由导电材料制成并且与基准接地电位电连接。
室100包括排放口135,排放口135提供内腔100D与外部的排放泵137之间的流体连接,使得负压能够通过排放口135施加以从内腔100D内移除气体和/或颗粒。在一个实施方式中,室100还包括闸阀102,闸阀102形成在室壁100C的剖面内以使能将晶片113插入到内腔100D中以及相应地将晶片113从内腔100D中移除。在其闭合状态,闸阀102被限定为保持内腔100D与外界环境的隔离。在各个实施方式中,排放泵137能够以不同的方式来实现,只要排放泵137能够在排放口135处施加抽吸力以从室100的内腔100D中抽出流体流即可。
等离子体处理装置被布置在室100的内腔100D内。该等离子体处理装置包括形成在卡盘107A/B和气体分配单元115之间的等离子体产生容积109。更具体地,等离子体产生容积109位于卡盘107A/B的上方和气体分配单元115的下方,卡盘107A/B的上表面和气体分配单元115的下表面布置在彼此基本平行的方向。外围结构构件108也被布置以包绕在气体分配单元115和卡盘107A/B的上表面之间的等离子体产生容积109的周边。
如上所述的,卡盘107A/B被布置在腔室100的内部腔室100D内,在等离子体产生容积109的下方。卡盘107A/B包括主体部分107A和电极部分107B。在一个实施方式中,卡盘主体107A是从室100的壁100C设置的悬臂。在一个实施方式中,卡盘107A/B是静电卡盘,具有被限定为传输RF功率给等离子体产生容积109的电极107B。卡盘107B的电极部分的上表面被限定为保持暴露于等离子体产生容积109的衬底113(即,晶片113)。在一个实施方式中,石英聚焦垫圈149被布置在卡盘107A的主体上且围绕卡盘107B上的衬底113接纳/保持区域的周边。卡盘107还被限定为包括冷却通道和/或加热元件的构造,从而使能进行衬底113和等离子体产生容积109的温度控制。
卡盘107A/B被限定为在内腔100D内如箭头123所指示地垂直地移动。通过这种方式,卡盘107A/B能够被降低以通过闸阀102接受/提供衬底113,并且能够被升高以形成等离子体产生容积109的下表面。而且,能够通过控制卡盘107B的垂直位置来设定和控制跨过等离子体产生容积109的垂直距离,垂直于卡盘107B和气体分配单元115测量得到该垂直距离。跨过等离子体产生容积109的垂直距离能够被设定以实现充分的中央到边缘的等离子体均匀性和密度,并且还能够被设定以避免通过流自气体分配单元115的气体供给端口119的气体的喷射流打印到晶片113上。在各个实施方式中,跨过等离子体产生容积109的垂直距离能够被设定在从大约1cm延伸到大约5cm的范围内。在一个实施方式中,跨过等离子体产生容积109的垂直距离能够被设定在约2cm。应当理解,跨过等离子体产生容积109的垂直距离被控制,以便能够快速排空等离子体产生容积109,并从而能够精确控制在等离子体产生容积109内等离子体的驻留时间。
卡盘107B的电极部分被限定为将RF功率从RF功率源111供给到等离子体产生容积109。应当理解的是,RF功率源111通过匹配网络连接以能将RF功率传输到卡盘107B的电极部分。如之前所讨论的,在一个实施方式中,气体分配单元115电气连接到基准接地电位,以便使气体分配单元115用作等离子体产生容积109的RF功率回路中的基准接地电极。
气体分配单元115被保持在等离子体产生容积109和周边结构构件108的上方的固定的位置处。气体分配单元115被限定为通过气体供给端口119的布置将等离子体处理气体提供到等离子体产生容积109。气体分配单元115被进一步限定为包括通孔117的布置,以提供从等离子体产生容积109的流体排放。通孔117中的每一个延伸贯通气体分配单元115的板,从该板上表面通到其下表面。
图1B示出了根据本发明的一个实施方式所述的具有示出通过气体分配单元115的气体流和排放流的箭头的图1A的室。正如图1A和1B所示的,等离子体处理气体从一个或多个等离子体处理气体供给源118A/118B被供给到气体分配单元115。等离子体处理气体流过气体分配单元115以及流出气体供给口119进入等离子体产生容积109。等离子体处理气体从等离子体产生容积109通过气体分配单元115的通孔117排放进入排放歧管103。在图1A和1B的实施方式中,等离子体产生容积109被密封,使得等离子体处理气体仅通过气体分配单元115的通孔117排放到排放歧管103。
在一个实施方式中,排放歧管103通过阀101连接到真空泵102。阀101可以被操作以使泵102与排放歧管103流体连接/流体断开,从而将排放歧管103内的压强能被释放到泵102,以使得在压强较高的等离子体产生容积109内的等离子体处理气体会流过气体分配单元115的通孔117,进入较低压强的排放歧管103,通过阀101,到泵102,以从等离子体产生容积109排放等离子体处理气体。
图2示出了根据本发明的一个实施方式的室100的替代的配置。在本实施方式中,气体分配单元115的通孔117与室100的内腔100D流体连通。在本实施方式中,等离子体处理气体通过气体分配单元115的通孔117从等离子体产生容积109直接排放进入室100的内腔100D。通过泵137,该室的内腔100D内的等离子体处理气体经由排放口135被去除。在本实施方式中,室100的内腔100D使用作为排放歧管。因此,图1A和1B的实施方式所述的外部结构构件104被除去。并且,顶板100A由不包括阀101以及与泵102的连接件的顶板100E替换。在本实施方式中,室100的内腔100D内的压强可相对于等离子体产生容积109内的压强进行控制,进而控制通过气体分配单元115的通孔117的等离子体处理气体排放流率。
应当理解的是,由气体分配单元115所提供的双轴等离子体处理气体输入和排放使晶片113的等离子体处理在晶片113上具有基本均匀的中心到边缘的等离子体密度。更具体地,由气体分配单元115所提供的双轴向等离子体处理气体输入和排放防止在等离子体产生容积109内径向的等离子体处理气体流,其可能导致中心到边缘的等离子体密度分布的径向非均匀性。另外,当需要时,由气体分配单元115所提供的双轴等离子体处理气体输入和排放使晶片113的等离子体处理在晶片113上具有明显短的等离子体滞留时间。
图3A示出了根据本发明的一个实施方式的气体分配单元115的仰视图。气体供给端口119和通孔117中的每一个均被限定为通过气体分配单元115的下表面开放式流体连通。气体供给端口119的布置散布在通孔117的布置之间。气体供给端口119通过气体分配单元115与一个或多个等离子体处理气体供给源118A/B接通,使得在气体供给端口119和气体分配单元115内的通孔117之间不存在直接的流体连通。
图3B示出了根据本发明的一个实施方式所述的气体分配单元115的俯视图。通孔117中的每一个均被限定为通过气体分配单元115的上表面开放式流体连通。然而,气体供给端口119不通过气体分配单元115的上表面流体暴露。因此,气体供给端口119被限定为使等离子体处理气体仅流入等离子体产生容积109。相反,通孔117被限定为能使从等离子体产生容积109流体连通到排放歧管103(或到图2的实施方式中的内腔100D)。流体流经气体分配单元115的通孔117主要是通过等离子体产生容积109和排放歧管103(或图2的实施方式中的内腔100D)之间的压强差来控制的。
应当理解的是,气体分配单元115充当RF回路电极、等离子体处理气体歧管、以及流体流动挡板。在各个实施方式中,气体分配单元115可由这样的金属形成:这种金属为良好的导电体和导热体,并且与在等离子体产生容积109中要进行的处理化学兼容,诸如铝、不锈钢、等等。在各个实施方式中,气体分配单元115可电气连接至基准接地电位或偏置电压以实现气体分配单元115的RF回路电极功能。因此,气体分配单元115提供用于等离子体产生容积109的接地电极。在一个实施方式中,电极107B和气体分配单元115形成接近一对一的功率到接地的表面面积。相对于电极107B的气体分配单元115的配置使得能够在等离子体产生容积109内形成电容耦合等离子体。
在一个实施方式中,被暴露于等离子体的气体分配单元115的部分由耐等离子体材料覆盖物保护。在一个实施方式中,耐等离子体材料形成为涂层。在另一实施方式中,耐等离子体材料形成保形地覆盖气体分配单元115的保护结构,例如,板。在这些实施方式中的任一实施方式中,耐等离子体材料被固定到气体分配单元115,以确保该耐等离子体材料和气体分配单元115之间的足够的电传导性和热传导性。在耐等离子体保护结构的实施方式中,通过一定数量的紧固件,或当放置在气体分配单元设置115的下方时通过在气体分配单元115和外周结构108之间的挤压,该保护结构可以被固定到气体分配单元115。在各种实施方式中,用于保护气体分配单元115的耐等离子体腐蚀涂层/保护结构,可由硅酮、碳化硅、氧化硅、氧化钇、或基本上任何其它的为等离子体处理提供足够的耐等离子体性、导电性、和传热性的材料制成,其中,该材料暴露于该等离子体处理。
气体分配单元115被限定为可替换构件。不同版式/配置的气体分配单元115可被限定为具有不同的气体供给端口119和通孔117的布置。另外,在等离子体使气体分配单元115或其功能劣化的情形下,可以更换气体分配单元115。
气体供给端口119和通孔117中的每个均被限定为使通过其中的流体流最优化,同时防止等离子体不利地侵入到其中。经气体供给端口119和通孔117中的每个的流体流率以及到气体供给端口119和通孔117中的每个的等离子体侵入率与其尺寸成正比。因此,需要限定气体供给端口119和通孔117中的每个以使其尺寸足够小从而防止等离子体不利地侵入到其中,同时保持足够大从而提供足够的通过其中的流体流。在各个实施方式中,气体供给端口119的直径的尺寸在从大约0.1mm延伸到大约3mm的范围内。在各个实施方式中,通孔117的直径的尺寸在从大约0.5mm延伸到大约5mm的范围内。然而,应当理解的是,在各个实施方式中,气体供给端口119和通孔117可分别被限定为具有基本上任何直径尺寸,只要该直径尺寸在提供充分抑制等离子体侵入其中的同时提供足够的通过其中的流体流即可。
因为通往气体供给端口119的流体流压强直接可控,所以可以将气体供给端口119限定为具有足够小的尺寸以基本上防止等离子体侵入到气体供给端口119中。然而,正确的是避免将气体供给端口119限定为如此小以至于导致通过气体供给端口119的超声波流体流。为了避免来自气体供给端口119的超声波流体流,气体供给端口119可被限定为其在气体分配单元115的下表面的出口处具有扩散器的形状。图3C示出了根据本发明的一个实施方式所述的气体供给端口119的截面。气体供给端口119被显示为在其从气体分配单元115的出口位置处具有扩散器形状307。
气体分配单元115包括与气体供给端口119的布置流体连接的内部气体供给通道。这些内部气体供给通道与一个或多个等离子体处理气体供给源118A/B流体连接。尽管为了便于说明,图1A、图1B和图2的实施方式显示了两个等离子体处理气体供给源118A/B,但应当理解,根据气体分配单元115和室100的特定的配置,基本上任何数量的等离子体处理气体供给源118A/B/C/D等可被连接以供应等离子体处理气体到气体分配单元115。并且应当理解的是,内部气体供给通道和相关的气体供给端口119被限定在通孔117的布置之间,使得等离子体处理气体在进入通孔117之前被分配到等离子体产生容积109。
在一个实施方式中,诸如图3A中所描绘的,气体分配单元115内的内部气体供给通道被限定为跨越气体分配单元115的下表面将气体供给端口119的布置流体分离成多个同心区域/区115A、115B、115C,使得能够单独地控制等离子体处理气体到多个同心区域/区115A、115B、115C中的每个内的气体供给端口119的流率。在一个实施方式中,每个同心径向区域/区115A、115B、115C内的气体供给端口119与相应的气流控制装置305A、305B、305C接通,使得能够独立地控制到每个同心径向区域/区115A、115B、115C的等离子体处理气体的供给。
将气体供给端口119分离成独立可控的多个同心区域/区115A、115B、115C提供了等离子体产生容积109内的中央到边缘的气体供给控制,这转而有利于等离子体产生容积109内的中央到边缘的等离子体均匀性控制。尽管图3A的示例性实施方式示出了三个同心的气体供给区域/区115A、115B、115C,但是应当理解的是,气体分配单元115可被限定为包括更多或更少的独立可控的气体供给区域/区。例如,在另一实施方式中,气体分配单元115被限定为包括两个独立可控的同心的气体供给区域/区。
在一个实施方式中,通孔117的数量大于气体供给端口119的数量,以从等离子体产生容积109提供足够的流体排放流。而且,通孔117可被限定为具有比气体供给端口119大的尺寸,以从等离子体产生容积109提供足够的流体排放流。然而,如之前所讨论的,通孔117的尺寸被限定以防止等离子体从等离子体产生容积109中不利地侵入到通孔117中。
在一个实施方式中,流控制板被布置在气体分配单元115的上表面上以控制那些开通以从等离子体产生容积109排放流体的通孔117。图4A示出了根据本发明的一个实施方式的布置在气体分配单元115的上表面302上的流控制板401。在一个实施方式中,流控制板401被限定为具有在从大约3mm延伸至大约6mm的范围内的厚度403的盘。流控制板401盘被限定为具有足以覆盖通孔117以控制通过通孔117的流的直径。在一个实施方式中,流控制板401盘被限定为具有覆盖气体分配单元115的上表面的直径。
在一个实施方式中,流控制板401由导电且导热材料制成,并且被紧固到气体分配单元115以确保流控制板401和气体分配单元115之间充分的电传导和热传导。在一个实施方式中,流控制板401可通过多个紧固件而被紧固到气体分配单元115。而且,在各个实施方式中,流控制板401可由诸如上文针对气体分配单元115所讨论的耐等离子体涂层覆盖和保护。
在一个实施方式中,多种式样的孔被限定为贯通流控制板401。流控制板401内的多种式样的孔中的每个与气体分配单元115内的不同组通孔117对准。流控制板401在气体分配单元115的上表面上在流控制板401相对于气体分配单元115的上表面的特定旋转位置处的布置对应于流控制板401内的多种式样的孔中的特定一个与气体分配单元115内的其相应组通孔117的对准。延伸贯通流控制板401的多种式样的孔中的每个被限定为暴露气体分配单元115内的不同数量或不同空间式样的通孔117。因此,能够通过将流控制板401设定在相对于气体分配单元115的上表面的特定旋转位置处来控制通过气体分配单元115的流体排放。
图4B示出了根据本发明的一个实施方式的被定位成使得限定于其中的孔405式样能让流通过限定在下伏的气体分配单元115内的全部通孔117的流控制板401的俯视图。图4C示出了根据本发明的一个实施方式的被定位成使得限定于其中的孔405式样仅能让流通过限定在下伏的气体分配单元115内的通孔117的一部分的流控制板401的俯视图。而且,在其它实施方式中,流控制板401中的多种式样的孔405被限定为提供通过气体分配单元115的不同空间式样的流体排放流。
图4D示出了根据本发明的一个实施方式的由多个同心可旋转流控制板407A、407B、407C限定的流控制板组件401A的俯视图。每个同心可旋转流控制板407A、407B、407C能够被独立地设定以提供中央到边缘的控制,通过中央到边缘的控制使得气体分配单元117内的通孔117打开或关闭。具体地,流控制板组件401A包括中央盘407A和多个同心环407B/407C,多个同心环407B/407C以同心方式布置在气体分配单元115的上表面上。应当理解的是,图4D的特定构造是通过举例的方式提供的。其它实施方式可以包括与图4D所示不同的多个同心可旋转流控制板。
中央盘407A和多个同心环407B/407C中的每个分别包括延伸贯通其中的多种式样的孔。多种式样的孔中的每个与气体分配单元115内的不同组通孔117对准,使得中央盘407A和同心环407B/407C中的每个在气体分配单元115的上表面上相对于气体分配单元115的上表面的特定旋转位置处的布置对应于多种式样的孔中的特定一个与气体分配单元115内的其相应组通孔117对准。延伸贯通中央盘407A和同心环407B/407C的多种式样的孔中的每个被限定为暴露气体分配单元115内的不同数量或不同空间式样的通孔117。
应当理解的是,等离子体产生容积109的尺寸被设置为容纳约束等离子体。约束等离子体的有益之处在于,能够通过控制等离子体区域内(即,等离子体产生容积109内)的容积、压强和流来控制其驻留时间。等离子体驻留时间影响离解过程,其为自由基/中性粒子形成的因素。并且,等离子体驻留时间影响在晶片113上发生的沉积或蚀刻的量,其是在执行诸如原子层沉积或原子层蚀刻等短的驻留时间的工艺中的重要的因素。等离子体产生容积109小且其压强和温度可被很好地控制。在各个实施方式中,下部的等离子体处理容积109内的压强能够被控制在从大约5mTorr延伸至大约100mTorr,或者从大约10mTorr延伸至大约30mTorr,或者从大约100mTorr延伸至大约1Torr,或者从大约200mTorr延伸至大约600mTorr的范围内。
应当理解,因为气体被垂直地泵出,由气体分配单元115提供的双轴向等离子体处理气体输入/排放能够在整个晶片113上实现精确的压强均匀性控制,其中被垂直地泵出是与被径向地泵出相对的,后者将导致跨越晶片113的径向压强分布。双轴向等离子体处理气体输入/排放还使得能在要求短的等离子体驻留时间(例如小于一毫秒)的低流应用(诸如原子层沉积或原子层蚀刻)中进行驻留时间的精确控制。
图5示出了根据本发明的一个实施方式所述的用于半导体晶片处理的方法的流程图,所述方法包括操作501,其用于沿与气体分配单元基本平行的方向保持半导体晶片,以使得等离子体产生容积在半导体晶片和该气体分配单元之间形成。在一个实施方式中,该气体分配单元被限定为在整个等离子体处理容积上方延伸的板。此外,在一个实施方式中,该半导体晶片被保持在卡盘的上表面。该方法还包括使等离子体处理气体沿基本垂直于该半导体晶片的方向从该气体分配单元内流入该等离子体处理容积的操作503。此外,执行操作505以引导来自该等离子体处理容积内的等离子体处理气体排放流沿着基本垂直于该半导体晶片的方向通过该气体分配单元。通过该气体分配单元的等离子体处理气体排放流是来自该等离子体处理容积内的唯一的等离子体处理气体排放流。
该方法进一步包括将RF功率传输到等离子体处理容积以将等离子体处理气体转换成暴露给半导体晶片的等离子体的操作507。在一个实施方式中,保持半导体晶片的卡盘被操作作为电极以将RF功率传输到等离子体处理容积。并且在该方法中,来自气体分配单元的等离子体处理气体的排放流被接收到排放区域内。泵被操作以提供抽吸力给流体连接到该排放区域的阀。并且,该阀被操作以控制离开该排放区域的排放流,并从而控制排放流从等离子体产生容积通过气体分配单元进入该排放区域。
在一个实施方式中,操作503包括使等离子体处理气体从在气体分配单元内的多个独立可控的气体供给区流入等离子体处理容积中。在该实施方式中,通过多个气体供给区中的每一个的等离子体处理气体相应的流率是受控的以使能够控制跨越半导体晶片的等离子体密度。并且,在该实施方式的一个实施中,该多个独立可控的气体供给区跨越气体分配单元同心地限定。此外,在一个实施方式中,以脉冲的方式执行使等离子体处理气体从气体分配单元内流入等离子体处理容积,并引导来自等离子体处理容积内的等离子体处理气体排放流通过气体分配单元,以控制暴露给半导体晶片的等离子体的驻留时间。
尽管已经参照多个实施方式对本发明进行了说明,但应理解的是,本领域技术人员在阅读前面的说明书并研究附图时将意识到其各种改动、添加、替换和等同方案。因此,本发明旨在包含落在本发明的真正主旨和范围内的所有这样的改动、添加、替换和等同方案。

Claims (21)

1.一种半导体晶片处理装置,其包括:
暴露于等离子体产生容积的电极,所述电极被限定为将射频(RF)功率传输到所述等离子体产生容积,所述电极具有被限定为保持暴露于所述等离子体产生容积的衬底的上表面;以及
气体分配单元,其设置在所述等离子体产生容积上方且在与所述电极基本平行的方向上,所述气体分配单元被限定为包括被限定为沿着基本垂直于所述电极的所述上表面的方向将等离子体处理气体的输入流引导到所述等离子体产生容积的气体供给端口的布置,所述气体分配单元被进一步限定为包括每个都延伸通过所述气体分配单元以将所述等离子体产生容积与排放区域流体连接的通孔的布置,其中,所述通孔中的每个被限定为将来自所述等离子体产生容积的所述等离子体处理气体的排放流沿着基本垂直于所述电极的所述上表面的方向引导。
2.如权利要求1所述的半导体晶片处理装置,其中,所述电极形成所述等离子体产生容积的下表面,且其中,所述气体分配单元被限定为板,所述板被形成为将所述等离子体产生容积与所述排放区域分离,使得所述板的下表面提供所述等离子体产生容积的上边界。
3.如权利要求2所述的半导体晶片处理装置,其中,所述板包括内部气体供给通道,所述内部气体供给通道流体连接到限定在所述板的所述下表面以将所述等离子体处理气体分配到所述等离子体产生容积的所述气体供给端口的布置。
4.如权利要求3所述的半导体晶片处理装置,其中,所述气体供给通道被限定为将所述气体供给端口的布置流体分离成跨过所述板的所述下表面的多个同心区域,以便使所述等离子体处理气体到在所述多个同心区域中的每一个内的所述气体供给端口的流率独立地受控。
5.如权利要求1所述的半导体晶片处理装置,其中,所述气体分配单元由导电材料制成,且与基准接地电位电连接,以使得所述气体分配单元为所述等离子体产生容积提供接地电极。
6.如权利要求1所述的半导体晶片处理装置,其中,所述电极能够沿朝向和远离所述气体分配单元的方向移动以便提供对延伸跨过所述等离子体产生容积的与所述电极和所述气体分配单元两者均垂直的距离的控制。
7.如权利要求1所述的半导体晶片处理装置,其进一步包括:
排放歧管,其布置在所述气体分配单元的上方以形成所述排放区域;
阀,其流体连接到所述排放歧管;以及
泵,其流体连接到所述阀,以提供抽吸力到所述阀,其中,所述阀能操作以控制来自所述等离子体产生容积的排放流通过所述气体分配单元。
8.如权利要求1所述的半导体晶片处理装置,其进一步包括:
室,其被限定为包绕所述电极和所述气体分配单元在所述室的内腔内,其中,所述室的所述内腔形成所述排放区域;
泵,其流体连接到所述室的所述内腔,以提供抽吸力到所述室的所述内腔;以及
阀,其被设置以控制缘于由所述泵提供的所述抽吸力的来自所述室的所述内腔的流体流。
9.一种用于半导体晶片处理的系统,其包括:
室,其被限定为具有内腔;
卡盘,其布置在所述室的所述内腔内,所述卡盘具有被限定为保持暴露于等离子体产生容积的衬底的上表面,所述卡盘被限定为将射频(RF)功率供给到所述等离子体产生容积;
外周结构,其被布置在所述卡盘上并被限定为围绕和包绕所述等离子体产生容积的周边;
气体分配单元,其被设置在所述外周结构上并被限定为以与所述卡盘的所述上表面基本平行的关系延伸跨过所述等离子体产生容积,所述气体分配单元被限定为包括被限定为引导等离子体处理气体的输入流进入所述等离子体产生容积的气体供给端口的布置,所述气体分配单元被进一步限定为包括通孔的布置;
排放区域,其被限定在所述室内在所述气体分配单元的上方,以使得所述通孔中的每一个延伸通过所述气体分配单元,从而将所述等离子体产生容积流体连接到所述排放区域;以及
泵,其流体连接到所述排放区域以将气体从所述排放区域去除。
10.如权利要求9所述的用于半导体晶片处理的系统,其中,所述气体供给端口中的每一个被限定为引导所述等离子体处理气体的输入流沿着基本垂直于所述卡盘的所述上表面的方向进入所述等离子体产生容积;以及
其中所述通孔中的每一个被限定为将来自所述等离子体产生容积的所述等离子体处理气体的所述排放流沿着基本垂直于所述卡盘的所述上表面的方向引导。
11.如权利要求9所述的用于半导体晶片处理的系统,其中,所述气体分配单元由导电材料制成,且与基准接地电位电连接,以使得所述气体分配单元为所述等离子体产生容积提供接地电极。
12.如权利要求9的用于半导体晶片处理的系统,其中,所述卡盘能够沿朝向和远离所述气体分配单元的方向移动以便提供对延伸跨过所述等离子体产生容积的与所述卡盘的所述上表面和所述气体分配单元两者均垂直的距离的控制。
13.一种用于半导体晶片处理的方法,其包括:
保持半导体晶片在与气体分配单元基本平行的方向上,以使得等离子体处理容积在所述半导体晶片和所述气体分配单元之间形成;
使等离子体处理气体从所述气体分配单元内沿着基本垂直于所述半导体晶片的方向流入所述等离子体处理容积;以及
将来自所述等离子体处理容积内的等离子体处理气体排放流沿基本垂直于所述半导体晶片的方向引导通过所述气体分配单元,从而通过所述气体分配单元的所述等离子体处理气体排放流是来自所述等离子体处理容积内的唯一的所述等离子体处理气体排放流。
14.如权利要求13所述的方法,其中,所述气体分配单元被限定为在所述等离子体处理容积的整个上方延伸的板。
15.如权利要求13所述的方法,其进一步包括:
将射频(RF)功率传输到所述等离子体处理容积以将所述等离子体处理气体转变为暴露给所述半导体晶片的等离子体。
16.如权利要求15所述的方法,其中,所述半导体晶片被保持在卡盘的上表面上,且其中,所述卡盘被操作作为电极以将所述RF功率传输到所述等离子体处理容积。
17.如权利要求13所述的方法,其中,使所述等离子体处理气体从所述气体分配单元内流入所述等离子体处理容积,以及将来自所述气体分配单元内的所述等离子体处理气体排放流引导通过所述气体分配单元是以脉冲的方式进行的,以控制暴露给所述半导体晶片的等离子体的驻留时间。
18.如权利要求13所述的方法,其中,所述等离子体处理气体从所述气体分配单元内的多个独立可控的气体供给区流入所述等离子体处理容积。
19.如权利要求18所述的方法,其进一步包括:
控制通过所述多个气体供给区中的每一个的等离子体处理气体的流率以控制跨过所述半导体晶片的等离子体密度。
20.如权利要求18所述的方法,其中,所述多个独立可控的气体供给区跨过所述气体分配单元被同心限定。
21.如权利要求13所述的方法,其进一步包括:
接收从所述气体分配单元流到排放区域的所述等离子体处理气体排放流;
操作泵以提供抽吸力给流体连接到所述排放区域的阀;以及
操作所述阀来控制来自所述等离子体产生容积的排放流通过所述气体分配单元。
CN201180038241.XA 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室 Active CN103053012B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610168495.8A CN105845535B (zh) 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/850,552 US8869742B2 (en) 2010-08-04 2010-08-04 Plasma processing chamber with dual axial gas injection and exhaust
US12/850,552 2010-08-04
PCT/US2011/041522 WO2012018448A2 (en) 2010-08-04 2011-06-22 Plasma processing chamber with dual axial gas injection and exhaust

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610168495.8A Division CN105845535B (zh) 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室

Publications (2)

Publication Number Publication Date
CN103053012A true CN103053012A (zh) 2013-04-17
CN103053012B CN103053012B (zh) 2016-04-20

Family

ID=45556459

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180038241.XA Active CN103053012B (zh) 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室
CN201610168495.8A Active CN105845535B (zh) 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610168495.8A Active CN105845535B (zh) 2010-08-04 2011-06-22 具有双轴向气体注入和排放的等离子体处理室

Country Status (6)

Country Link
US (2) US8869742B2 (zh)
KR (1) KR101871521B1 (zh)
CN (2) CN103053012B (zh)
SG (2) SG10201506065YA (zh)
TW (2) TWI546857B (zh)
WO (1) WO2012018448A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104278253A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 具有导通控制的化学沉积设备
CN104465457A (zh) * 2013-09-20 2015-03-25 朗姆研究公司 双等离子体源反应器处理晶片中离子与中性物质比控制
CN105280482A (zh) * 2014-07-04 2016-01-27 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN108475634A (zh) * 2016-01-15 2018-08-31 马特森技术有限公司 用于等离子体室的可变图案分离网格
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN111370285A (zh) * 2017-03-29 2020-07-03 东京毅力科创株式会社 基板处理装置和气体导入板

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
JP6119408B2 (ja) * 2013-05-09 2017-04-26 ソニー株式会社 原子層堆積装置
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
USD732092S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas injection plate
USD732093S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas tube assembly
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10760161B2 (en) * 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
DE102016008775A1 (de) 2016-07-22 2018-01-25 Oliver Feddersen-Clausen Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN111433902A (zh) 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
KR102577264B1 (ko) 2018-04-20 2023-09-11 삼성전자주식회사 샤워헤드 및 기판 처리 장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
CN1213848A (zh) * 1997-09-19 1999-04-14 西门子公司 用于大直径晶片的空间均匀的气体供给源和泵结构
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US20070209590A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Sealing device and method for a processing system
CN101540273A (zh) * 2008-03-19 2009-09-23 东京毅力科创株式会社 喷淋头以及基板处理装置

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60198822A (ja) * 1984-03-23 1985-10-08 Anelva Corp ドライエツチング装置
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5246526A (en) * 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6020458A (en) 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100750420B1 (ko) * 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6261408B1 (en) 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
TW200626020A (en) 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070042131A1 (en) 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
JP4700441B2 (ja) 2005-08-29 2011-06-15 東ソー・クォーツ株式会社 斜め貫通孔を有する開口体の製造方法
JP2007088199A (ja) 2005-09-22 2007-04-05 Canon Inc 処理装置
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
KR100888652B1 (ko) 2007-08-14 2009-03-13 세메스 주식회사 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치
US8313610B2 (en) * 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
CN101978461B (zh) 2008-03-20 2013-09-11 波鸿-鲁尔大学 在射频等离子体中控制离子能量的方法
KR100982842B1 (ko) 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5367522B2 (ja) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6330623B2 (ja) * 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
CN1213848A (zh) * 1997-09-19 1999-04-14 西门子公司 用于大直径晶片的空间均匀的气体供给源和泵结构
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US20070209590A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Sealing device and method for a processing system
CN101540273A (zh) * 2008-03-19 2009-09-23 东京毅力科创株式会社 喷淋头以及基板处理装置

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104278253B (zh) * 2013-07-03 2019-05-31 朗姆研究公司 具有导通控制的化学沉积设备
CN104278253A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 具有导通控制的化学沉积设备
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104465457A (zh) * 2013-09-20 2015-03-25 朗姆研究公司 双等离子体源反应器处理晶片中离子与中性物质比控制
TWI647731B (zh) * 2013-09-20 2019-01-11 美商蘭姆研究公司 蝕刻基板的設備及方法
CN105280482B (zh) * 2014-07-04 2018-02-13 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN105280482A (zh) * 2014-07-04 2016-01-27 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN108475634A (zh) * 2016-01-15 2018-08-31 马特森技术有限公司 用于等离子体室的可变图案分离网格
CN108475634B (zh) * 2016-01-15 2022-08-12 玛特森技术公司 用于等离子体室的可变图案分离网格
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
CN111370285A (zh) * 2017-03-29 2020-07-03 东京毅力科创株式会社 基板处理装置和气体导入板
CN111370285B (zh) * 2017-03-29 2023-04-28 东京毅力科创株式会社 基板处理装置和气体导入板

Also Published As

Publication number Publication date
TW201214557A (en) 2012-04-01
KR20130093110A (ko) 2013-08-21
US9793128B2 (en) 2017-10-17
SG187256A1 (en) 2013-03-28
TWI609425B (zh) 2017-12-21
WO2012018448A3 (en) 2012-04-05
US20120034786A1 (en) 2012-02-09
CN105845535A (zh) 2016-08-10
US8869742B2 (en) 2014-10-28
KR101871521B1 (ko) 2018-08-02
TW201630072A (zh) 2016-08-16
SG10201506065YA (en) 2015-09-29
US20150004793A1 (en) 2015-01-01
CN105845535B (zh) 2017-12-26
CN103053012B (zh) 2016-04-20
TWI546857B (zh) 2016-08-21
WO2012018448A2 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
CN103053012A (zh) 具有双轴向气体注入和排放的等离子体处理室
CN103053011B (zh) 用于中性粒子/离子流通量控制的双等离子体容积处理装置
KR102299994B1 (ko) 대칭적인 플라즈마 프로세스 챔버
CN103890928B (zh) 静电夹盘
JP2013533640A (ja) 処理チャンバ内のガスの流れを制御するための装置
KR20150011317A (ko) 플라즈마 능력을 지닌 반도체 반응 챔버
KR20100047237A (ko) 단일 평면 안테나를 갖는 유도성 커플링된 듀얼 구역 프로세싱 챔버
JP2021168392A (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
CN116845002A (zh) 处理腔室的排放模块、处理腔室及其中处理基板的方法
KR20190026044A (ko) 저압 리프트 핀 캐비티 하드웨어

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant