SG10201506065YA - Plasma processing chamber with dual axial gas injection and exhaust - Google Patents
Plasma processing chamber with dual axial gas injection and exhaustInfo
- Publication number
- SG10201506065YA SG10201506065YA SG10201506065YA SG10201506065YA SG10201506065YA SG 10201506065Y A SG10201506065Y A SG 10201506065YA SG 10201506065Y A SG10201506065Y A SG 10201506065YA SG 10201506065Y A SG10201506065Y A SG 10201506065YA SG 10201506065Y A SG10201506065Y A SG 10201506065YA
- Authority
- SG
- Singapore
- Prior art keywords
- exhaust
- processing chamber
- plasma processing
- gas injection
- axial gas
- Prior art date
Links
- 230000009977 dual effect Effects 0.000 title 1
- 238000002347 injection Methods 0.000 title 1
- 239000007924 injection Substances 0.000 title 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45576—Coaxial inlets for each gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/850,552 US8869742B2 (en) | 2010-08-04 | 2010-08-04 | Plasma processing chamber with dual axial gas injection and exhaust |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201506065YA true SG10201506065YA (en) | 2015-09-29 |
Family
ID=45556459
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201506065YA SG10201506065YA (en) | 2010-08-04 | 2011-06-22 | Plasma processing chamber with dual axial gas injection and exhaust |
SG2013007901A SG187256A1 (en) | 2010-08-04 | 2011-06-22 | Plasma processing chamber with dual axial gas injection and exhaust |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG2013007901A SG187256A1 (en) | 2010-08-04 | 2011-06-22 | Plasma processing chamber with dual axial gas injection and exhaust |
Country Status (6)
Country | Link |
---|---|
US (2) | US8869742B2 (en) |
KR (1) | KR101871521B1 (en) |
CN (2) | CN105845535B (en) |
SG (2) | SG10201506065YA (en) |
TW (2) | TWI546857B (en) |
WO (1) | WO2012018448A2 (en) |
Families Citing this family (160)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
FI124414B (en) * | 2010-04-30 | 2014-08-29 | Beneq Oy | Outlets and apparatus for processing substrates |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
US9447499B2 (en) * | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140272135A1 (en) * | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company Limited | Deposition injection masking |
US9255326B2 (en) | 2013-03-12 | 2016-02-09 | Novellus Systems, Inc. | Systems and methods for remote plasma atomic layer deposition |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
JP6119408B2 (en) * | 2013-05-09 | 2017-04-26 | ソニー株式会社 | Atomic layer deposition equipment |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
USD732093S1 (en) * | 2014-03-19 | 2015-06-16 | Veeco Ald Inc. | Gas tube assembly |
USD732092S1 (en) * | 2014-03-19 | 2015-06-16 | Veeco Ald Inc. | Gas injection plate |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
JP6001015B2 (en) * | 2014-07-04 | 2016-10-05 | 株式会社日立国際電気 | Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10760161B2 (en) | 2014-09-05 | 2020-09-01 | Applied Materials, Inc. | Inject insert for EPI chamber |
US11060203B2 (en) | 2014-09-05 | 2021-07-13 | Applied Materials, Inc. | Liner for epi chamber |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
CN107835868B (en) * | 2015-06-17 | 2020-04-10 | 应用材料公司 | Gas control in a processing chamber |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
CN108475634B (en) * | 2016-01-15 | 2022-08-12 | 玛特森技术公司 | Variable pattern separation grid for plasma chamber |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
TWI641016B (en) * | 2016-06-28 | 2018-11-11 | 周業投資股份有限公司 | Upper electrode device |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
DE102016008775A1 (en) | 2016-07-22 | 2018-01-25 | Oliver Feddersen-Clausen | Coating device, in particular for Radical Enhanced Atomic Layer Deposition |
US10872760B2 (en) * | 2016-07-26 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cluster tool and manufacuturing method of semiconductor structure using the same |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
JP7062658B2 (en) | 2016-12-09 | 2022-05-06 | エーエスエム アイピー ホールディング ビー.ブイ. | Thermal layer etching process |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102096700B1 (en) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | Substrate processing apparatus and substrate procesing method |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10964514B2 (en) * | 2017-10-17 | 2021-03-30 | Lam Research Corporation | Electrode for plasma processing chamber |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US11149350B2 (en) * | 2018-01-10 | 2021-10-19 | Asm Ip Holding B.V. | Shower plate structure for supplying carrier and dry gas |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
KR102577264B1 (en) | 2018-04-20 | 2023-09-11 | 삼성전자주식회사 | Shower head and substrate processing apparatus |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102576220B1 (en) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | Thin Film Processing Appartus and Method |
JP7296699B2 (en) * | 2018-07-02 | 2023-06-23 | 東京エレクトロン株式会社 | GAS SUPPLY SYSTEM, PLASMA PROCESSING APPARATUS, AND GAS SUPPLY SYSTEM CONTROL METHOD |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR20200015264A (en) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | Wafer to wafer bonding method and wafer to wafer bonding system |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111101117B (en) * | 2018-10-29 | 2022-07-22 | 北京北方华创微电子装备有限公司 | Gas homogenizing device and semiconductor processing equipment |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2021019201A (en) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | Showerhead device for semiconductor processing system |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
CN114678246A (en) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | Measuring device and method for impedance characteristic measurement of capacitive coupling plasma processor |
EP4190938B1 (en) * | 2021-12-03 | 2024-03-06 | Semsysco GmbH | Distribution body for distributing a process gas for treating a substrate by means of the process gas |
Family Cites Families (103)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2610556C2 (en) * | 1976-03-12 | 1978-02-02 | Siemens AG, 1000 Berlin und 8000 München | Device for distributing flowing media over a flow cross-section |
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4579618A (en) * | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
JPS60198822A (en) * | 1984-03-23 | 1985-10-08 | Anelva Corp | Dry etching device |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US5246526A (en) * | 1989-06-29 | 1993-09-21 | Hitachi, Ltd. | Surface treatment apparatus |
DE4011933C2 (en) * | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Process for the reactive surface treatment of a workpiece and treatment chamber therefor |
DE4025396A1 (en) * | 1990-08-10 | 1992-02-13 | Leybold Ag | DEVICE FOR PRODUCING A PLASMA |
JP2987663B2 (en) * | 1992-03-10 | 1999-12-06 | 株式会社日立製作所 | Substrate processing equipment |
US5248371A (en) | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
US5487785A (en) * | 1993-03-26 | 1996-01-30 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US6200389B1 (en) * | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
US5891350A (en) * | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
EP0756309A1 (en) | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
US5614026A (en) * | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
JP3360265B2 (en) | 1996-04-26 | 2002-12-24 | 東京エレクトロン株式会社 | Plasma processing method and plasma processing apparatus |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
JP3317209B2 (en) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing apparatus and plasma processing method |
US6074488A (en) | 1997-09-16 | 2000-06-13 | Applied Materials, Inc | Plasma chamber support having an electrically coupled collar ring |
US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
US6020458A (en) | 1997-10-24 | 2000-02-01 | Quester Technology, Inc. | Precursors for making low dielectric constant materials with improved thermal stability |
US6126753A (en) | 1998-05-13 | 2000-10-03 | Tokyo Electron Limited | Single-substrate-processing CVD apparatus and method |
US6565661B1 (en) * | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
DE60041341D1 (en) * | 1999-08-17 | 2009-02-26 | Tokyo Electron Ltd | PULSE PLASMA TREATMENT METHOD AND DEVICE |
JP4487338B2 (en) * | 1999-08-31 | 2010-06-23 | 東京エレクトロン株式会社 | Film forming apparatus and film forming method |
US6261408B1 (en) | 2000-02-16 | 2001-07-17 | Applied Materials, Inc. | Method and apparatus for semiconductor processing chamber pressure control |
JP3578398B2 (en) * | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | Gas dispersion plate for film formation and method of manufacturing the same |
AU2001288225A1 (en) * | 2000-07-24 | 2002-02-05 | The University Of Maryland College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
CN1328766C (en) * | 2001-01-22 | 2007-07-25 | 东京毅力科创株式会社 | Process system and process method |
CN1302152C (en) * | 2001-03-19 | 2007-02-28 | 株式会社Ips | Chemical vapor depositing apparatus |
US20030000924A1 (en) * | 2001-06-29 | 2003-01-02 | Tokyo Electron Limited | Apparatus and method of gas injection sequencing |
DE10153463A1 (en) * | 2001-10-30 | 2003-05-15 | Aixtron Ag | Method and device for depositing, in particular, crystalline layers on, in particular, crystalline substrates |
US7882800B2 (en) | 2001-12-13 | 2011-02-08 | Tokyo Electron Limited | Ring mechanism, and plasma processing device using the ring mechanism |
US20040025791A1 (en) | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
KR100465877B1 (en) * | 2002-08-23 | 2005-01-13 | 삼성전자주식회사 | Etching apparatus of semiconductor |
US6963043B2 (en) * | 2002-08-28 | 2005-11-08 | Tokyo Electron Limited | Asymmetrical focus ring |
US6821563B2 (en) * | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040082251A1 (en) * | 2002-10-29 | 2004-04-29 | Applied Materials, Inc. | Apparatus for adjustable gas distribution for semiconductor substrate processing |
TW589396B (en) * | 2003-01-07 | 2004-06-01 | Arima Optoelectronics Corp | Chemical vapor deposition reactor |
US7316761B2 (en) | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
WO2004088729A1 (en) | 2003-03-26 | 2004-10-14 | Tokyo Electron Limited | Chemical processing system and method |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7976673B2 (en) | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US7845309B2 (en) * | 2004-07-13 | 2010-12-07 | Nordson Corporation | Ultra high speed uniform plasma processing system |
US7381291B2 (en) * | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US8366829B2 (en) | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US20070042131A1 (en) | 2005-08-22 | 2007-02-22 | Applied Materials, Inc., A Delaware Corporation | Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films |
JP4700441B2 (en) | 2005-08-29 | 2011-06-15 | 東ソー・クォーツ株式会社 | Method for manufacturing opening body having oblique through hole |
JP2007088199A (en) | 2005-09-22 | 2007-04-05 | Canon Inc | Processing equipment |
US7718030B2 (en) * | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
KR100752622B1 (en) | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | Apparatus for generating remote plasma |
US7794546B2 (en) | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US8187415B2 (en) * | 2006-04-21 | 2012-05-29 | Applied Materials, Inc. | Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone |
US8231799B2 (en) * | 2006-04-28 | 2012-07-31 | Applied Materials, Inc. | Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone |
US7837826B2 (en) | 2006-07-18 | 2010-11-23 | Lam Research Corporation | Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof |
US8187679B2 (en) * | 2006-07-29 | 2012-05-29 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
US7416677B2 (en) * | 2006-08-11 | 2008-08-26 | Tokyo Electron Limited | Exhaust assembly for plasma processing system and method |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080193673A1 (en) | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US8262847B2 (en) | 2006-12-29 | 2012-09-11 | Lam Research Corporation | Plasma-enhanced substrate processing method and apparatus |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US8123902B2 (en) | 2007-03-21 | 2012-02-28 | Applied Materials, Inc. | Gas flow diffuser |
US8216419B2 (en) * | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
KR100888652B1 (en) | 2007-08-14 | 2009-03-13 | 세메스 주식회사 | Plasma reactor with exhaust holes and atmospheric pressure plasma apparatus including thereof |
US8313610B2 (en) * | 2007-09-25 | 2012-11-20 | Lam Research Corporation | Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
JP5192214B2 (en) * | 2007-11-02 | 2013-05-08 | 東京エレクトロン株式会社 | Gas supply apparatus, substrate processing apparatus, and substrate processing method |
KR101376336B1 (en) * | 2007-11-27 | 2014-03-18 | 한국에이에스엠지니텍 주식회사 | Atomic layer deposition apparatus |
US8075728B2 (en) * | 2008-02-28 | 2011-12-13 | Applied Materials, Inc. | Gas flow equalizer plate suitable for use in a substrate process chamber |
US8066895B2 (en) | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
JP5202050B2 (en) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | Shower head and substrate processing apparatus |
JP5179389B2 (en) | 2008-03-19 | 2013-04-10 | 東京エレクトロン株式会社 | Shower head and substrate processing apparatus |
DE602008005858D1 (en) | 2008-03-20 | 2011-05-12 | Univ Ruhr Bochum | Method for controlling ion energy in radio frequency plasmas |
KR100982842B1 (en) | 2008-04-25 | 2010-09-16 | 주식회사 케이씨텍 | Atomic layer deposition apparatus |
JP5102706B2 (en) * | 2008-06-23 | 2012-12-19 | 東京エレクトロン株式会社 | Baffle plate and substrate processing apparatus |
US8540844B2 (en) * | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
JP5248370B2 (en) * | 2009-03-10 | 2013-07-31 | 東京エレクトロン株式会社 | Shower head and plasma processing apparatus |
JP5221421B2 (en) * | 2009-03-10 | 2013-06-26 | 東京エレクトロン株式会社 | Shower head and plasma processing apparatus |
JP5323628B2 (en) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP5367522B2 (en) * | 2009-09-24 | 2013-12-11 | 東京エレクトロン株式会社 | Plasma processing apparatus and shower head |
JP5432686B2 (en) * | 2009-12-03 | 2014-03-05 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP5444044B2 (en) * | 2010-03-02 | 2014-03-19 | 東京エレクトロン株式会社 | Plasma processing apparatus and shower head |
JP5591585B2 (en) * | 2010-05-17 | 2014-09-17 | 東京エレクトロン株式会社 | Plasma processing equipment |
US9728429B2 (en) * | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US9184028B2 (en) * | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9175393B1 (en) * | 2011-08-31 | 2015-11-03 | Alta Devices, Inc. | Tiled showerhead for a semiconductor chemical vapor deposition reactor |
US20140023794A1 (en) * | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
JP5939147B2 (en) * | 2012-12-14 | 2016-06-22 | 東京エレクトロン株式会社 | Film forming apparatus, substrate processing apparatus, and film forming method |
JP5971144B2 (en) * | 2013-02-06 | 2016-08-17 | 東京エレクトロン株式会社 | Substrate processing apparatus and film forming method |
JP6330623B2 (en) * | 2014-10-31 | 2018-05-30 | 東京エレクトロン株式会社 | Film forming apparatus, film forming method, and storage medium |
-
2010
- 2010-08-04 US US12/850,552 patent/US8869742B2/en active Active
-
2011
- 2011-06-22 CN CN201610168495.8A patent/CN105845535B/en active Active
- 2011-06-22 KR KR1020137005615A patent/KR101871521B1/en active IP Right Grant
- 2011-06-22 SG SG10201506065YA patent/SG10201506065YA/en unknown
- 2011-06-22 WO PCT/US2011/041522 patent/WO2012018448A2/en active Application Filing
- 2011-06-22 SG SG2013007901A patent/SG187256A1/en unknown
- 2011-06-22 CN CN201180038241.XA patent/CN103053012B/en active Active
- 2011-07-14 TW TW100125009A patent/TWI546857B/en active
- 2011-07-14 TW TW105115668A patent/TWI609425B/en not_active IP Right Cessation
-
2014
- 2014-09-19 US US14/491,531 patent/US9793128B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
CN103053012A (en) | 2013-04-17 |
KR101871521B1 (en) | 2018-08-02 |
KR20130093110A (en) | 2013-08-21 |
US9793128B2 (en) | 2017-10-17 |
TW201630072A (en) | 2016-08-16 |
WO2012018448A2 (en) | 2012-02-09 |
TWI609425B (en) | 2017-12-21 |
TW201214557A (en) | 2012-04-01 |
CN105845535B (en) | 2017-12-26 |
CN105845535A (en) | 2016-08-10 |
WO2012018448A3 (en) | 2012-04-05 |
US8869742B2 (en) | 2014-10-28 |
US20150004793A1 (en) | 2015-01-01 |
US20120034786A1 (en) | 2012-02-09 |
CN103053012B (en) | 2016-04-20 |
TWI546857B (en) | 2016-08-21 |
SG187256A1 (en) | 2013-03-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG10201506065YA (en) | Plasma processing chamber with dual axial gas injection and exhaust | |
EP2616123A4 (en) | Needle-free injectors and design parameters thereof that optimize injection performance | |
GB2472322B (en) | An exhaust gas recirculation assembly | |
EP2679780B8 (en) | Diffuser for the exhaust section of a gas turbine and gas turbine with such a diffuser | |
HK1201211A1 (en) | Gas plasma disinfection and sterlisation apparatus | |
EP2735717A4 (en) | Precombustion chamber structure for gas engine | |
EP2787207A4 (en) | Engine combustion chamber structure, and inner wall structure of flow path | |
PL2525062T3 (en) | Turbomachine with detonation chamber and aircraft provided with such a turbomachine | |
PL2689821T3 (en) | Exhaust gas processing system and method | |
PL2671028T3 (en) | Injector for the combustion chamber of a gas turbine having a dual fuel circuit, and combustion chamber provided with at least one such injector | |
PL2659186T3 (en) | Distributed combustion process and burner | |
EP2578849B8 (en) | Gas engine | |
EP2598737A4 (en) | Gas turbine engine with ejector | |
EP2821382A4 (en) | Heat-insulating member and engine combustion chamber structure | |
PL2438346T3 (en) | Gas cylinder | |
EP2620759A4 (en) | Exhaust gas analysis system and exhaust gas analysis program | |
EP2623762A4 (en) | Gas injection valve | |
PL2520773T3 (en) | Combustion engine with at least one combustion chamber | |
EP2754874A4 (en) | Direct-injection engine combustion chamber structure | |
SG11201404966QA (en) | Gas turbine engine geared architecture axial retention arrangement | |
SG2014008270A (en) | Rapid thermal processing chamber | |
ZA201400458B (en) | Plasma arc furnace and applications | |
FR2955374B1 (en) | MULTI-PERCEED COMBUSTION CHAMBER WITH TANGENTIAL DISCHARGES AGAINST GIRATORY | |
EP2526277A4 (en) | Insulated combustion chamber | |
EP2622943A4 (en) | Plasma lamp with lumped components |