TWI546857B - 半導體晶圓處理用的設備、系統及方法 - Google Patents

半導體晶圓處理用的設備、系統及方法 Download PDF

Info

Publication number
TWI546857B
TWI546857B TW100125009A TW100125009A TWI546857B TW I546857 B TWI546857 B TW I546857B TW 100125009 A TW100125009 A TW 100125009A TW 100125009 A TW100125009 A TW 100125009A TW I546857 B TWI546857 B TW I546857B
Authority
TW
Taiwan
Prior art keywords
plasma
distribution unit
gas
gas distribution
volume
Prior art date
Application number
TW100125009A
Other languages
English (en)
Other versions
TW201214557A (en
Inventor
羅金德 漢沙
艾力西 瑪瑞塔諾
安祖D 貝利三世
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201214557A publication Critical patent/TW201214557A/zh
Application granted granted Critical
Publication of TWI546857B publication Critical patent/TWI546857B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

半導體晶圓處理用的設備、系統及方法 【相關申請案的交互參照】
本申請案係關於名為「中性粒子/離子流控制用之雙電漿容積處理裝置」之同時申請的美國專利申請案第    號(Attorney Docket No. LAM2P690)。上列所確認的相關申請案之揭示內容整體係併於此作為參考。
本發明係有關於一種電漿處理腔室,尤其有關於一種具有雙軸向氣體射入及排出之電漿處理腔室。
當半導體特徵部尺寸持續縮小時,半導體製程為了跟上腳步而努力。一種製程涉及將半導體晶圓曝露於電漿或其他形式的反應氣體以在晶圓上沉積材料或自晶圓移除材料。更小的特徵部尺寸要求更精確的材料沉積及蝕刻控制,這些要求便因此需要如何使晶圓曝露於電漿/反應氣體之更精確的控制。這些更精確的控制需求可包含在晶圓範圍內的電漿均勻性之更精確的控制、在晶圓範圍內的電漿密度之更精確的控制、及/或曝露於晶圓的電漿滯留時間之更精確的控制等等。本發明正是在這種狀況下被創造出來。
在一實施例中,半導體晶圓處理設備係揭露成包含電極及氣體分配單元。電極係曝露於電漿產生容積且係定義為傳輸射頻(radiofrequency,RF)功率至電漿產生容積。電極具有定義為夾持曝露於電漿產生容積之基板的上表面。氣體分配單元係設於電漿產生容積上方並朝向相關於電極之實質平行方向。氣體分配單元係定義為包含氣體供應埠之配置,該氣體供應埠之配置係定義為朝向實質垂直於電極之上表面的方向將電漿處理氣體之輸入流引導至電漿產生容積中。氣體分配單元更定義為包含通孔之配置,各通孔延伸通過氣體分配單元以將電漿產生容積流動性連接至排出區域。各通孔係定義為朝實質垂直於電極之上表面的方向引導來自電漿產生容積的電漿處理氣體的排出流。
在另一實施例中,揭露一種用於半導體晶圓處理的系統。該系統包含定義為具有內部空腔的腔室。該系統亦包含設於腔室的內部空腔內的夾盤。夾盤具有定義為夾持曝露於電漿產生容積的基板的上表面。並且,夾盤係定義為供應RF功率至電漿產生容積。該系統亦包含設於夾盤上且定義為圍繞並包圍電漿產生容積之周邊的外周結構。該系統更包含設於外周結構上且定義為以實質平行於夾盤之上表面的關係在電漿產生容積範圍內延伸的氣體分配單元。氣體分配單元係定義為包含氣體供應埠之配置,該氣體供應埠之配置係定義為將電漿處理氣體之輸入流引導至電漿產生容積中。氣體分配單元更定義為包含通孔之配置。該系統亦包含定義在腔室之內氣體分配單元上方的排出區域,使得各通孔延伸通過氣體分配單元來將電漿產生容積流動性連接至排出區域。該系統亦包含流動性連接至排出區域以自排出區域移除氣體的泵浦。
在另一實施例中,揭露一種用於半導體晶圓處理的方法。該方法包含用來朝向實質平行於氣體分配單元的方向來夾持半導體晶圓的操作,使得半導體晶圓及氣體分配單元之間形成電漿處理容積。該方法亦包含用來使來自氣體分配單元內的電漿處理氣體朝實質垂直於半導體晶圓的方向流入電漿處理容積的操作。該方法更包含用來將自電漿處理容積內的電漿處理氣體之排出流朝實質垂直於半導體晶圓的方向引導通過氣體分配單元的操作,藉此通過氣體分配單元的電漿處理氣體之排出流為來自電漿處理容積內的唯一電漿處理氣體之排出流。
本發明之其他態樣及優點將由以下例示說明本發明的詳細敘述結合隨附圖式而變得更加明顯。
在下列描述中,為了提供對於本發明之徹底理解而提出諸多具體細節。然而,對於精通本技術領域者將顯而易見,可在不具有這些具體細節的部分或整體的情況下實施本發明。在其他實例中,為了不多餘地混淆本發明,故已不詳述眾所周知的處理操作。
於此揭露一種半導體晶圓處理設備使得晶圓範圍內的電漿滯留時間及均勻性之精確控制能使需要快速且均勻的處理氣體射入及抽出的晶圓製程得以進行。此種需要快速且均勻的處理氣體射入及抽出的晶圓製程之實例包含但不侷限於原子層蝕刻及原子層沉積。
該設備包含設於電漿產生區域上方的氣體分配單元,而晶圓係夾持於下方的靜電夾盤上且曝露於電漿產生區域。氣體分配單元係定義為以實質均勻的方式朝著晶圓往下供應電漿處理氣體。氣體分配單元亦定義為以實質均勻的方式自晶圓往上排出電漿處理氣體。因此,如於下所更加詳述,氣體分配單元容許雙軸向氣體射入及排出。
圖1A顯示依據本發明之一實施例的半導體晶圓處理設備。該設備包含藉由頂板100A、底板100B、及壁100C所形成的腔室100。在一實施例中,壁100C形成連續的圓柱狀壁100C。在其他實施例中,壁100C可具有其他配置,只要腔室100之內部空腔100D可自腔室100之外的外部環境隔離。數個密封墊139係設於腔室頂板100A、底板100B、及100C之間以促進腔室100之內部空腔100D自外部環境隔離。
在各種實施例中,腔室100之頂板100A、底板100B、及壁100C可由金屬所形成,該金屬為電及熱的良導體且為化學相容於晶圓處理期間內部空腔100D所待曝露的處理氣體。舉例來說,在各種實施例中,如鋁、不鏽鋼或相似物等的金屬可用來形成腔室100之組件。並且,密封墊139可為彈性體密封墊、可消耗金屬密封墊、或其他任何形式的密封墊材料,只要密封墊139化學相容於內部空腔100D所將曝露的處理材料,且只要密封墊139提供內部空腔100D充分的隔離於腔室100之外的外部環境。
吾人應當察知到在其他的實施例中,當有必要滿足腔室100特定部署條件或其他考量時,可將一或更多額外的薄板或構件設於頂板100A、底板100B、及壁100C之任何一或更多者之外。此外,可視特定實施情況,而將頂板100A、底板100B、及/或壁100C固定至這些額外的薄板或構件。包含頂板100A、底板100B、及壁100C的腔室100結構係由導電材料所形成且係電性連接於參考接地電位。
腔室100包含容許內部空腔100D流體連接至外部排出泵137的排出埠135,使得可經由排出埠135施加負壓以移除來自內部空腔100D中的氣體及/或微粒。在一實施例中,腔室100亦包含閘門閥105,該閘門閥105係形成於腔室壁100C之一區段中,使晶圓113能夠插入內部空腔100D,並使晶圓113能夠自內部空腔100D對應地移出。在其關閉位置中,閘門閥105係定義為維持內部空腔100D與外部環境隔離。在各種實施例中,可以不同方式實施排出泵137,只要排出泵137可在排出埠135施加吸力以自腔室100之內部空腔100D抽取流體流動。
電漿處理設備係設於腔室100之內部空腔100D中。電漿處理設備包含形成於夾盤107A/B及氣體分配單元115之間的電漿產生容積109。更具體而言,在夾盤107A/B之上表面及氣體分配單元115之下表面朝向彼此互相實質平行方向而設置的情況下,電漿產生容積109存在於夾盤107A/B上方及氣體分配單元115下方。外周結構108亦設置成包圍氣體分配單元115及夾盤107A/B的上表面之間的電漿產生容積109之周圍。
如上述,夾盤107A/B係設於腔室100之內部空腔100D之內、電漿產生容積109下方。夾盤107A/B包含夾盤主體107A及夾盤電極107B。在一實施例中,夾盤主體107A係自腔室100之壁100C懸出。在一實施例中,夾盤107A/B為具有被定義為傳輸RF功率至電漿產生容積109之夾盤電極107B的靜電夾盤。夾盤電極107B的上表面係定義為夾持曝露於電漿產生容積109的例如晶圓113之基板。在一實施例中,石英聚焦環149係相關於夾盤電極107B上之基板容納/夾持區域之週邊而設於夾盤主體107A上。夾盤107A/B亦定義為包含冷卻通道及/或加熱元件的配置,以使晶圓113及電漿產生容積109之溫度控制得以進行。
如由箭頭123所指示,夾盤107A/B係定義為在內部空腔100D中垂直移動。以此方式,可將夾盤107A/B降下而經由閘門閥105接收/供給晶圓113,並可抬升以形成電漿產生容積109之下表面。並且,如同垂直於夾盤107B及氣體分配單元115二者所量測的跨越電漿產生容積109之垂直距離可藉由控制夾盤107B之垂直位置加以設定及控制。可將跨越電漿產生容積109之垂直距離設定成達到足夠的中心至邊緣電漿均勻性及密度,並亦可調整成藉由來自氣體分配單元115之氣體供應埠119的噴射氣流來避免晶圓113上的印記(printing)。在各種實施例中,將跨越電漿產生容積109之垂直距離設定在約2公分。吾人應當察知跨越電漿產生容積109之垂直距離被控制來使得電漿產生容積109能夠快速排空,並藉此使得電漿產生容積109內的電漿滯留時間能夠精確控制。
夾盤電極107B係定義為供應來自RF電源111的RF功率至電漿產生容積109。吾人應當明瞭到RF電源111係經由匹配網路連接而使得RF功率能夠傳輸至夾盤電極107B。如之前所討論,在一實施例中,氣體分配單元115係電性連接至參考接地電位,使得氣體分配單元115當成電漿產生容積109的RF功率回流路徑中的參考接地電極。
氣體分配單元115係固持於電漿產生容積109及外周結構108上方的固定位置中。氣體分配單元115係定義為經由氣體供應埠119之配置來供應電漿處理氣體至電漿產生容積109。氣體分配單元115係更定義為包含通孔117之配置以容許流體自電漿產生容積109排出。各通孔117通過氣體分配單元115之薄板而自其上表面延伸至其下表面。
圖1B顯示依據本發明之一實施例的具有描繪通過氣體分配單元115的氣流及排出流之箭頭的圖1A之腔室100。如於圖1A及1B中所顯示,電漿處理氣體係自一或更多電漿處理氣體供應源118A/118B供應至氣體分配單元115。電漿處理氣體流過氣體分配單元115並流出氣體供應埠119進入電漿產生容積109。電漿處理氣體係自電漿產生容積109經由氣體分配單元115之通孔117排入排出歧管103。在圖1A及1B的實施例中,電漿產生容積109係密封成使電漿處理氣體僅經由氣體分配單元115之通孔117排入排出歧管103中。
在一實施例中,排出歧管103係經由閥101連接至真空泵102。可操作閥101以將排出歧管103流動性連接至泵浦102或斷開與泵102之連接,藉以使得排出歧管103內的壓力能夠被釋放至泵浦102,使得在較高壓的電漿產生容積109內的電漿處理氣體將經由氣體分配單元115之通孔117流至較低壓的排出歧管103中,再經由閥101而至泵102,以自電漿產生容積109排出電漿處理氣體。
圖2顯示依據本發明之一實施例的腔室100之另一配置。在本實施例中,氣體分配單元115之通孔117係與腔室100之內部空腔100D流體連通。在本實施例中,電漿處理氣體係自電漿產生區域109經由氣體分配單元115之通孔117直接排入腔室100之內部空腔100D。腔室100之內部空腔100D內的電漿處理氣體係通過排出埠135而藉由泵浦137加以移除。在本實施例中,腔室100之內部空腔100D當成排出歧管使用。因此,將圖1A及1B之實施例的外結構構件104移除。並且,頂板100A被不包含閥101且不連接至泵浦102的頂板100E所取代。在本實施例中,腔室100之內部空腔100D內的壓力可相關於電漿產生容積109內的壓力加以控制,並因而控制經由氣體分配單元115之通孔117的電漿處理氣體排出流量。
吾人應當察知到氣體分配單元115所提供的雙軸向電漿處理氣體輸入及排出使在晶圓113上方具有實質均勻的中心至邊緣電漿密度的晶圓113之電漿處理得以實現。更具體而言,氣體分配單元115所提供的雙軸向電漿處理氣體輸入及排出預防電漿產生容積109內的徑向處理氣體流動,該流動可能導致中心至邊緣電漿密度分佈中的徑向不均勻性。再者,當必要時,氣體分配單元115所提供的雙軸向電漿處理氣體輸入及排出使具有在晶圓113上的實質短暫之電漿滯留時間的晶圓113之電漿處理得以實現。
圖3A顯示依據本發明之一實施例的氣體分配單元115之仰視圖。氣體供應埠119及通孔117之各者係定義為經由氣體分配單元115之下表面而開放式地流體連通。氣體供應埠119之配置係散佈於通孔117之配置之間。氣體供應埠119係通過氣體分配單元115而流動性連接至一或更多電漿處理氣體供應源118A/B,使得直接流體連通不存在於氣體分配單元115內的氣體供應埠119及通孔117之間。
圖3B顯示依據本發明之一實施例的氣體分配單元115之俯視圖。各通孔117係定義為經由氣體分配單元115之上表面而開放式地流體連通。然而,氣體供應埠119不經由氣體分配單元115之上表面而流體地曝露。因此,氣體供應埠119係定義為僅使電漿處理氣體流入電漿產生容積109。相反地,通孔117係定義為使能自電漿產生容積109流體連通至排出歧管103(或至圖2之實施例中的內部空腔100D)。通過氣體分配單元115之通孔117的流體流動主要由電漿產生區域109及排出歧管103(或圖2之實施例中的內部空腔100D)之間的壓力差異所控制。
吾人應當明瞭到氣體分配單元115用作RF回流路徑電極、電漿處理氣體歧管、及流體流動擋板。在各種實施例中,氣體分配單元115可由例如鋁、不鏽鋼、或相似物之電及熱的良導體且化學相容於電漿產生容積109中待實施的程序的金屬所形成。在各種實施例中,可將氣體分配單元115電性連接至參考接地電位或偏電壓來使得氣體分配單元115能夠具有RF回流路徑電極之功能。因此,氣體分配單元115針對電漿產生容積109提供接地電極。在一實施例中,夾盤電極107B及氣體分配單元115形成鄰近的一對一電源至接地的表面區域。氣體分配單元115相關於夾盤電極107B之配置使得電漿產生容積109內能夠形成電容耦合電漿。
在一實施例中,氣體分配單元115之曝露於電漿的部分由抗電漿材料之塗覆所保護。在一實施例中,抗電漿材料係形成為塗層。在另一實施例中,抗電漿材料係形成為保形地覆蓋氣體分配單元115之如薄板的保護性結構。在這些實施例之任一種中,抗電漿材料係固定於氣體分配單元115來確保抗電漿材料及氣體分配單元115之間適當的電及熱傳導。在抗電漿保護性結構的實施例中,保護性結構可藉由數個固定器、或在設於氣體分配單元115下方時藉由氣體分配單元115及外周結構108之間的加壓,而固定於氣體分配單元115。在各種實施例中,用來保護氣體分配單元115的抗電漿塗層/保護性結構可由矽氧樹脂、碳化矽、氧化矽、氧化釔、或針對其所曝露的電漿處理實質上提供適當的電漿阻抗、電傳導、及熱傳導的任何其他材料所形成。
氣體分配單元115係定義為可交換的構件。不同版本/型態之氣體分配單元115可定義為具有不同的氣體供應埠119及通孔117之配置。此外,一旦電漿破壞氣體分配單元115或其功能性,便可替換氣體分配單元115。
氣體供應埠119及通孔117之每一者係定義為使通過其中的流體流動最佳化,同時預防電漿不利的侵入其中。通過各氣體供應埠119及通孔117的流體流動及進入各氣體供應埠119及通孔117的電漿侵入係直接與其尺寸成比例。因此,必須定義各氣體供應埠119及通孔117,使得其尺寸足夠小以防止不利的電漿侵入其中,但維持足夠大來提供通過其中之適當的流體流動。在各種實施例中,氣體供應埠119之直徑係自約0.1 mm延伸至約3 mm的範圍之內的尺寸。在各種實施例中,通孔117之直徑係自約0.5 mm延伸至約5 mm的範圍之內的尺寸。然而,吾人應當明瞭,在各種實施例中,氣體供應埠119及通孔117可實質上以任何直徑尺寸個別加以定義,只要直徑尺寸提供通過其中之適當的流體流動,同時提供電漿侵入之適當抑制。
由於可直接控制流體流動至氣體供應埠119之壓力,因此可將氣體供應埠119定義成具有夠小的尺寸來實質上預防電漿侵入氣體供應埠119。然而,避免將氣體供應埠119定義成小至導致通過氣體供應埠119的超音速之流體流動是適當的。為了避免來自氣體供應埠119之超音速流體流動,氣體供應埠119可被定義為其在自氣體分配單元115之下表面之出口具有擴散器形狀。圖3C顯示依據本發明之一實施例的氣體供應埠119之橫剖面。氣體供應埠119係顯示為在其自氣體分配單元115之出口位置具有擴散器形狀307。
氣體分配單元115包含流動性連接於氣體供應埠119之配置的內部氣體供應通道。這些內部氣體供應通道係流動性連接於一或更多電漿處理氣體供應源118A/B。雖然圖1A、1B、及2之實施例為了易於描述而顯示兩個電漿處理氣體供應源118A/B,但是吾人應當明瞭到,可取決於氣體分配單元115及腔室100之具體配置,而實質上連接任何數量的電漿處理氣體供應源以供應電漿處理氣體至氣體分配單元115。再者,吾人應明瞭,內部氣體供應通道及相關的氣體供應埠119係定義在通孔117之配置之間,使得電漿處理氣體在進入通孔117之前被配送至電漿產生容積109。
在如圖3A中所描繪的一實施例中,氣體分配單元115內的內部氣體供應通道係定義為將氣體供應埠119之配置流動性分隔成在氣體分配單元115之下表面範圍內的複數個同心區域/分區115A、115B、115C,使得各複數個同心區域/分區115A、115B、115C內的電漿處理氣體至氣體供應埠119之流量可分別加以控制。在一實施例中,在各同心徑向區域/分區115A、115B、115C內的氣體供應埠119係流動性連接至各自的氣流控制裝置305A、305B、305C,使得電漿處理氣體至各同心徑向區域/分區115A、115B、115C之供應可獨立控制。
將氣體供應埠119分隔成可獨立控制的複數個同心區域/分區115A、115B、115C中容許電漿產生容積109內的中心至邊緣氣體供應控制,進而改善電漿產生容積109內的中心至邊緣電漿均勻性控制。雖然圖3A之例示實施例顯示三同心氣體供應區域/分區115A、115B、115C,但吾人應明瞭可將氣體分配單元115定義為包含更多或更少之可獨立控制的氣體供應區域/分區。舉例來說,在另一實施例中,氣體分配單元115係定義為包含兩個可獨立控制的同心氣體供應區域/分區。
在一實施例中,通孔117之數量大於氣體供應埠119之數量,以容許來自電漿產生容積109之適當的流體排出流量。再者,通孔117可被定義為具有大於氣體供應埠119之尺寸,以容許來自電漿產生容積109之適當的流體排出流量。然而,如之前所討論,通孔117之尺寸受到限制以預防來自電漿產生容積109之不利的電漿侵入至通孔117內。
在一實施例中,流量控制板係設於氣體分配單元115之上表面上來控制哪個通孔117針對來自電漿產生容積109之流體排出而開放。圖4A顯示依據本發明之一實施例的設於氣體分配單元115之上表面302上的流量控制板401。在一實施例中,流量控制板401係定義為具有在自約3 mm延伸至約6 mm範圍之內的厚度403之圓盤。流量控制板401圓盤係定義為具有足以覆蓋藉以控制流量的通孔117之直徑。在一實施例中,流量控制板401圓盤係定義為具有覆蓋氣體分配單元115之上表面的直徑。
在一實施例中,流量控制板401係由導電且導熱的材料所形成,且係固定於氣體分配單元115來確保流量控制板401及氣體分配單元115之間的適當的電及熱傳導。在一實施例中,流量控制板401係藉由數個固定器固定於氣體分配單元115。再者,在各種實施例中,流量控制板401可藉由如以上所討論之關於氣體分配單元115者的抗電漿塗層加以覆蓋及保護。
在一實施例中,孔的複數種形式係定義為通過流量控制板401。流量控制板401內的孔的複數種形式之各者對齊於氣體分配單元115內的不同組的通孔117。氣體分配單元115之上表面上的流量控制板401在流量控制板401相關於氣體分配單元115之上表面的特定旋轉位置的配置,係對應至流量控制板401內之孔的複數種形式之特定一者與其氣體分配單元115內的對應組的通孔117的對準。延伸通過流量控制板401的孔的複數種形式之各者係定義為使不同數量或不同空間形式的通孔117在氣體分配單元115內曝露。因此,可藉由將流量控制板401設定在相關於氣體分配單元115之上表面的特定旋轉位置而控制經由氣體分配單元115之流體排出。
圖4B顯示依據本發明之一實施例的流量控制板401之俯視圖,該流量控制板401係定位成使定義於其中的孔405形式允許通過定義於下方的氣體分配單元115內之所有通孔117的流動。圖4C顯示依據本發明之一實施例的流量控制板401之俯視圖,該流量控制板401係定位成使定義於其中的孔405形式允許僅通過定義於下方的氣體分配單元115內之通孔117的一部分的流動。再者,在其他實施例中,流量控制板401中的複數種形式之孔405係定義為容許經由氣體分配單元115之不同空間形式的流體排出流。
圖4D顯示依據本發明之一實施例的由數個可同心旋轉的流量控制板407A、407B、407C所定義的流量控制板組件401A之俯視圖。可獨立設定各同心之可旋轉的流量控制板407A、407B、407C來提供對氣體分配單元115內哪個通孔117開啟或關閉的中心至邊緣控制。具體來說,流量控制板組件401A包含以同心方式設於氣體分配單元115之上表面上的中心圓盤407A及數個同心環407B/407C。吾人應明瞭圖4D之特定配置係提供用來舉例。其他實施例可包含不同於圖4D中所顯示的數量的同心之可旋轉的流量控制板。
中心圓盤407A及數個同心環407B/407C之每一者各包含延伸通過其中的複數種孔的形式。複數種孔的形式之各者對齊於氣體分配單元115內的不同組的通孔117,使得氣體分配單元115之上表面上的中心圓盤407A及同心環407B/407C之各者在相關於氣體分配單元115之上表面的特定旋轉位置的配置對應至孔的複數種形式之特定一者與氣體分配單元115內的其對應組之通孔117的對齊。延伸通過中心圓盤407A及同心環407B/407C之複數種孔的形式之各者係定義為將不同數量或不同空間形式的通孔117於氣體分配單元115內曝露。
吾人應明瞭電漿產生容積109係作成包含受限電漿之尺寸。受限電漿在其滯留時間可藉由控制電漿區域內,即電漿產生容積109內的容積、壓力、及流量來加以控制方面為有利的。電漿滯留時間影響自由基/中性粒子形成之因素的解離處理。再者,電漿滯留時間影響晶圓113上發生的沉積或蝕刻量,該沉積或蝕刻量為執行如原子層沉積或原子層蝕刻的短滯留時間處理的重要因素。電漿產生容積109為小型的、且有關壓力及溫度之控制良好。在各種實施例中,較低電漿處理容積109內的壓力可被控制在自約5 mTorr延伸至約100 mTorr、或自約10 mTorr延伸至約30 mTorr、或自約100 mTorr延伸至約1 Torr、或自約200 mTorr延伸至約600 mTorr的範圍之內。
吾人應察知,因為氣體係垂直抽出而非可能導致晶圓113範圍內的徑向壓力分佈的徑向抽出,所以氣體分配單元115所提供的雙軸向電漿處理氣體輸入及排出使得能夠在晶圓113範圍內精確控制壓力均勻性。雙軸向電漿處理氣體輸入及排出亦允許例如需要如小於毫秒之短暫電漿滯留時間的原子層沉積或原子層蝕刻的低流量應用中的滯留時間之精確控制。
圖5顯示依據本發明之一實施例的半導體晶圓處理的方法之流程圖。該方法包含操作501,用來將半導體晶圓夾持在實質上平行於氣體分配單元的方向,使得半導體晶圓及氣體分配單元之間形成電漿處理容積。在一實施例中,氣體分配單元係定義為在電漿處理容積之整體的上方延伸的薄板。再者,在一實施例中,半導體晶圓係夾持於夾盤之上表面上。該方法亦包含操作503,用來使電漿處理氣體朝實質上垂直於半導體晶圓的方向自氣體分配單元內流入電漿處理容積。此外,執行操作505以引導來自電漿處理容積內經由氣體分配單元朝實質上垂直於半導體晶圓的方向的電漿處理氣體之排出流。經由氣體分配單元的電漿處理氣體之排出流係來自電漿處理容積內的電漿處理氣體之唯一排出流。
該方法更包含操作507,用來傳輸RF功率至電漿處理容積以將電漿處理氣體轉換成接觸半導體晶圓的電漿。在一實施例中,夾持半導體晶圓的夾盤係運作為電極以將RF功率傳輸至電漿處理容積。且在該方法中,來自氣體分配單元的電漿處理氣體之排出流被接收至排出區域內。泵浦係運作以對流動性連接於排出區域的閥提供吸力。並且,閥係運作以控制脫離排出區域的排出流,並因此控制自電漿產生容積經由氣體分配單元流至排出區域內的的排出流。
在一實施例中,操作503包含使電漿處理氣體自氣體分配單元內的複數個可獨立控制的氣體供應分區流入電漿處理容積內。在本實施例中,通過複數個氣體供應分區的電漿處理氣體之個別流量被控制使得能夠控制半導體晶圓範圍內的電漿密度。再者,在本實施例之一實施方式中,複數個可獨立控制的氣體供應分區係同心地定義在氣體分配單元的範圍內。此外,在一實施例中,使電漿處理氣體自氣體分配單元內流入電漿處理容積、以及將電漿處理氣體之排出流自電漿處理容積內引導經過氣體分配單元,係以脈衝方式執行以控制接觸半導體晶圓的電漿之滯留時間。
儘管本發明已利用若干實施例之形式加以敘述,但是吾人仍將察知,熟悉本技術領域者在閱讀前述說明及研讀圖式後,將明瞭各種變化、增添、變換及其均等物。因此,欲使本發明包含落於本發明之真正精神及範圍之內的所有此變化、增添、變換及均等物。
100...腔室
100A...頂板
100B...底板
100C...壁
100D...內部空腔
100E...頂板
101...閥
102...泵浦
103...排出歧管
104...外結構構件
105...閘門閥
107A...夾盤主體
107B...夾盤電極
108...外周結構
109...電漿產生容積
111...RF電源
113...晶圓
115...氣體分配單元
115A...同心區域/分區
115B...同心區域/分區
115C...同心區域/分區
117...通孔
118A...電漿處理氣體供應源
118B...電漿處理氣體供應源
119...氣體供應埠
123...箭頭
135...排出埠
137...泵浦
139...密封墊
149...石英聚焦環
302...上表面
305A...氣體流量控制裝置
305B...氣體流量控制裝置
305C...氣體流量控制裝置
307...擴散器形狀
401...流量控制板
401A...流量控制板組件
403...厚度
405...孔
407A...中心圓盤
407B...同心環
407C...同心環
501...操作
503...操作
505...操作
507...操作
圖1A顯示依據本發明之一實施例的半導體晶圓處理設備。
圖1B顯示依據本發明之一實施例的具有描繪通過氣體分配單元的氣流及排出流之箭頭的圖1A之腔室。
圖2顯示依據本發明之一實施例的腔室之另一配置。
圖3A顯示依據本發明之一實施例的氣體分配單元之仰視圖。
圖3B顯示依據本發明之一實施例的氣體分配單元之俯視圖。
圖3C顯示依據本發明之一實施例的氣體供應埠之橫剖面。
圖4A顯示依據本發明之一實施例的設於氣體分配單元之上表面上的流量控制板。
圖4B顯示依據本發明之一實施例的流量控制板之俯視圖,該流量控制板係定位成使定義於其中的孔形式允許通過定義於下方的氣體分配單元內之所有通孔的流動。
圖4C顯示依據本發明之一實施例的流量控制板之俯視圖,該流量控制板係定位成使定義於其中的孔形式允許僅通過定義於下方的氣體分配單元內之通孔的一部分的流動。
圖4D顯示依據本發明之一實施例的由數個可旋轉的同心流量控制板所定義的流量控制板組件之俯視圖。
圖5顯示依據本發明之一實施例的半導體晶圓處理用的方法之流程圖。
100...腔室
100A...頂板
100B...底板
100C...壁
100D...內部空腔
101...閥
102...泵浦
103...排出歧管
104...外結構構件
105...閘門閥
107A...夾盤主體
107B...夾盤電極
108...外周結構
109...電漿產生容積
111...RF電源
113...晶圓
115...氣體分配單元
117...通孔
118A...電漿處理氣體供應源
118B...電漿處理氣體供應源
119...氣體供應埠
123...箭頭
135...排出埠
137...泵浦
139...密封墊
149...石英聚焦環

Claims (21)

  1. 一種半導體晶圓處理設備,該設備包含:一夾盤,包含曝露至一電漿產生容積的一電極,該電極係定義為傳輸射頻(radiofrequency,RF)功率至該電漿產生容積,該電極具有一上表面,該上表面係定義為夾持曝露於該電漿產生容積之一基板,該夾盤具有頂部表面,其圍繞該電極之頂部表面之外周;一氣體分配單元,設置於該電漿產生容積上方且朝向相關於該電極之一實質上平行方向,該氣體分配單元係定義為包含定義為朝實質上垂直於該電極的該上表面之一方向引導一電漿處理氣體之一輸入流進入該電漿產生容積的氣體供應埠之配置,該氣體分配單元係更定義為包含每一者延伸通過該氣體分配單元以將該電漿產生容積流動性連接至一排出區域的通孔之配置,其中該通孔之各者係定義為朝實質上垂直於該電極的該上表面之一方向引導來自該電漿產生容積的該電漿處理氣體之一排出流,其中該氣體分配單元係定義為一薄板,該薄板係形成為使該電漿產生容積與該排出區域分隔,且其中該氣體供應埠之配置中的每一氣體供應埠係定義在該薄板的一下表面,以容許分配該電漿處理氣體至該電漿產生容積;及一外周結構,具有頂部表面及底部表面,且形成為以實心形式延伸於其頂部表面與底部表面之間,該外周結構的底部表面設於該夾盤之頂部表面上,該氣體分配單元設於該外周結構之頂部表面上,該外周結構定義為圍繞且包圍該電漿產生容積之一周長,使得圍繞該電漿產生容積之該周長的該外周結構之底部表面與該夾盤之頂部表面之間存在不中斷的流體密封,並使得圍繞該電漿產生容積之該周長的該外周結構之頂部表面與該氣體分配單元之間存在不中斷的流體密封。
  2. 如申請專利範圍第1項之半導體晶圓處理設備,其中該電極形成該電漿產生容積之一下表面,且其中該薄板之一下表面提供該電漿產生容積之一上界限。
  3. 如申請專利範圍第2項之半導體晶圓處理設備,其中該薄板包含內部氣體供應通道,該內部氣體供應通道係流動性連接至定義於該薄板之該下表面上以分配該電漿處理氣體至該電漿產生容積的該氣體供應埠之配置。
  4. 如申請專利範圍第3項之半導體晶圓處理設備,其中該氣體供應通道係定義為在該薄板之該下表面範圍內將該氣體供應埠之配置流動性分隔成複數個同心區域,使得該電漿處理氣體流至該複數個同心區域之各者內之該氣體供應埠的流量被獨立控制。
  5. 如申請專利範圍第1項之半導體晶圓處理設備,其中該氣體分配單元係由一導電材料所形成且係電性連接於一參考接地電位,使得該氣體分配單元提供用於該電漿產生容積之一接地電極。
  6. 如申請專利範圍第1項之半導體晶圓處理設備,其中該電極在朝向及遠離該氣體分配單元的一方向上可動,以容許控制跨越該電漿產生容積、垂直於該電極及該氣體分配單元二者的一距離。
  7. 如申請專利範圍第1項之半導體晶圓處理設備,更包含:一排出歧管,設於該氣體分配單元上方以形成該排出區域;一閥,流動性連接於該排出歧管;及一泵浦,流動性連接於該閥以對該閥提供一吸力,其中該閥係用以控制來自該電漿產生容積經由該氣體分配單元之一排出流。
  8. 如申請專利範圍第1項之半導體晶圓處理設備,更包含:一腔室,定義為包圍該腔室的一內部空腔內的該電極及該氣體分配單元,其中該腔室的該內部空腔形成該排出區域;一泵浦,流動性連接於該腔室的該內部空腔以對該腔室的該內部空腔提供一吸力;及 一閥,設置成用以控制起因於該泵浦所提供之該吸力的來自該腔室的該內部空腔之一流體流動。
  9. 一種半導體晶圓處理用的系統,該系統包含:一腔室,定義為具有一內部空腔;一夾盤,設於該腔室的該內部空腔之內,該夾盤具有定義為夾持曝露於一電漿產生容積之一基板的一上表面,該夾盤係定義為供應RF功率至該電漿產生容積;一外周結構,設於該夾盤上並定義為圍繞且包圍該電漿產生容積之一周長,使得圍繞該電漿產生容積之該周長的該外周結構與該夾盤之間存在不中斷的密封;一氣體分配單元,設於該外周結構上而使得圍繞該電漿產生容積之該周長的該外周結構與該氣體分配單元之間存在不中斷的密封,該氣體分配單元定義為以實質上平行於該夾盤之該上表面的關係在該電漿產生容積範圍之內延伸,該氣體分配單元係定義為包含氣體供應埠之配置,該氣體供應埠係定義為引導一電漿處理氣體之一輸入流進入該電漿產生容積,該氣體分配單元係更定義為包含通孔之配置;一排出區域,定義於該腔室之內、該氣體分配單元上方,使得該通孔之各者延伸通過該氣體分配單元以將該電漿產生容積流動性連接至該排出區域,其中該通孔之配置係用以自該電漿產生容積排出氣體的唯一裝置;及一泵浦,流動性連接至該排出區域以自該排出區域移除氣體。
  10. 如申請專利範圍第9項之半導體晶圓處理用的系統,其中該氣體供應埠之各者係定義為引導該電漿處理氣體之該輸入流朝實質上垂直於該夾盤之該上表面的一方向進入該電漿產生容積,且其中該通孔之各者係定義為朝實質上垂直於該夾盤的該上表面之一方向引導來自該電漿產生容積的該電漿處理氣體之該排出流。
  11. 如申請專利範圍第9項之半導體晶圓處理用的系統,其中該氣體分配單元係由一導電材料所形成且係電性連接於一參考接地電位,使得該氣體分配單元提供用於該電漿產生容積之一接地電極。
  12. 如申請專利範圍第9項之半導體晶圓處理用的系統,其中該夾盤在朝向及遠離該氣體分配單元之一方向上可動,以容許控制延伸跨越該電漿產生容積、垂直於該夾盤之該上表面及該氣體分配單元二者之一距離。
  13. 一種半導體晶圓處理用的方法,該方法包含:將一半導體晶圓在實質上平行於一氣體分配單元之一方向上夾持於一夾盤的一上表面,使得一電漿處理容積形成於該半導體晶圓及該氣體分配單元之間,其中該電漿處理容積係由一外周結構圍繞並包圍,該外周結構具有頂部表面及底部表面,且形成為以實心形式延伸於其頂部表面與底部表面之間,其中該外周結構之底部表面設於該夾盤之頂部表面上,其中該氣體分配單元設於該外周結構之頂部表面上,其中該外周結構定義為圍繞且包圍該電漿處理容積之一周長,使得圍繞該電漿處理容積之該周長的該外周結構之底部表面與該夾盤之頂部表面之間存在不中斷的流體密封,並使得圍繞該電漿產生容積之該周長的該外周結構之頂部表面與該氣體分配單元之間存在不中斷的流體密封;在實質上垂直於該半導體晶圓之一方向上,使來自該氣體分配單元內之一電漿處理氣體流入該電漿處理容積;及朝實質上垂直於該半導體晶圓之一方向將來自該電漿處理容積內的該電漿處理氣體之一排出流引導通過該氣體分配單元,藉以使通過該氣體分配單元的電漿處理氣體之該排出流為來自該電漿處理容積內的電漿處理氣體之該唯一排出流。
  14. 如申請專利範圍第13項之半導體晶圓處理用的方法,其中該 氣體分配單元係定義為在該電漿處理容積之一整體上方延伸的一薄板。
  15. 如申請專利範圍第13項之半導體晶圓處理用的方法,更包含:傳輸RF功率至該電漿處理容積以將該電漿處理氣體轉換成接觸該半導體晶圓之一電漿。
  16. 如申請專利範圍第15項之半導體晶圓處理用的方法,其中該夾盤係作為傳輸該RF功率至該電漿處理容積之一電極。
  17. 如申請專利範圍第13項之半導體晶圓處理用的方法,其中使來自該氣體分配單元內的該電漿處理氣體流入該電漿處理容積、以及將來自該電漿處理容積內的該電漿處理氣體之該排出流引導通過該氣體分配單元的電漿處理氣體之該排出流,係以一脈衝方式執行以控制與該半導體晶圓接觸的電漿之一滯留時間。
  18. 如申請專利範圍第13項之半導體晶圓處理用的方法,其中該電漿處理氣體係自該氣體分配單元內的可獨立控制之複數個氣體供應分區流入該電漿處理容積。
  19. 如申請專利範圍第18項之半導體晶圓處理用的方法,更包含:控制通過該複數個氣體供應分區之各者的電漿處理氣體之一流量以控制該半導體晶圓範圍內之一電漿密度。
  20. 如申請專利範圍第18項之半導體晶圓處理用的方法,其中可獨立控制之該複數個的氣體供應分區係同心地定義為在該氣體分配單元之範圍內。
  21. 如申請專利範圍第13項之半導體晶圓處理用的方法,更包含:將來自該氣體分配單元的電漿處理氣體的該排出流接收至一 排出區域;操作一泵浦以對流動性連接至該排出區域之一閥提供一吸力;及操作該閥以控制來自該電漿產生容積通過該氣體分配單元之一排出流。
TW100125009A 2010-08-04 2011-07-14 半導體晶圓處理用的設備、系統及方法 TWI546857B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/850,552 US8869742B2 (en) 2010-08-04 2010-08-04 Plasma processing chamber with dual axial gas injection and exhaust

Publications (2)

Publication Number Publication Date
TW201214557A TW201214557A (en) 2012-04-01
TWI546857B true TWI546857B (zh) 2016-08-21

Family

ID=45556459

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100125009A TWI546857B (zh) 2010-08-04 2011-07-14 半導體晶圓處理用的設備、系統及方法
TW105115668A TWI609425B (zh) 2010-08-04 2011-07-14 半導體晶圓處理用的設備、系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105115668A TWI609425B (zh) 2010-08-04 2011-07-14 半導體晶圓處理用的設備、系統及方法

Country Status (6)

Country Link
US (2) US8869742B2 (zh)
KR (1) KR101871521B1 (zh)
CN (2) CN105845535B (zh)
SG (2) SG187256A1 (zh)
TW (2) TWI546857B (zh)
WO (1) WO2012018448A2 (zh)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
JP6119408B2 (ja) * 2013-05-09 2017-04-26 ソニー株式会社 原子層堆積装置
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
USD732092S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas injection plate
USD732093S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas tube assembly
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10760161B2 (en) * 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
KR102227879B1 (ko) * 2016-01-15 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 가변 패턴 분리 그리드
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
DE102016008775A1 (de) 2016-07-22 2018-01-25 Oliver Feddersen-Clausen Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10280519B2 (en) 2016-12-09 2019-05-07 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
KR102577264B1 (ko) 2018-04-20 2023-09-11 삼성전자주식회사 샤워헤드 및 기판 처리 장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60198822A (ja) * 1984-03-23 1985-10-08 Anelva Corp ドライエツチング装置
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5246526A (en) * 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6020458A (en) 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6261408B1 (en) 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
AU2002366921A1 (en) 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070042131A1 (en) 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
JP4700441B2 (ja) 2005-08-29 2011-06-15 東ソー・クォーツ株式会社 斜め貫通孔を有する開口体の製造方法
JP2007088199A (ja) 2005-09-22 2007-04-05 Canon Inc 処理装置
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
KR100888652B1 (ko) 2007-08-14 2009-03-13 세메스 주식회사 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
KR100982842B1 (ko) 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5367522B2 (ja) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6330623B2 (ja) * 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体

Also Published As

Publication number Publication date
US20150004793A1 (en) 2015-01-01
WO2012018448A2 (en) 2012-02-09
TW201214557A (en) 2012-04-01
CN105845535B (zh) 2017-12-26
KR101871521B1 (ko) 2018-08-02
SG10201506065YA (en) 2015-09-29
TWI609425B (zh) 2017-12-21
SG187256A1 (en) 2013-03-28
CN103053012B (zh) 2016-04-20
TW201630072A (zh) 2016-08-16
KR20130093110A (ko) 2013-08-21
WO2012018448A3 (en) 2012-04-05
US8869742B2 (en) 2014-10-28
US20120034786A1 (en) 2012-02-09
CN105845535A (zh) 2016-08-10
CN103053012A (zh) 2013-04-17
US9793128B2 (en) 2017-10-17

Similar Documents

Publication Publication Date Title
TWI546857B (zh) 半導體晶圓處理用的設備、系統及方法
TWI605495B (zh) 中性粒子/離子流通量控制用之雙電漿容積處理設備
US20210313213A1 (en) Substrate support with multiple embedded electrodes
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US9982343B2 (en) Apparatus for providing plasma to a process chamber
CN111599717B (zh) 一种半导体反应腔室及原子层等离子体刻蚀机
KR20230054344A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
US8097082B2 (en) Nonplanar faceplate for a plasma processing chamber
WO2014013864A1 (ja) 上部電極、及びプラズマ処理装置
US20230402261A1 (en) Uniform in situ cleaning and deposition
US20110024040A1 (en) Deposit protection cover and plasma processing apparatus
WO2023058480A1 (ja) 上部電極構造及びプラズマ処理装置