JP2006009152A - 高速原子層堆積装置及び使用方法 - Google Patents

高速原子層堆積装置及び使用方法 Download PDF

Info

Publication number
JP2006009152A
JP2006009152A JP2005184930A JP2005184930A JP2006009152A JP 2006009152 A JP2006009152 A JP 2006009152A JP 2005184930 A JP2005184930 A JP 2005184930A JP 2005184930 A JP2005184930 A JP 2005184930A JP 2006009152 A JP2006009152 A JP 2006009152A
Authority
JP
Japan
Prior art keywords
process gas
gas
atomic layer
layer deposition
deposition system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005184930A
Other languages
English (en)
Other versions
JP4713241B2 (ja
Inventor
Eric J Strang
エリック・ジェイ・ストラング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006009152A publication Critical patent/JP2006009152A/ja
Application granted granted Critical
Publication of JP4713241B2 publication Critical patent/JP4713241B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】高速原子層堆積装置及び使用方法
【解決手段】処理チャンバ(100)と、前記処理チャンバ内に設けられた基板ホルダ(120)と、前記処理チャンバ(100)に、第1のプロセスガス及び第2のプロセスガスを供給するように構成されたガス注入装置(140)とを含む、原子層堆積(ALD)を実行する処理システム(100)。前記ガス注入装置(140)は、前記第1のプロセスガス及び第2のプロセスガスを、前記処理チャンバ(100)の第1の位置及び第2の位置から導入するように構成されており、前記第1のプロセスガス及び第2のプロセスガスの少なくとも一方は、前記第1の位置と第2の位置とから、交互にかつ連続に導入される。
【選択図】 図1

Description

本発明は、プラズマ処理に関し、より具体的には、改良されたプラズマ処理のための方法に関する。
一般に、材料物質の処理中に、プラズマは、複合材料構造を作製するときに、材料物質膜の添加及び除去を容易にするために用いられる。例えば、半導体処理において、(ドライ)プラズマエッチングプロセスは、微細ラインに沿って、あるいは、シリコン基板上にパターニングされたビアまたはコンタクト内で、材料物質を除去するかまたはエッチングするのに用いられる。別法として、例えば、気相成長プロセスが、微細ラインに沿って、あるいは、シリコン基板上のビアまたはコンタクト内に材料物質を付着させるのに用いられる。後者の場合、気相成長プロセスは、化学気相成長法(CVD)やプラズマCVD(PECVD)を含む。
PECVDにおいては、プラズマは、堆積メカニズムを変えるためまたは強めるために用いられる。例えば、プラズマ励起は、一般に、膜形成反応を、熱励起CVDで同様の膜を生成するのに通常必要な温度よりも著しく低い温度で行えるようにする。また、プラズマ励起は、エネルギ的に及び動的に熱CVDに不利である堆積化学反応を活性化させる可能性がある。従って、PECVD膜の化学的及び物理的特性は、プロセスパラメータを調節することにより、比較的広い範囲で変化させることができる。
最近になって、PECVD、又は、より一般的なCVDの方式である原子層堆積(ALD:Atomic layer deposition)は、FEOL(front end−of−line)工程における超薄膜ゲート形成や、BEOL(back end−of−line)工程におけるメタライゼーションのための超薄膜バリア層及びシード層の形成に対する候補として浮上してきた。ALDにおいては、材料物質膜を一度に単層ずつ形成するために、2つ以上のプロセスガスが、交互にかつ連続して導入される。このようなALDプロセスは、層の厚さにおける改善された均一性、およびこれの上に前記層が堆積される形状構成に対する整合性を実現することが証明されている。しかし、ALDの場合の堆積速度は、一般に、前記2つ以上のガスを連続させることができる速度に依存する。本発明者らは、現在の堆積システムは、設計が煩わしく、かつALDプロセスにおけるガスの速やかな連続供給に対する必要性を十分に満たしていないことを認識した。従って、現在のALDプロセスは、この優れた特性にもかかわらず、ADL層の障害となる一般的な容認であった、多くの製造必要条件に適していない遅い堆積速度を一般に有している。
本発明の1つの目的は、上述した問題のいずれかまたは全てを低減または解消することである。
本発明の他の目的は、改善された堆積特性をもって、材料物質を堆積する方法を提供することである。
本発明のまた別の目的は、原子層堆積を用いて、堆積の速度を改善する方法及び装置を提供することである。
本発明のこれらおよび/または他の目的は、原子層堆積を実行する方法及びシステムによって実現される。本発明の1つの態様によれば、基板上に膜を形成する原子層堆積(ALD)システムが説明されている。前記システムは、処理チャンバと、前記処理チャンバに組み合わされ、かつ前記基板を支持するように構成された基板ホルダと、前記処理チャンバに結合され、かつ前記処理チャンバを排気させるように構成された排気装置と、前記処理チャンバに結合され、かつ前記処理チャンバ内にプラズマを生成するように構成されたプラズマ源と、前記処理チャンバに結合され、かつ第1のプロセスガス及び第2のプロセスガスを、前記処理チャンバの第1の位置及び第2の位置から導入するように構成されたガス注入装置であって、前記第1のプロセスガス及び前記第2のプロセスガスのうちの少なくとも一方が、前記第1の位置と前記第2の位置とから、交互にかつ連続(alternatingly and sequentially)して、導入されるガス注入装置とを含む。
本発明の他の態様によれば、プラズマアシスト原子層堆積(ALD)プロセスを用いて、基板上に薄膜を堆積する方法であって、前記プラズマALDプロセスを容易にするように構成された処理チャンバ内に、前記基板を配置することと、第1のプロセスガスを、前記処理チャンバ内の第1の位置から導入することと、前記第1の位置から第2のプロセスガスを導入することと、前記処理チャンバ内の第2の位置から前記第1のプロセスガスを導入することと、前記第2の位置から前記第2のプロセスガスを導入することとを含み、前記第1のプロセスガス及び前記第2のプロセスガスのうちの少なくとも一方が、前記第1の位置と前記第2の位置とから、交互にかつ連続して導入される方法が説明されている。
特に、高アスペクト比の形状構成における堆積特性を改善するために、本発明は、より均一で一様な堆積特性を有するALD層を、露出した基板表面に堆積するという実行可能性を改善する工程の処理システム及び方法を提供する。
例えば、ALDプロセスにおいては、金属、金属窒化物、金属酸化物、窒化物及び酸化物からなる薄く一様な膜を、一度に単層ずつ形成するために、連続的なガス流と共に、あるいは前記ガス流を要することなく、1つ以上のガスをパルス状に注入することができる。従って、ALDプロセスを用いた、材料物質の堆積に関する1つの態様は、膜が前記基板上に形成される速度である。本発明者らは、前記速度が、一般に、反応物を、上記処理システム内に連続して供給し、かつ入れ替えることができる速度に関連していることを認識した。このため、前記連続供給及びガスの入替え速度が増すと、堆積速度が増す。
本発明は、一般に、第1の前駆体を、ガス注入オリフィスの第1のアレイを介して供給し、かつ第2の前駆体を、ガス注入オリフィスの第2のアレイを介して供給することが可能なガス注入装置を含むプラズマ処理システムに関する。前記ガス注入装置は、さらに、第1のプロセスガス及び第2のプロセスガスの導入を交互に行うように構成されている。また、前記ガス注入装置は、さらに、前記第1のプロセスガス及び第2のプロセスガスの導入の位置を順番に並べるように構成されている。
基板125を支持する基板ホルダ120を有する処理チャンバ110を含む、ALDプロセスを実行する処理システム100を図1に示す。また、処理システム100は、プロセス空間135内にプラズマを生成するプラズマ源130と、第1のプロセスガス及び第2のプロセスガスを導入するように構成されたガス注入装置140とを含む。さらに、ガス注入装置140は、前記第1及び第2のプロセスガスを連続的にかつ交互に導入し、そこから前記第1及び第2のプロセスガスが処理チャンバ110内に導入される位置を順番に並べるように構成されている。排気装置145が、処理チャンバ110に結合されており、かつ処理チャンバ110を排気するように構成されている。制御装置150が、本願明細書で説明するように、種々のコンポーネントを制御するために、排気装置145、基板ホルダ120、ガス注入装置140及びプラズマ源130に結合されている。
次に、図2、図3、図4及び図5を参照すると、2つ以上のプロセスガスを処理チャンバ110に導入する方法及び装置が描かれている。図2、図4及び図5に示すように、プロセスガスは、ガス注入装置140を用いて、基板125の上に導入される。例えば、図2、図3及び図5に示すように、第1のプロセスガス(A)は、期間Δt11の間の時刻tに、第1の位置144Aに導入され、第2のプロセスガス(B)は、期間Δt12の間の第1のプロセスガス(A)の導入の間または前記導入の後に、第1の位置144Aに導入される。その後、第1のプロセスガス(A)及び第2のプロセスガス(B)の導入が、期間Δt21及びΔt22の間に第2の位置144Bに対して繰り返され、第3の位置144Cに対しても同様である。第2のプロセスガス(B)の導入は、第1のプロセスガス(A)の導入の後に続くように示されているが、前記第1及び第2のプロセスガスは、同じ期間に対してまたは同じ期間でなくとも、同時に導入することができ、あるいは、それらのプロセスガスの導入は、互いにずらしてもよいことを認識すべきである。さらに、一方の位置から他方の位置へ順番に行うことは、一方を実行した後に他方を実行するように示されているが、前記順番に行うことは、適切なときに一致させることができ、または適切なときに重複させることができ、あるいは、適切なときにずらすことができることを認識すべきである。別法として、上記第1のプロセスガスまたは第2のプロセスガスのいずれかは、連続的に流すことができる。
図4及び図5に示すように、ガス注入装置140は、2つ以上のプロセスガスを、基板125に対して2つ以上の位置から導入するように構成された複数のノズルアセンブリ144を含む。各ノズルアセンブリ144は、異なる位置、すなわち、144A、144B、144C等に位置しており、第1のプロセスガス(A)及び第2のプロセスガス(B)等の2つまたそれ以上のプロセスガスを導入するように構成されている。図6に示すように、各ノズルアセンブリ144は、2つ以上のガス供給ライン、例えば、前記第1のプロセスガスのための第1のガスライン145Aと、前記第2のプロセスガスのための第2のガスライン145Bとを含む。各ガスライン145A及び145Bは、前記2つ以上のプロセスガスを供給するように構成されたガス供給装置(図示せず)に結合されている。また、第1のガスライン145A及び第2のガスライン145Bは、それぞれ、第1のガス注入弁146A及び第2のガス注入弁146B、および前記2つ以上のプロセスガスが、それを通って処理チャンバ110に導入される第1の注入オリフィス147A及び第2の注入オリフィス147Bを含む。第1及び第2のガス注入弁146A及び146Bは、空圧駆動弁または電子機構(ソレノイド)弁を含むことができる。さらに、第1及び第2のガス注入弁146A及び146Bは、高速パルス状に注入するガス注入弁を含むことができる。例示的なパルス状に注入するガス注入システムは、2001年3月2日に出願された、係属中の米国出願第60/272,452号に詳細に記述されており、前記明細書は全体として参照してここに組み込まれる。
再び図1について説明すると、プラズマ源130は、米国特許第6,675,737号、国際公開第2002/080248号及び国際公開第2003/001578号に詳細に記載されているプラズマ源等のスロット式平面アンテナ(SPA)プラズマ源を含むことができ、これらの明細書及びパンフレットの内容全体は参照してここに組み込まれる。また、プラズマ源130は、高周波(RF)発生器等の電源に結合された電極、または高周波発生器に結合された螺旋コイル等の電源に結合されたコイルアンテナを含むことができる。例えば、プラズマ源130は、容量結合型プラズマ(CCP)源、または誘導結合型プラズマ(ICP)源、またはそれらの組合せを含むことができる。別法として、プラズマ源130は、低電子温度を有する電子ビーム源等の大面積プラズマの生成が可能なプラズマ源、および表面波、ヘリコンに基づく高密度平坦プラズマ生成が可能なプラズマ源、または電子サイクロトロン共鳴(ECR)プラズマ源を含むことができる。
また図1について説明すると、基板ホルダ120は、基板125を基板ホルダ120に対して電気的に(または機械的に)クランプするために、静電クランプ装置(または機械クランプ装置)を備えることができる。さらに、基板ホルダ120は、例えば、基板ホルダ120から熱を受取り、熱を熱交換装置(図示せず)に伝達する再循環冷却流を有する冷却装置、または熱を前記熱交換装置から基板ホルダ120へ伝達する加熱装置をさらに含むことができる。また、熱伝達ガスは、例えば、基板125と基板ホルダ120の間のガスギャップ熱伝導性を改善するために、裏面ガス装置を介して基板125の裏面に供給することができる。例えば、基板125の裏面に供給される熱伝達ガスは、ヘリウム、アルゴン、キセノン、クリプトン等の不活性ガス、プロセスガス、または酸素、窒素または水素等のその他のガスを含むことができる。このような装置は、上昇したまたは降下した温度での前記基板の温度制御が必要な場合に用いることができる。例えば、前記裏面ガス装置は、2ゾーン(中心部−縁部)装置等のマルチゾーンガス供給装置を備えることができ、裏面ガスギャップ圧力は、基板125の中心部と縁部との間で、別々に変化させることができる。他の実施形態においては、抵抗加熱要素等の加熱/冷却要素、または熱電気ヒータ/クーラーを、基板ホルダ120や処理チャンバ110のチャンバ壁に含めることができる。
また、基板ホルダ120は、それを介して、高周波電力等のAC電力、またはDC電力が基板125に結合される電極を含むことができる。例えば、基板ホルダ120は、高周波発生器からインピーダンス整合ネットワークを介した基板ホルダ120への高周波電力の伝送によって、高周波電圧で電気的にバイアスをかけることができる。前記高周波バイアスのための典型的な周波数は、0.1MHzから100MHzとすることができる。プラズマ処理のための高周波装置は、当業者には公知である。別法として、高周波電力は、複数の周波数で、前記基板ホルダ電極に印加される。さらに、インピーダンス整合ネットワークは、反射電力を低減することにより、上記処理チャンバにおける、高周波電力のプラズマへの伝達を改善するように機能することができる。整合ネットワーク接続形態(例えば、L形、π形、T形等)及び自動制御法は、当業者には公知である。
別法として、パルス状高周波バイアスを基板ホルダ120に印加することができる。例えば、前記高周波バイアスは、発振器から発生させて、インピーダンス整合ネットワークを介して基板ホルダ120に印加することができる。増幅器は、波形信号発生器から出力される信号を介した振幅変調を受ける前記発振器から出力される高周波バイアス信号の振幅を増加させることができる。前記増幅器は、増幅した高周波バイアス信号を前記インピーダンス整合ネットワークに送ることができる。
上記増幅器は、上記発振器からの発振器入力及び上記波形信号発生器からの振幅変調信号を受取るのに適した線形高周波増幅器とすることができる。前記波形信号発生器から出力される信号の1つの実施例は、パルス波形である。前記増幅器及び内部パルス発生器を含む例示的な装置は、ドレスラー社(Dressler)(2501 North Rose Drive,Placentia,CA 92670)から購入可能な線形RF増幅器(Model line LPPA)である。上記の増幅器は、連続的なモード、及び10から500MHzの周波数、400から8000Wの高周波電力のパルスモードで作動することが可能である。また、上記の増幅器は、20ミリ秒程度の短いパルス幅を実現することができる。
上記インピーダンス整合ネットワークは、反射電力を最少化することにより、基板ホルダ120への高周波電力の伝送を最大化するように機能する。この目的を達成するための整合ネットワーク接続形態(例えば、L形、π形、T形等)は公知である。例えば、L形構成においてキャパシタC1及びC2を同調させるための整合ネットワーク設定は、スタート及び実行時間中に、コントローラを介して制御される。好ましくは、自動整合ネットワーク制御方法が、上記プロセス全体を通して最適な整合を維持するために用いられる。しかし、典型的な整合ネットワークの場合の応答は、約150ミリ秒である。そのため、従来の(機械的に同調される)整合ネットワークが、約150ミリ秒以下のパルス幅に最適に応答することができることは予想されない。このような場合、従来の整合ネットワークは、連続的なフロープロセスガス条件に基づいて、実行及び始動設定値に対して設計される。一方、数百ミリ秒を超えるパルス幅が用いられる場合には、従来の整合ネットワークは、パルス期間中であっても、応答して最適なインピーダンス整合を実現できるような十分速いものとなる。
また、図1の処理システム100は、それを介して、プロセスガス及び排出ガスを、処理チャンバ110から除去(または排気)することができる排気装置145をさらに含む。排気装置145は、好ましくは、5000リットル/秒(及びそれ以上)に及ぶ排気速度が可能なターボ分子真空ポンプ(TMP)と、チャンバ圧力を絞るためのゲートバルブとを含む。
さらに、制御装置150は、マイクロプロセッサと、記憶装置と、処理システム100と通信しかつ前記処理システムへの入力を活動化させると共に、処理システム100からの出力をモニタするのに十分な制御電圧を生成することが可能なディジタルI/Oポートとを含むことができる。また、制御装置150は、処理チャンバ110、基板ホルダ120、プラズマ源130、ガス注入装置140及び排気装置145に結合することができ、かつそれらと情報を交換することができる。例えば、前記記憶装置に格納されたプログラムは、堆積プロセスを実行するためのプロセスレシピに従って、処理システム100の上述した構成要素への入力を活動化させるのに用いることができる。制御装置150の1つの実例は、テキサス州オースティン(Texas,Austin)のデル・コーポレーション(Dell Corporation)から入手可能なDELL PRECISION WORKSTATION 610(商標)を含む。
図1に示すように、制御装置150は、処理システム100に対して、近くに配置することができ、または前記制御装置は、処理システム100に対して、遠く離れて配置することができる。例えば、制御装置150は、直接的な接続、イントラネット及びインターネットのうちの少なくとも1つを用いて、処理システム100とデータを交換することができる。制御装置150は、例えば、顧客の現場(すなわち、デバイスメーカー等)において、イントラネットに結合することができ、あるいは、前記制御装置は、例えば、ベンダの現場(すなわち、設備製造会社)において、イントラネットに結合することができる。また、例えば、制御装置150は、インターネットに結合することができる。さらに、他のコンピュータ(すなわち、コントローラ、サーバ等)は、例えば、制御装置150にアクセスして、直接的な接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータを交換することができる。
次に、図7を参照すると、処理チャンバ内の基板上に薄膜を堆積する方法が説明されている。前記方法は、第1のプロセスガスを、上記処理チャンバの第1の位置から導入することを伴う510で始まるフローチャート500として示されている。520において、第2のプロセスガスが、前記処理チャンバの前記第1の位置から導入される。530において、前記第1のプロセスガスは、第2の位置から前記処理チャンバに導入され、540において、前記第2のプロセスガスは、前記第2の位置から前記処理チャンバに導入される。
上記第1のプロセスガス及び第2のプロセスガスの少なくとも一方は、上記第1の位置と第2の位置とから、交互にかつ連続に導入される。例えば、前記第1のプロセスガスは、第1の期間に、前記第1の位置から導入した後、第2の期間に、前記第2の位置から導入することができる。別法として、前記第1のプロセスガス及び第2のプロセスガスは、共に、第1の期間に、前記第1の位置から導入し、その後、第2の期間に、前記第2の位置から導入することができる。別法として、前記第1のプロセスガスは、第1の期間に、前記第1の位置から導入され、前記第2のプロセスガスは、第2の期間に、前記第1の位置から導入され、その後、前記第1のプロセスガスは、第3の期間に前記第2の位置から導入され、前記第2のプロセスガスは、第4の期間に、前記2の位置から導入される。
上記第1のプロセスガスの流量は、10sccmから10000sccmとすることができる。上記第2のプロセスガスの流量は、10sccmから10000sccmとすることができる。チャンバ圧力は、1mトルから1000mトルとすることができる。注入総圧力は、1トルから1000トルとすることができる。プロセスガス導入のための期間は、1ミリ秒から1000ミリ秒とすることができ、ガス導入の間の期間は、1ミリ秒から10秒とすることができる。基板温度は、10℃から400℃とすることができる。上記基板の上面と上記プラズマ源の下面との間の間隔d(図1参照)は、20mmから200mmとすることができ、好ましくは、前記間隔dは、40mmから120mmとすることができる。
本発明者らは、図1、図5及び図6の堆積システムが、上述したように作動した場合、広範囲の製造プロセスに対して実行可能なより速い堆積速度をもたらす、順序付け及びガス交換速度を可能にすることを見出した。
一実施形態において、図7に示す工程からなる方法による原子層堆積(ALD)プロセスを説明する。上記第1のプロセスガスは、キャリヤガスを伴うまたは伴わない第1の前駆体を含むことができる。また、上記第2のプロセスガスは、キャリヤガスを伴うまたは伴わない第2の前駆体を含むことができる。例えば、前記キャリヤガスは、希ガス(すなわち、He、Ne、Ar、Kr、Xe、Rn)等の不活性ガスを含むことができる。前記第1の前駆体及び第2の前駆体は、堆積すべき材料物質によって選択することができる。
1つの実施例においては、タングステンを堆積する場合、上記第1の前駆体は、WFまたはW(CO)を含むことができ、上記第2の前駆体は、Hを含むことができる。
他の実施例においては、窒化タングステンを堆積する場合、上記第1の前駆体は、WF6を含むことができ、上記第2の前駆体は、NH、またはN及びHを含むことができる。
別の実施例においては、タンタルを堆積する場合、上記第1の前駆体は、TaClを含むことができ、上記第2の前駆体は、Hを含むことができる。
他の実施例においては、五酸化タンタルを堆積する場合、上記第1の前駆体は、TaClを含むことができ、上記第2の前駆体は、HO、またはH及びOを含むことができる。
別の実施例においては、タンタル窒化物(すなわち、TaN)を堆積する場合、上記第1の前駆体は、TaCl、PDEAT(ペンタキス(ジエチルアミド)タンタル)、PEMAT(ペンタキス(エチルメチルアミド)タンタル)、TaBr、またはTBTDET(t−ブチルイミノ・トリス(ジエチルアミノ)タンタル)等の前駆体を含有するタンタルを含むことができる。上記第2の前駆体は、H及びNからなる混合物を含むことができる。
他の実施例においては、モリブデンを堆積する場合、上記第1の前駆体は、六フッ化モリブデンを含むことができ、上記第2の前駆体は、Hを含むことができる。
別の実施例においては、銅を堆積する場合、上記第1の前駆体は、Cu(TMVS)(hfac)、またはエアープロダクツ・アンド・ケミカルズ社(Air Products and Chemicals,Inc.,1969 Palomar Oaks Way,Carlsbad,Calif.92009)の一部署であるシューマッハー(Schumacher)から入手可能な、商品名CupraSelect(登録商標)としても知られている、(トリメチルビニルシリル)ヘキサフルオロアセチルアセトナト銅(I)等の有機金属化合物、またはCuCl等の無機化合物を含むことができる。上記第2の前駆体は、H、O、N、NH、またはHOのうちの少なくとも1つを含むことができる。本願明細書中で用いる場合、「A、B、C、…またはXのうちの少なくとも1つ」という言葉は、挙げられた要素のうちのいずれか1つまたは前記挙げられた要素のうちの2つ以上のいずれかの組合せを指す。
他の実施例においては、ZrOを堆積する場合、上記第1の前駆体は、Zr(NOまたはZrClを含むことができ、上記第2の前駆体は、HOを含むことができる。
別の実施例においては、HfOを堆積する場合、上記第1の前駆体は、Hf(NOまたはHfClを含むことができ、上記第2の前駆体は、HOを含むことができる。
他の実施例においては、Hfを堆積する場合、上記第1の前駆体はHfClを含むことができ、上記第2の前駆体は、Hを含むことができる。
別の実施例においては、ニオブを堆積する場合、上記第1の前駆体は、五塩化ニオブを含むことができ、上記第2の前駆体は、Hを含むことができる。
他の実施例においては、亜鉛を堆積する場合、上記第1の前駆体は、二塩化亜鉛を含むことができ、上記第2の前駆体は、Hを含むことができる。
別の実施例においては、SiOを堆積する場合、上記第1の前駆体は、Si(NOを含むことができ、上記第2の前駆体は、HOを含むことができる。
他の実施例においては、SiOを堆積する場合、上記第1の前駆体は、ジクロロシランを含むことができ、上記第2の前駆体は、Hを含むことができる。
別の実施例においては、SiOを堆積する場合、上記第1の前駆体は、SiClを含むことができ、上記第2の前駆体は、HO、またはH及びOを含むことができる。
他の実施例においては、窒化ケイ素を堆積する場合、上記第1の前駆体はSiCl、またはジクロロシランを含むことができ、上記第2の前駆体は、NH、またはN及びHを含むことができる。
別の実施例においては、TiNを堆積する場合、上記第1の前駆体はTi(NO)を含むことができ、上記第2の前駆体は、NHを含むことができる。
他の実施例においては、Tiを堆積する場合、上記第1の前駆体は、四塩化チタンまたは四ヨウ化チタンを含むことができ、上記第2の前駆体は、Hを含むことができる。
別の実施例においては、酸化チタンを堆積する場合、上記第1の前駆体は、四塩化チタンまたは四ヨウ化チタンを含むことができ、上記第2の前駆体は、HO、またはH及びOを含むことができる。
他の実施例においては、TiNを堆積する場合、上記第1の前駆体は、四塩化チタンを含むことができ、上記第2の前駆体は、NHを含むことができる。
別の実施例においては、Tiを堆積する場合、上記第1の前駆体は、テトラキス(ジエチルアミノ)チタンまたはテトラキス(ジメチルアミノ)チタンを含むことができ、上記第2の前駆体は、Hを含むことができる。
他の実施例においては、TiNを堆積する場合、上記第1の前駆体は、テトラキス(ジエチルアミノ)チタンまたはテトラキス(ジメチルアミノ)チタンを含むことができ、上記第2の前駆体は、NHを含むことができる。
別の実施例においては、アルミニウムを堆積する場合、上記第1の前駆体は、三塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、上記第2の前駆体は、Hを含むことができる。
他の実施例においては、窒化アルミニウムを堆積する場合、上記第1の前駆体は、三塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、上記第2の前駆体は、NH、またはN及びHを含むことができる。
別の実施例においては、酸化アルミニウムを堆積する場合、上記第1の前駆体は、三塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、上記第2の前駆体は、HO、またはO及びHを含むことができる。
他の実施例においては、GaNを堆積する場合、上記第1の前駆体は、硝酸ガリウム、またはトリメチルガリウムを含むことができ、上記第2の前駆体は、NHを含むことができる。
別の実施例においては、Crを堆積する場合、上記第1の前駆体は、Crオキソ硝酸を含むことができ、上記第2の前駆体は、Hを含むことができる。
上記第2の前駆体は、例えば、H、O、N、NH、HOまたはHのうちの少なくとも1つとすることができる。
他の実施例においては、上記第1及び第2のプロセスガスは、高圧(100トルから1000トルの総圧力)で注入される。また、上記間隔dは、約105mmであり、上記基板の上のプロセス空間に供給される排気速度は、1000リットル/秒である。これらの条件下で、ガスの交換速度は、約10ミリ秒(msec)とすることができる。別の実施例においては、前記排気速度は、2000l/secであり、前記ガス交換速度は、5msecである。
この発明の特定の実施例のみを詳細に説明してきたが、当業者は、上記の例示的な実施形態においては、この発明の新規な教示及び効果から著しく逸脱することなく、多くの変更例が可能であることを容易に認識するであろう。従って、このような全ての変更例は、この発明の範囲に含まれると解釈すべきである。
本発明の一実施形態に係るプラズマ処理装置の概略図である。 本発明の実施形態によるガス注入方法を示す図である。 本発明の一実施形態によるガス注入方法のタイミング図である。 本発明の一実施形態によるガス注入装置の側面図である。 図3に示すガス注入装置の平面図である。 本発明の一実施形態に係るノズルアセンブリの断面図である。 本発明の一実施形態による、図1のシステムを作動させる処理手順の概略を示す図である。
符号の説明
100…処理システム、 110…処理チャンバ、 120…基板ホルダ、 125…基板、 130…プラズマ源、 135…プロセス空間、 140…ガス注入装置、 144…ノズルアセンブリ、 144A…第1の位置、 144B…第2の位置、 144C…第3の位置、 145…排気装置、 145A…第1のガスライン、 145B…第2のガスライン、 146A…第1のガス注入弁、 146B…第2のガス注入弁、 147A…第1の注入オリフィス、 147B…第2の注入オリフィス、 150…制御装置

Claims (25)

  1. 基板上に膜を堆積する原子層堆積システムであって、
    処理チャンバと、
    前記処理チャンバに組み合わされ、かつ前記基板を支持するように構成された基板ホルダと、
    前記処理チャンバに組み合わされ、かつ前記処理チャンバ内を排気するように構成された排気装置と、
    前記処理チャンバに組み合わされ、かつ前記処理チャンバ内にプラズマを生成するように構成されたプラズマ源と、
    前記処理チャンバに組み合わされ、かつ第1のプロセスガス及び第2のプロセスガスを、前記処理チャンバの第1の位置及び第2の位置から導入するように構成されたガス注入装置と、
    前記原子層堆積システムに結合され、前記第1のプロセスガス及び前記第2のプロセスガスのうちの少なくとも一方が、前記第1の位置と前記第2の位置とから、交互にかつ連続して導入されるように、前記ガス注入装置を制御するように構成されたコントローラとを具備する原子層堆積システム。
  2. 前記コントローラは、前記第1のプロセスガス及び前記第2のプロセスガスの前記処理チャンバへの前記導入を調節することと、モニタリングすることと、制御することとのうちの少なくとも1つを実行するように構成されている請求項1に記載の原子層堆積システム。
  3. 前記コントローラは、前記第1のプロセスガスが、第1の期間に、前記第1の位置から導入され、その後、第2の期間に、前記第2の位置から導入されるように、前記ガス注入装置を制御する請求項1に記載の原子層堆積システム。
  4. 前記コントローラは、前記第1のプロセスガス及び前記第2のプロセスガスが、第1の期間に、前記第1の位置から導入され、その後、第2の期間に、前記第2の位置から導入されるように、前記ガス注入装置を制御する請求項1に記載の原子層堆積システム。
  5. 前記コントローラは、前記第1のプロセスガスが、第1の期間に、前記第1の位置から導入され、かつ前記第2のプロセスガスが、第2の期間に、前記第1の位置から導入され、その後、前記第1のプロセスガスが、第3の期間に、前記第2の位置から導入され、かつ前記第2のプロセスガスが、第4の期間に、前記第2の位置から導入されるように、前記ガス注入装置を制御する請求項1に記載の原子層堆積システム。
  6. 前記ガス注入装置は、
    前記処理チャンバの周囲に配設され、かつ前記第1のプロセスガスを注入するように構成されたガス注入オリフィスからなる第1のセットと、
    前記処理チャンバの周囲に配設され、かつ前記第2のプロセスガスを注入するように構成されたガス注入オリフィスからなる第2のセットとを備えている請求項1に記載の原子層堆積システム。
  7. 前記ガス注入装置は、2つ以上のノズルアセンブリを備え、前記ノズルアセンブリの各々が、前記第1のプロセスガスを導入するように構成された第1のガス注入弁と、第1のガスラインと、第1のガス注入オリフィスとを、前記第2のプロセスガスを導入するように構成された第2のガス注入弁と、第2のガスラインと、第2のガス注入オリフィスとを含んでいる請求項1に記載の原子層堆積システム。
  8. 前記基板ホルダは、前記基板を目標の温度まで加熱するように構成されている請求項1に記載の原子層堆積システム。
  9. 前記基板ホルダは、前記基板を、約40℃から約450℃の範囲の温度に加熱するように構成されている請求項8に記載の原子層堆積システム。
  10. 前記基板ホルダは、前記基板の温度を、高められた温度に制御するように構成されている請求項1に記載の原子層堆積システム。
  11. 前記基板ホルダは、電気的バイアスを前記基板に結合するように構成されている請求項1に記載の原子層堆積システム。
  12. 前記基板ホルダは、パルス状の電気的バイアスを前記基板に結合するように構成されている請求項1に記載の原子層堆積システム。
  13. 前記ガス注入装置は、前記第1のプロセスガス及び前記第2のプロセスガスを、前記第1の位置及び前記第2の位置に注入するように構成されたパルス状に注入するガス注入弁を備えている請求項1に記載の原子層堆積システム。
  14. 前記パルス状に注入するガス注入弁は、ソレノイドバルブを備えている請求項13に記載の原子層堆積システム。
  15. 前記ガス注入装置は、WF、W(CO)、TaCl、PDEAT(ペンタキス(ジエチルアミド)タンタル)、PEMAT(ペンタキス(エチルメチルアミド)タンタル)、TaBr、TBTDET(t−ブチルイミノ・トリス(ジエチルアミノ)タンタル)、六フッ化モリブデン、Cu(TMVS)(hfac)、(トリメチルビニルシリル)ヘキサフルオロアセチルアセトナト銅(I)、CuCl、Zr(NO、ZrCl、Hf(NO、HfCl、五塩化ニオブ、二塩化亜鉛、Si(NO、SiCl、ジクロロシラン、Ti(NO)、TiCl、Til、テトラキス(ジエチルアミノ)チタン、テトラキス(ジメチルアミノ)チタン、三塩化アルミニウム、トリメチルアルミニウム、硝酸ガリウム、トリメチルガリウム及びCrオキソ硝酸からなる群から選択された前記第1のプロセスガスを供給するように構成されている請求項1に記載の原子層堆積システム。
  16. 前記ガス注入装置は、H、N、O、HO、NH、またはHのうちの少なくとも1つとして前記第2のプロセスガスを供給するように構成されている請求項1に記載の原子層堆積システム。
  17. 前記第1のプロセスガスは、さらにキャリヤガスを含んでいる請求項1に記載の原子層堆積システム。
  18. 前記キャリヤガスは、希ガスを含んでいる請求項17に記載の原子層堆積システム。
  19. 前記第2のプロセスガスは、さらにキャリヤガスを含んでいる請求項1に記載の原子層堆積システム。
  20. 前記キャリヤガスは、希ガスを含んでいる請求項19に記載のシステム。
  21. プラズマアシスト原子層堆積プロセスを用いて、基板上に薄膜を堆積する方法であって、
    前記プラズマアシスト原子層堆積プロセスを容易にするように構成された処理チャンバ内に、前記基板を配置することと、
    第1のプロセスガスを、前記処理チャンバ内の第1の位置から導入することと、
    前記第1の位置から第2のプロセスガスを導入することと、
    前記第1のプロセスガスを、前記処理チャンバ内の第2の位置から導入することと、
    前記第2の位置から前記第2のプロセスガスを導入することとを具備し、
    前記第1のプロセスガス及び前記第2のプロセスガスのうちの少なくとも一方が、前記第1の位置と前記第2の位置とから、交互にかつ連続して導入される方法。
  22. 前記第1のプロセスガスを前記第1の位置から導入することは、第1の期間に行われ、その後、前記第1のプロセスガスが、第2の期間に前記第2の位置から導入される請求項21に記載の方法。
  23. 前記第1のプロセスガスを前記第1の位置から導入すること、及び前記第2のプロセスガスを前記第1の位置から導入することは、第1の期間に行われ、その後、前記第1のプロセスガスを前記第2の位置から導入すること、及び前記第2のプロセスガスを前記第2の位置から導入することが、第2の期間に行われる請求項21に記載の方法。
  24. 前記第1のプロセスガスを前記第1の位置から導入することは、第1の期間に行われ、前記第2のプロセスガスを前記第1の位置から導入することは、第2の期間に行われ、その後、第3の期間に、前記第1のプロセスガスが前記第2の位置から導入され、第4の期間に、前記第2のプロセスガスが前記第2の位置から導入される請求項21に記載の方法。
  25. 処理チャンバと基板ホルダとを有する原子層堆積システムであって、
    第1のプロセスガスを、前記処理チャンバの第1の位置及び第2の位置から導入する手段と、
    第2のプロセスガスを、前記処理チャンバの前記第1の位置及び前記第2の位置から導入する手段と、
    前記処理チャンバ内にプラズマを生成する手段と、
    前記第1のプロセスガス及び前記第2のプロセスガスの少なくとも一方を、前記第1の位置と前記第2の位置とから、交互にかつ連続に導入する手段とを具備する原子層堆積システム。
JP2005184930A 2004-06-25 2005-06-24 高速原子層堆積装置及び使用方法 Expired - Fee Related JP4713241B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/875,949 US7740704B2 (en) 2004-06-25 2004-06-25 High rate atomic layer deposition apparatus and method of using
US10/875,949 2004-06-25

Publications (2)

Publication Number Publication Date
JP2006009152A true JP2006009152A (ja) 2006-01-12
JP4713241B2 JP4713241B2 (ja) 2011-06-29

Family

ID=35504190

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005184930A Expired - Fee Related JP4713241B2 (ja) 2004-06-25 2005-06-24 高速原子層堆積装置及び使用方法

Country Status (2)

Country Link
US (1) US7740704B2 (ja)
JP (1) JP4713241B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007239103A (ja) * 2006-03-08 2007-09-20 Tokyo Electron Ltd 処理システムのためのシーリングのデバイスおよび方法
JP2009007670A (ja) * 2007-06-19 2009-01-15 Air Products & Chemicals Inc 金属ケイ素窒化物の被着方法
WO2012039833A2 (en) * 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
KR101333924B1 (ko) * 2006-07-31 2013-11-27 텍사스 인스트루먼츠 인코포레이티드 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
JPWO2012137949A1 (ja) * 2011-04-08 2014-07-28 東京エレクトロン株式会社 窒化物半導体の製造方法、窒化物半導体、およびiii−v族窒化物の成膜方法
EP3119921A1 (fr) * 2014-03-21 2017-01-25 ALTATECH Semiconductor Procédé de dépôt en phase gazeuse
JP2019145803A (ja) * 2019-03-13 2019-08-29 東芝デバイス&ストレージ株式会社 半導体装置の製造方法

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20070190670A1 (en) * 2006-02-10 2007-08-16 Forest Carl A Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US20070235319A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Multi-processing using an ionized physical vapor deposition (ipvd) system
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US20080260967A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for integrated surface treatment and film deposition
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5869923B2 (ja) * 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR102003768B1 (ko) 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
JP7305555B2 (ja) * 2017-12-27 2023-07-10 株式会社半導体エネルギー研究所 薄膜製造装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115125583A (zh) * 2022-06-29 2022-09-30 广东臻鼎环境科技有限公司 酸性蚀刻废液电解及再生液和氯气回用远程监控管理方法
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03264672A (ja) * 1990-03-14 1991-11-25 Hitachi Ltd 半導体装置の製造方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP2000357687A (ja) * 1999-06-15 2000-12-26 Toshiba Corp 半導体装置の製造方法およびプラズマcvd装置
JP2002069651A (ja) * 2000-06-24 2002-03-08 Ips Ltd Ald薄膜蒸着装置及び蒸着方法
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
JP2003077864A (ja) * 2001-09-03 2003-03-14 Tokyo Electron Ltd 成膜方法
JP2003234346A (ja) * 2001-12-06 2003-08-22 Canon Sales Co Inc 半導体装置の製造方法
JP2003347218A (ja) * 2002-05-28 2003-12-05 Renesas Technology Corp ガス処理装置および半導体装置の製造方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置
JP2004158499A (ja) * 2002-11-01 2004-06-03 Air Water Inc 成膜装置
JP2004244661A (ja) * 2003-02-12 2004-09-02 Denso Corp 薄膜の製造方法
WO2005088692A1 (ja) * 2004-03-12 2005-09-22 Hitachi Kokusai Electric Inc. 基板処理装置および半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03264672A (ja) * 1990-03-14 1991-11-25 Hitachi Ltd 半導体装置の製造方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP2000357687A (ja) * 1999-06-15 2000-12-26 Toshiba Corp 半導体装置の製造方法およびプラズマcvd装置
JP2002069651A (ja) * 2000-06-24 2002-03-08 Ips Ltd Ald薄膜蒸着装置及び蒸着方法
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
JP2003077864A (ja) * 2001-09-03 2003-03-14 Tokyo Electron Ltd 成膜方法
JP2003234346A (ja) * 2001-12-06 2003-08-22 Canon Sales Co Inc 半導体装置の製造方法
JP2003347218A (ja) * 2002-05-28 2003-12-05 Renesas Technology Corp ガス処理装置および半導体装置の製造方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置
JP2004158499A (ja) * 2002-11-01 2004-06-03 Air Water Inc 成膜装置
JP2004244661A (ja) * 2003-02-12 2004-09-02 Denso Corp 薄膜の製造方法
WO2005088692A1 (ja) * 2004-03-12 2005-09-22 Hitachi Kokusai Electric Inc. 基板処理装置および半導体装置の製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007239103A (ja) * 2006-03-08 2007-09-20 Tokyo Electron Ltd 処理システムのためのシーリングのデバイスおよび方法
KR101333924B1 (ko) * 2006-07-31 2013-11-27 텍사스 인스트루먼츠 인코포레이티드 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
JP2009007670A (ja) * 2007-06-19 2009-01-15 Air Products & Chemicals Inc 金属ケイ素窒化物の被着方法
WO2012039833A2 (en) * 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
WO2012039833A3 (en) * 2010-09-24 2012-05-10 Applied Materials, Inc. Low temperature silicon carbide deposition process
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
JPWO2012137949A1 (ja) * 2011-04-08 2014-07-28 東京エレクトロン株式会社 窒化物半導体の製造方法、窒化物半導体、およびiii−v族窒化物の成膜方法
EP3119921A1 (fr) * 2014-03-21 2017-01-25 ALTATECH Semiconductor Procédé de dépôt en phase gazeuse
JP2017512914A (ja) * 2014-03-21 2017-05-25 アルタテック セミコンダクターAltatech Semiconductor 気相堆積プロセス
JP2019145803A (ja) * 2019-03-13 2019-08-29 東芝デバイス&ストレージ株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
JP4713241B2 (ja) 2011-06-29
US20050284370A1 (en) 2005-12-29
US7740704B2 (en) 2010-06-22

Similar Documents

Publication Publication Date Title
JP4713241B2 (ja) 高速原子層堆積装置及び使用方法
JP4824671B2 (ja) 原子層堆積を実行するための方法およびシステム
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
KR101251133B1 (ko) 필름 증착 방법, 컴퓨터 판독 가능 매체, 반도체 디바이스 및 원자층 증착 시스템
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) Plasma enhanced atomic layer deposition system and method
US8974868B2 (en) Post deposition plasma cleaning system and method
US7153542B2 (en) Assembly line processing method
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US8623456B2 (en) Methods for atomic layer deposition
JP5312036B2 (ja) プラズマ原子層堆積を実行する方法及びシステム
US20060210723A1 (en) Plasma enhanced atomic layer deposition system and method
US20070218670A1 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110324

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees