JP5501459B2 - 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法 - Google Patents

拡散板を選択的に挿入設置する基板処理装置及び基板処理方法 Download PDF

Info

Publication number
JP5501459B2
JP5501459B2 JP2012519465A JP2012519465A JP5501459B2 JP 5501459 B2 JP5501459 B2 JP 5501459B2 JP 2012519465 A JP2012519465 A JP 2012519465A JP 2012519465 A JP2012519465 A JP 2012519465A JP 5501459 B2 JP5501459 B2 JP 5501459B2
Authority
JP
Japan
Prior art keywords
diffusion
area
substrate processing
substrate
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012519465A
Other languages
English (en)
Other versions
JP2012532472A (ja
Inventor
ゼ,ソンテ
パク,チャンヨン
キム,ギョンフン
Original Assignee
ユ−ジーン テクノロジー カンパニー.リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユ−ジーン テクノロジー カンパニー.リミテッド filed Critical ユ−ジーン テクノロジー カンパニー.リミテッド
Publication of JP2012532472A publication Critical patent/JP2012532472A/ja
Application granted granted Critical
Publication of JP5501459B2 publication Critical patent/JP5501459B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、拡散板を選択的に挿入設置する基板処理装置及び基板処理方法に関するもので、より詳しくは複数の拡散区域に対して拡散板を選択的に挿入設置する基板処理装置及び基板処理方法に関する。
半導体装置は、シリコーン基板上に複数の層(layer)を有しつつ、この層は蒸着工程を介して基板上に蒸着される。この蒸着工程には幾つかの主要な検討すべき課題(issue)を有しており、これらの検討すべき課題は蒸着される膜を評価し、蒸着方法を選択することにおいて重要である。
第1は、蒸着される膜の「質(qulity)」である。これは組成(composition)、汚染度(contamination levels)、欠陥密度(defect density)、そして機械的・電気的特性を意味する。膜の組成は蒸着条件に応じて変わることができ、これは特定な組成を得るために非常に重要である。
第2は、ウエハを横切る均一な厚さである。特に、段差が形成される非平面形状のパターン上部に蒸着される膜の厚さが非常に重要である。蒸着される膜の厚さが均一であるか否かは段差の部分に蒸着される最小厚さをパターンの上部面に蒸着される厚さに割った値に定義されるステップカバーリッジ(step coverage)を介して判断することができる。
蒸着に係る他の検討すべき課題は空間を埋めることである。これは金属配線の間を酸化膜を含む絶縁膜で埋めるギャップ埋め(gap filling)を含む。
ギャップは金属配線を物理的及び電気的に絶縁させるために提供される。
このような検討すべき課題のうち均一度は蒸着工程に係る主たる検討すべき課題の一つであり、不均一な膜は金属配線上で高い電気抵抗を起こし、機械的な破損の可能性を増加させる。
本発明の目的は、工程均一度を確保できる基板処理装置及び基板処理方法を提供することにある。
本発明の他の目的は、後術する詳しい説明と添付の図面からより明確になるだろう。
本発明の一実施例によると、基板処理装置は上部が開放された下部チェンバーと、前記下部チェンバーの上部を開閉し、前記下部チェンバーと共に基板に対する工程が行われる内部空間を形成する上部チェンバーと、前記上部チェンバーの下部に設置され前記上部チェンバーとの間にバッファ空間が形成され、前記内部空間に向かって反応ガスを供給する噴射孔を備えたシャワーヘッドと、前記上部チェンバーに形成され、前記バッファ空間に向かって反応ガスを供給するガス供給ポートと、前記バッファ空間に設置され、前記ガス供給ポートを介して供給された前記反応ガスを拡散させる拡散ユニットと、を含み、前記拡散ユニットは、前記バッファ空間を前記反応ガスが拡散される相互遮断された複数の拡散区域及び前記ガス供給ポートと前記拡散区域を各々連通する複数の拡散孔、そして前記拡散区域と対応される形状を有して前記拡散区域に選択的に挿入設置される複数の接続孔が前記噴射孔と一致連通するように形成される一つ以上の拡散板を備え、前記拡散区域の中で第1拡散区域に挿入された前記拡散板の数と前記拡散区域の中で第2拡散区域に挿入された前記拡散板の数は互いに違うことを特徴とするものである。
前記拡散ユニットは、前記シャワーヘッドの一面と平行に前記シャワーヘッドの一面から離隔され設置され、前記拡散孔が形成されるブロックプレートと、前記シャワーヘッドに形成される噴射孔と対向される前記ブロックプレートの一面から突出されて前記ブロックプレートと前記シャワーヘッドの一面の間の離隔された空間を前記拡散区域に区分けする隔壁と、を含むことができる。
前記拡散区域は中央区域と、前記中央区域の縁に配置される複数の第1区域と、前記第1区域の縁に配置される複数の第2区域と、を含むことができる。
前記基板処理装置は、前記シャワーヘッドの下部に位置して前記基板が上部に置かれる支持プレートをさらに含み、一つ以上の前記拡散板は前記拡散区域に各々対応される前記基板領域の工程率に応じて挿入設置されることができる。
この際、複数の前記拡散板が前記拡散区域に積層されて設置されることができる。
本発明の他の実施例によると、基板処理装置は基板に対する工程が行われる内部空間を提供するチャンバーと、前記内部空間に設置されガス供給ポート供給される反応ガスを拡散させるバッファ空間及び前記内部空間に向かって前記バッファ空間内の反応ガスを供給する噴射孔をそなえたシャワーヘッドと、前記バッファ空間内に設置され、前記反応ガスを拡散させる拡散ユニットと、を含み、前記拡散ユニットは、前記反応ガスが拡散される相互遮断された複数の拡散区域及び前記拡散区域と対応される形状を有して前記拡散区域に選択的に挿入設置される複数の接続孔が前記噴射孔と一致連通するように形成される一つ以上の拡散板を備え、前記拡散区域の中で第1拡散区域に挿入された前記拡散板の数と前記拡散区域の中で第2拡散区域に挿入された前記拡散板の数は互いに違うものとすることができる。
前記拡散区域は前記基板とほぼ並びに配置されることができる。
本発明の一実施例によると、チャンバーの上部に設置され外部から供給される反応ガスが拡散される相互遮断の複数の拡散区域を有する拡散ユニットを利用して基板を処理する方法は、前記拡散区域に拡散板を選択的に挿入して前記基板に対する工程率を調節する。
この際、前記基板に対する工程率を調査し、前記拡散区域の中、前記基板のうち工程率が高い領域と対応される第1拡散区域に前記拡散板を挿入することができる。
また、前記基板に対する工程率を調査し、前記拡散区域の中、前記基板のうち工程率が低い領域と対応される第2拡散区域に挿入される前記拡散板を除去することができる。
本発明によると工程均一度を確保することができる。
本発明による基板処理装置を概略的に示す図である。 図1に示す拡散ユニットを概略的に示す図である。 図1に示す拡散区域に選択的に挿入設置される拡散板を示す図である。 図1に示す拡散区域に選択的に挿入設置される拡散板を示す図である。 図1に示す拡散区域に拡散板が選択的に挿入設置される模様を示す図である。 図1に示す拡散ユニットを用いた実験結果を示すグラフである。 図1に示す拡散ユニットを用いた実験結果を示すグラフである。 図2を変更した模様を示す図である。
以下、本発明の詳しい説明を添付の図1〜図8を参考にさらに詳しく説明する。本発明の実施例は多様な形態に変更されることができ、本発明の範囲が以下で説明する実施例に限定されるものに解析されてはいけない。本実施例は当該発明が属する技術分野で通常の知識を有する者に本発明をより詳しく説明するために提供するものである。よって、図に示す各要素の形状はより明らかな説明を強調するために誇張され得る。
一方、以下では蒸着装置を例に挙げて説明しているが、本発明の範囲はこれに限定されず、反応ガスを用いて基板を処理する多様な工程に応用され得る。
図1は、本発明による基板処理装置を概略的に示す図であり、図2は図1に示す拡散ユニットを概略的に示す図である。図1に示すように、基板処理装置は下部チャンバー10及び上部チャンバー20を含む。下部チャンバー10は上部が開放の形状で、上部チャンバー20は下部チャンバー10の開放の上部を開閉する。上部チャンバー20が下部チャンバー10の開放の上部を閉鎖すると、下部チャンバー10及び上部チャンバー20は外部から閉鎖の内部空間12を形成する。
下部チャンバー10はチャンバー内部11を有して、下部チャンバー10の内部にはウエハ60が上面に置かれる支持プレート70が設置され、支持台71は支持プレート70の下部に接続されて支持プレート70を支持する。
上部チャンバー20の内部にはガス供給ポート21が形成され、外部から供給される反応ガスはガス供給ポート21を介して内部空間12に流入される。反応ガスはウエハ60表面に薄膜を蒸着させるために提供される。
シャワーヘッド400は上部チャンバー20の下部に接続され、シャワーヘッド400は両端に形成される締結孔42を介して上部チャンバー20にボルトBで締結されることができる。バッファ空間41はシャワーヘッド400と上部チャンバー20の間の離隔される空間に形成され、ガス供給ポート21を介して供給される反応ガスはバッファ空間41で拡散される。
シャワーヘッド400はバッファ空間41と内部空間12を連通する複数の噴射孔410を有し、噴射孔410はメイン孔411と補助孔412に分けられる。図1に示すように、メイン孔411の噴射角度は補助孔412の噴射角度より大きい。これを介して反応ガスの噴射角に対する死角地域を除去することができる。
一方、シャワーヘッド400の下部面には突出部430が形成され、突出部430の内側には誘導溝440が形成される。誘導溝440は噴射孔410を介して噴射される反応ガスを案内し均一な噴射が行われるようにする。
拡散ユニット50はバッファ空間41の内部に挿入設置され、拡散ユニット50はブロックプレート52及び隔壁54を含む。この実施例においては拡散ユニット50がシャワーヘッド400の内部に設置されたことと説明しているが、本発明の範囲はこれに限定されるものではなく、拡散ユニット50がシャワーヘッド400と別途に提供されるかまたはシャワーヘッド400と同様の形に提供されてもよい。
ブロックプレート52はバッファ空間41の中間高さに設置されてシャワーヘッド400の内部面から離隔され配置され、複数の拡散孔53を有する。拡散孔53はブロックプレート52の下部に位置するバッファ空間41とブロックプレート52の上部に位置するバッファ空間41を連通する。
隔壁54の上端はブロックプレート52の下部面と接し、隔壁54の下端はシャワーヘッド400の内部面と接する。隔壁54はブロックプレート52を支持することのみならず、ブロックプレート52の下部に位置するバッファ空間41を複数の拡散区域56に区分ける。図1に示すように、拡散区域56は基板とほぼ並んで配置される。
先に説明したように、ブロックプレート52は複数の拡散孔53を有し、ガス供給ポート21を介してバッファ空間41に供給される反応ガスは拡散孔53及びシャワーヘッド400に形成される噴射孔410を介してウエハ60に供給される。
図2に示すように、隔壁54は第1〜第3円型隔壁541a、542a、543aと第1〜第3放射型隔壁541b、542b、543bを含む。第1〜第3円型隔壁541a、542a、543aはブロックプレート52の中心を基準として同心円を構成し、第1〜第3円型隔壁541a、542a、543aによって円型の中央区域aとリング状の第1〜第3区域b、c、dに区分けされる。拡散区域56は中央区域aと第1〜第3区域b、c、dを含む。また、第1〜第3放射型隔壁541b、542b、543bは第1〜第3円型隔壁541a、542a、543aの外側に接続され、ブロックプレート52の中心を基準として放射状に配置される。
図3及び図4は図1の拡散区域に選択的に挿入設置される拡散板を示す図であり、図5は図1の拡散区域に拡散板が選択的に挿入設置された模様を示す図である。
上述のように、ブロックプレート52の下部に位置するバッファ空間41は隔壁54(または第1〜第3円型隔壁541a、542a、543a及び第1〜第3放射型隔壁541b、542b、543b)によって複数の拡散区域56に区分けされ、拡散区域56は中央区域aと第1〜第3区域b、c、dを含む。
図3及び図4に示す第1及び第2拡散板51、58は中央区域aと第1〜第3区域b、c、dに各々挿入設置され、拡散孔53を介してブロックプレート52の下部に移動する反応ガスは第1及び第2拡散板51、58を経て噴射孔410を介してウエハ60の上部に供給される。第1拡散板51は中央区域aに対応される円型であり、複数の接続孔51aを有する。第2拡散板58は第1〜第3区域b、c、dに対応される扇形であり、複数の接続孔58aを有する。よって、拡散孔53を介してブロックプレート52の下部に移動した反応ガスは接続孔51a、58a及び噴射孔410を介してウエハ60の上部に移動する。この際、反応ガスの円滑な流れのために拡散孔53と接続孔51a、58a、そして噴射孔410の位置は互いに一致することがある。
複数の拡散板51、58はウエハ60に対する工程均一度を確保するために使用することができる。拡散板51、58は拡散区域56に選択的に挿入された状態から、拡散区域56を介して拡散される反応ガスの流れを選択的に妨害し、図5に示すように、使用者は拡散板51、58を用いて反応ガスの流れを調節することでウエハWに対する工程不均一を矯正することができる。
図5を参照すると、中央区域aには一つの拡散板51が設置され、第1及び第2区域b、cには3個の拡散板58が積層され設置される。また、左側に位置する第3区域dには1個の拡散板58が設置され、右側に位置する第3区域dには2個の拡散板58が積層され設置される。このように、拡散板51,58を拡散区域56に従って選択的に挿入または除去することができ、拡散区域56に従って個数が異なることができる。
図6及び図7は図1の拡散ユニットを用いた実験結果を示すグラフである。図6は拡散板51,58の個数変化に応じる基板領域に対する蒸着率の変化を示すグラフであり、図7は拡散板51,58を用いて矯正した後、基板領域に対する蒸着結果を示すデータである。
図5を参照すると、「Inner 1'st Zone」は中央区域a及び第1区域bを意味し、「Inner 2'nd Zone」は第2区域c、「Outer Zone」は第3区域dを意味する。また、「Piece数量」と表示された棒グラフは拡散区域56に挿入設置された拡散板51、58の個数を示す。図示するように、拡散板51、58は位置に応じて0個から3個まで挿入するか除去してもよく、蒸着結果に応じて拡散板51、58の個数は調節されることができる。
図6及び図7を参照すると、拡散板51、58を用いた矯正前の蒸着結果(「Before」及び「Reference」と表示する)の最小値(Min)は196.50、最大値(Max)は218.50を示し、均一度(UNIF)は1.64から5.31まで大きな幅を示す。
拡散板51、58を用いた1次矯正の蒸着結果(「After#1」及び「1次Tune」に表示する)、最小値(Min)は197.90、最大値(Max)は216.20を示し、均一度(UNIF)は2.49から3.53まで示す。
拡散板51、58を用いた2次矯正の蒸着結果(「After#2」及び「2次Tune」に表示する)、最小値(Min)は203.00、最大値(Max)は218.70を示し、均一度(UNIF)は1.39から2.73まで示す。
すなわち、図6及び図7に示すように、基板領域の工程率によって拡散板51、58を選択的に挿入/除去して矯正した結果、蒸着率及び均一度を速く改善することができる。
一方、本実施例においては、第1〜第3円型隔壁541a、542a、543aと第1〜第3放射型隔壁541b、542b、543bがすべて設置され拡散区域56に区分けされることと説明したが、これとは異なって第1〜第3円型隔壁541a、542a、543aと第1〜第3放射型隔壁541b、542b、543bは、選択的に設置することができる。第1〜第3円型隔壁541a、542a、543aと第1〜第3放射型隔壁541b、542b、543bの配置が異なる場合、拡散区域56及び拡散板51、58の形状は配置に応じて異なることがある。
また、この実施例においては拡散区域56がブロックプレート52(またはシャワーヘッド400の中心を基準に形成配置されることと説明したが、これとは異なって拡散区域56はマトリックス状に行と列を形成して配置されてもよい。
図8は図2を変形した模様を示す図である。図2を参照すると、拡散ユニット50はバッファ空間41内に設置されるブロックプレート52を具備するが、図8に示すように、ブロックプレート52は除去されてもよい。すなわち、隔壁54はバッファ空間41の下部を複数の拡散区域56に区分け、ガス供給ポート21を介して供給される反応ガスは隔壁54が設置されないバッファ空間41の上部を介して隔壁54が設置される拡散区域56に移動し、拡散区域56に選択的に設置された拡散板51、58を介して噴射孔410に移動することができる。
本発明を好ましい実施例を介して詳しく説明したが、これと異なる形態の実施例も可能である。それで、以下で記載の請求項らの技術的思想と範囲は好ましい実施例に限定されるものではない。
半導体製造装備または平板ディスプレー製造装備に応用されることができ、この他に反応ガスを用いて基板を処理する多様な工程に応用されることができる。

Claims (11)

  1. 上部が開放された下部チェンバーと、
    前記下部チェンバーの上部を開閉し、前記下部チェンバーと共に基板に対する工程が行われる内部空間を形成する上部チェンバーと、
    前記上部チェンバーの下部に設置され前記上部チェンバーとの間にバッファ空間が形成され、前記内部空間に向かって反応ガスを供給する噴射孔を備えたシャワーヘッドと、
    前記上部チェンバーに形成され前記バッファ空間に向かって反応ガスを供給するガス供給ポートと、
    前記バッファ空間に設置され、前記ガス供給ポートを介して供給された前記反応ガスを拡散させる拡散ユニットと、を含み、
    前記拡散ユニットは、前記バッファ空間を前記反応ガスが拡散される相互遮断された複数の拡散区域及び前記ガス供給ポートと前記拡散区域を各々連通する複数の拡散孔、そして前記拡散区域と対応される形状を有して前記拡散区域に選択的に挿入設置される複数の接続孔が前記噴射孔と一致連通するように形成される一つ以上の拡散板を備え、
    前記拡散区域の中で第1拡散区域に挿入された前記拡散板の数と前記拡散区域の中で第2拡散区域に挿入された前記拡散板の数は互いに違うことを特徴とする基板処理装置。
  2. 前記拡散ユニットは、前記シャワーヘッドの一面とほぼ平行に前記シャワーヘッドの一面から離隔され設置され、前記拡散孔が形成されるブロックプレートと、前記シャワーヘッドに形成される噴射孔と対向される前記ブロックプレートの一面から突出され前記ブロックプレートと前記シャワーヘッドの一面の間の離隔された空間を前記拡散区域に区分けする隔壁と、を含むことを特徴とする請求項1に記載の基板処理装置。
  3. 前記拡散区域は、中央区域と、前記中央区域の縁に配置される複数の第1区域と、前記第1区域の縁に配置される複数の第2区域と、を含むことを特徴とする請求項1に記載の基板処理装置。
  4. 前記基板処理装置は、前記シャワーヘッドの下部に位置し前記基板が上部に置かれる支持プレートをさらに含み、
    一つ以上の前記拡散板は、前記拡散区域に各々対応される前記基板領域の工程率に従って挿入設置されることを特徴とする請求項1に記載の基板処理装置。
  5. 複数の前記拡散板が前記拡散区域に積層され設置されることを特徴とする請求項1記載の基板処理装置。
  6. 基板に対する工程が行われる内部空間を提供するチャンバーと、
    前記内部空間に設置されガス供給ポート供給される反応ガスを拡散させるバッファ空間及び前記内部空間に向かって前記バッファ空間内の反応ガスを供給する噴射孔をそなえたシャワーヘッドと、
    前記バッファ空間内に設置され、前記反応ガスを拡散させる拡散ユニットと、を含み、
    前記拡散ユニットは、前記反応ガスが拡散される相互遮断された複数の拡散区域及び前記拡散区域と対応される形状を有して前記拡散区域に選択的に挿入設置される複数の接続孔が前記噴射孔と一致連通するように形成される一つ以上の拡散板を備え、
    前記拡散区域の中で第1拡散区域に挿入された前記拡散板の数と前記拡散区域の中で第2拡散区域に挿入された前記拡散板の数は互いに違うことを特徴とする基板処理装置。
  7. 前記基板処理装置は、前記基板が上部に置かれる支持プレートをさらに含み、一つ以上の前記拡散板は前記拡散区域に各々対応される前記基板領域の工程率に従って挿入設置されることを特徴とする請求項6に記載の基板処理装置。
  8. 複数の前記拡散板が前記拡散区域に積層され設置されることを特徴とする請求項6に記載の基板処理装置。
  9. 前記拡散区域は、前記基板とほぼ平行に配置されることを特徴とする請求項6に記載の基板処理装置。
  10. チェンバーの内部空間に設置され外部から供給される反応ガスが拡散されるバッファ空間及び前記内部空間に向かって前記バッファ空間内の反応ガスを供給する噴射孔を備えたシャワーヘッドを用いて基板処理する方法において、
    前記バッファ空間を前記反応ガスが拡散される相互遮断された複数の拡散区域に区分け、前記バッファ空間と前記拡散区域とを連通させ、
    前記拡散区域と対応した形状を有して複数の接続孔が前記噴射孔と一致連通するように形成された拡散板を前記拡散区域の中で第1拡散区域と第2拡散区域の数を異なるように挿入して前記基板に対する工程率を調節することを特徴とする基板処理方法。
  11. 前記拡散区域は、前記基板とほぼ平行に配置されることを特徴とする請求項10に記載の基板処理方法。
JP2012519465A 2009-07-08 2010-07-02 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法 Active JP5501459B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020090062066A KR101110080B1 (ko) 2009-07-08 2009-07-08 확산판을 선택적으로 삽입설치하는 기판처리방법
KR10-2009-0062066 2009-07-08
PCT/KR2010/004338 WO2011004987A2 (ko) 2009-07-08 2010-07-02 확산판을 선택적으로 삽입설치하는 기판처리장치 및 기판처리방법

Publications (2)

Publication Number Publication Date
JP2012532472A JP2012532472A (ja) 2012-12-13
JP5501459B2 true JP5501459B2 (ja) 2014-05-21

Family

ID=43429649

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012519465A Active JP5501459B2 (ja) 2009-07-08 2010-07-02 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法

Country Status (5)

Country Link
US (1) US8771418B2 (ja)
JP (1) JP5501459B2 (ja)
KR (1) KR101110080B1 (ja)
CN (1) CN102473610B (ja)
WO (1) WO2011004987A2 (ja)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150116600A (ko) * 2014-04-08 2015-10-16 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하는데 사용되는 기판 처리 장치
KR102215965B1 (ko) * 2014-04-11 2021-02-18 주성엔지니어링(주) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102331779B1 (ko) * 2014-04-11 2021-12-01 주성엔지니어링(주) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
CN105200395B (zh) * 2014-06-18 2017-11-03 中微半导体设备(上海)有限公司 用于mocvd设备的进气及冷却装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP2016225018A (ja) * 2015-05-27 2016-12-28 東京エレクトロン株式会社 ガス処理装置およびそれに用いる多分割シャワーヘッド
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9953829B2 (en) * 2015-08-27 2018-04-24 Toshiba Memory Corporation Image processing apparatus with improved slide printout based on layout data
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
WO2018009671A1 (en) 2016-07-07 2018-01-11 Stern Mark S Spinous laminar clamp assembly
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10508339B2 (en) * 2017-05-31 2019-12-17 Applied Materials, Inc. Blocker plate for use in a substrate process chamber
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR101994700B1 (ko) * 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113013011B (zh) * 2019-12-20 2022-11-29 中微半导体设备(上海)股份有限公司 气体分配装置及等离子体处理装置
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN111424264A (zh) * 2020-04-27 2020-07-17 深圳市原速光电科技有限公司 薄膜材料沉积反应装置
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02187018A (ja) * 1989-01-13 1990-07-23 Mitsubishi Electric Corp 化学気相成長装置
JP3342118B2 (ja) * 1993-06-29 2002-11-05 東京エレクトロン株式会社 処理装置
JP3289806B2 (ja) * 1994-09-19 2002-06-10 三菱電機株式会社 化学気相成長装置および化学気相成長方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH1064831A (ja) * 1996-08-20 1998-03-06 Fujitsu Ltd 気相成長装置
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP2000269147A (ja) * 1999-03-18 2000-09-29 Shin Etsu Handotai Co Ltd 気相成長装置、気相成長方法及びシリコンエピタキシャルウェーハ
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP3640623B2 (ja) * 2001-06-22 2005-04-20 アプライド マテリアルズ インコーポレイテッド 半導体製造装置のプロセスチャンバ構造および半導体製造装置
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP2003309075A (ja) * 2002-04-18 2003-10-31 Mitsubishi Electric Corp 半導体製造装置および半導体装置の製造方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR20060014495A (ko) 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2006324610A (ja) * 2005-05-20 2006-11-30 Matsushita Electric Ind Co Ltd 基板処理装置及び基板処理方法
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20070218200A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US20080078746A1 (en) 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
JP5211450B2 (ja) * 2006-08-15 2013-06-12 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
WO2008114363A1 (ja) * 2007-03-16 2008-09-25 Fujitsu Microelectronics Limited 半導体装置の製造装置、および半導体装置の製造方法
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
JP5292160B2 (ja) * 2009-03-31 2013-09-18 東京エレクトロン株式会社 ガス流路構造体及び基板処理装置
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design

Also Published As

Publication number Publication date
CN102473610B (zh) 2014-12-10
WO2011004987A3 (ko) 2011-04-14
CN102473610A (zh) 2012-05-23
KR20110004575A (ko) 2011-01-14
KR101110080B1 (ko) 2012-03-13
WO2011004987A2 (ko) 2011-01-13
US8771418B2 (en) 2014-07-08
JP2012532472A (ja) 2012-12-13
US20120135145A1 (en) 2012-05-31

Similar Documents

Publication Publication Date Title
JP5501459B2 (ja) 拡散板を選択的に挿入設置する基板処理装置及び基板処理方法
KR102546317B1 (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6336079B2 (ja) 基板処理装置及び基板処理方法
US11821089B2 (en) Control system for plasma chamber having controllable valve
US7104476B2 (en) Multi-sectored flat board type showerhead used in CVD apparatus
KR101375742B1 (ko) 기판처리장치
KR101463592B1 (ko) 기판 처리장치
JP5952961B2 (ja) 基板処理装置
TWI741220B (zh) 噴灑頭及包括噴灑頭的基板處理裝置
KR101130248B1 (ko) 확산판을 선택적으로 삽입설치하는 기판처리장치
US8377206B2 (en) Apparatus and method of forming semiconductor devices
KR20070090470A (ko) 균일한 가스분사를 위한 가스분배판
CN116075604A (zh) 使用气体分配单元的气体供应方法
KR20130068718A (ko) 가스분사장치 및 이를 구비하는 기판처리장치
KR20160133018A (ko) 복수의 확산구역들을 포함하는 기판처리장치 및 기판처리방법
JP7468926B2 (ja) シャワーヘッド及び基板処理装置
KR101452829B1 (ko) 히터의 온도조절방법
KR102215639B1 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR20180074350A (ko) Ald 박막 증착 장치
KR20180074351A (ko) Ald 박막 증착 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131008

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140311

R150 Certificate of patent or registration of utility model

Ref document number: 5501459

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250