JP5441340B2 - 窒化タンタル膜のプラズマald - Google Patents

窒化タンタル膜のプラズマald Download PDF

Info

Publication number
JP5441340B2
JP5441340B2 JP2008010072A JP2008010072A JP5441340B2 JP 5441340 B2 JP5441340 B2 JP 5441340B2 JP 2008010072 A JP2008010072 A JP 2008010072A JP 2008010072 A JP2008010072 A JP 2008010072A JP 5441340 B2 JP5441340 B2 JP 5441340B2
Authority
JP
Japan
Prior art keywords
tantalum
reaction space
nitride film
plasma
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008010072A
Other languages
English (en)
Other versions
JP2008184688A5 (ja
JP2008184688A (ja
Inventor
カイ−エリック エラース
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2008184688A publication Critical patent/JP2008184688A/ja
Publication of JP2008184688A5 publication Critical patent/JP2008184688A5/ja
Application granted granted Critical
Publication of JP5441340B2 publication Critical patent/JP5441340B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

関連する出願への参照
本出願は、Elersらの、2002年4月11日に出願した番号10/110,730のアメリカ合衆国特許出願、現在、番号6,863,727のアメリカ合衆国特許に関連し、且つ参照によりその出願を完全に本明細書の一部として取り入れている。
本発明は、窒化金属薄膜に関する。特に、本発明は、原子層成長法(「ALD」)によって窒化タンタル薄膜を成長させる方法に関する。
集積回路中のコンポーネントの集積化レベルが高まっている。それは集積回路(IC)コンポーネント及び相互接続のサイズの縮小を急速に必要としている。デザインルールは、フィーチャサイズを0.2μmまで小さくし、深いボトム及びビア上の完全な膜カバーレジを困難にしている。
集積回路は通常アルミニウム(Al)あるいは銅(Cu)で作られている相互接続を含んでいる。Cuは周辺物質への拡散またはエレクトロマイグレーションの傾向が特にある。拡散がICの電気特性に悪影響を及ぼしうるので、能動コンポーネントの誤作動を引き起こす。デバイスの能動部品の中への相互接続からの金属拡散は導電性拡散障壁層で防がれる。好ましい拡散障壁は、例えば、TiN、TaN、及びWN膜のような導電膜である。
化学量論的TaN(Stoichiometric TaN)はよい導電率を有するのに対して、Ta(誘電体相)の導電率は良くない。金属TaN(Metallic TaN)がICメタライゼーションの中で銅の拡散障壁として使用されており、また、CMOSトランジスターのn型金属電極としても使用されることができる。
金属TaNはICメタライゼーションの中で銅の拡散障壁として使用されてきた。ICへの他の用途はCMOトランジスター用のn型金属電極でありえる。IC以外にもさらに他の用途がある。
しばしば原子層エピタキシー(ALE)と呼ばれる原子層成長法(ALD)は、反応物の交互的な連続するパルスを利用する自己限定的なプロセスである。成膜条件及び反応物は、1つのパルスでの吸着層が同じパルスの気相反応物とは反応しない表面終端を残すような自己飽和反応を確保するように選択される。後続の異なる反応物のパルスは前の終端と反応して、継続的な成膜を可能にする。したがって、交互に加えられるパルスのサイクルはそれぞれ、所望の物質の高々約1つの分子層の表面集積しか残さない。成長率は部分的に反応点の数に依存する。典型的には、反応点の数は、各サイクルに完全な単分子層(ML)が成長するほどの数以下である。ALDを用いて、非常にコンフォーマルな薄膜の形成が可能である。ALDタイプのプロセスの原則が、T.Suntolaによって、例えば結晶成長ハンドブック3、薄膜及びエピタクシー、部分B:成長メカニズム及びダイナミクス、第14章、原子層エピタキシー、頁601〜663( Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994)に示された。その開示は参照により本明細書の一部として完全に取り入れられている。
薄膜を形成する典型的なALDあるいは熱ALDプロセスにおいて、1つの成膜サイクルは、基板を第1の前駆体にさらすステップ、反応していない第1の反応物及び反応副産物を反応室から除去するステップ、基板を第2の前駆体にさらすステップ、及びそれに続く第2の除去ステップを含む。TiCl及びHfCl(両方とも揮発性)のようなハロゲン化物の前駆体がALD成膜プロセスで前駆体として一般に使用されている。それは、これらの前駆体が安く、比較的安定していると同時に、異なるタイプの表面グループと反応するためである。窒化金属薄膜の形成が望まれる場合、他の窒素含有の化合物を使用してもよいが、典型的にはアンモニア(NH3)を第2の前駆体として使用する。
不活性ガスによる前駆体のセパレーションが反応物間の気相反応を防ぎ、且つ自己飽和の表面反応を可能にする。それは、膜の成長が基板の厳格な温度制御或いは前駆体の精確な用量制御を要求しないことに結びつく。超過のケミカル及び反応副産物は、次の反応的なケミカルパルスが反応室へ導入される前に一般に反応室から除去される。望まれていない気相分子は、不活性パージガスのガス流量を十分に高く維持することによって反応室から有効に追い出すことができる。パージガスが、反応室中の適切な圧力を維持するために使用される真空ポンプへ超過の反応物分子を導入する。ALDが薄膜成長の精確な制御を有利に提供する。
ALDによる窒化金属膜の形成が公知技術である。ALDを使用して窒化金属膜を形成する3ステップ方法が、2005年3月8日に特許された番号6,863,727のアメリカ合衆国特許に説明されている。その開示全体は参照により本明細書の一部として取り入れる。この方法によれば、金属ソースケミカル、ホウ素含有の還元剤及び窒素ソースケミカルの気相パルスが交互に反応空間へ順次導入される。
また、プラズマがALDプロセスの中で反応物のうちの1つとして使用されてもよいことが知られている。そのようなプロセスは一般にプラズマALD(Plasma-Enhanced ALD、「PEALD」)と呼ばれる。PEALDのために構成された反応器は、2004年2月6日に出願した番号2004/0231799のアメリカ合州国特許出願に説明されている。その開示全体は参照により本明細書の一部として取り入れる。このPEALD反応器は、高周波電極を有するシャワーヘッドを含む。シャワーヘッドと高周波電極とは電気的に接続している。ガスが反応器に導入され、パワーが高周波電極を通じてシャワーヘッドに印加されるとき、プラズマがシャワーヘッド(陽極)と基板(陰極)との間に生成される。
窒化金属を成長させるのにプラズマプロセスを使用することが望ましいかもしれないが、727号の特許に開示された3ステップALD方法に使用される反応物のうちの1つがプラズマ励起種と置き換えられる場合、導電性の窒化金属膜(例えば、MN、ここでMは金属、Nは窒素である)が反応空間の全体にわたって形成されて、プラズマ生成を阻害し、防止さえする電気的短絡を招く。これは、著しい休止時間及び加工費用の増加につながる。
本発明のいくつかの実施例によれば、反応空間内の基板上に窒化タンタル薄膜を形成する原子層成長法(PEALD)が提供される。好ましくは、薄膜が導電膜である。該方法は、反応空間内の基板を、空間的且つ時間的に分離されているタンタルソース物質、水素(H)のプラズマ励起種、及び窒素ソース物質の気相パルスと交互に順次接触させるステップを含む。反応物を供給する順序は変えることができる。
反応空間内で基板上に窒化タンタル膜を形成するALD方法は以下のような連続的なステップを含み得る。a)タンタルソースケミカルの気相パルスを前記反応空間に供給するステップ、b)超過の前記タンタルソースケミカル及び反応副産物、もしあれば、を前記反応空間から除去するステップ、c)水素(H)プラズマの気相パルスを前記反応空間に供給するステップ、d)超過の前記水素プラズマ及び反応副産物、もしあれば、を前記反応空間から除去するステップ、e)窒素ソースケミカルの気相パルスを前記反応空間に供給するステップ、及び、f)超過の前記窒素ソースケミカル及び反応副産物、もしあれば、を前記反応空間から除去するステップ。
いくつかの実施の形態によれば、窒素ソースケミカルは、タンタルソースケミカルの後に提供される次の反応物である。これらの方法は、以下のような連続するステップを好ましくは含む。a)タンタルソースケミカルの気相パルスを前記反応空間に供給するステップ、b)超過の前記タンタルソースケミカル及び反応副産物、もしあれば、を前記反応空間から除去するステップ、c)窒素ソースケミカルの気相パルスを前記反応空間に供給するステップ、d)超過の前記窒素ソースケミカル及び反応副産物、もしあれば、を前記反応空間から除去するステップ、e)水素(H)プラズマの気相パルスを前記反応空間に供給するステップ、及び、f)超過の前記水素プラズマ及び反応副産物、もしあれば、を前記反応空間から除去するステップ。
本発明の別の実施例によれば、プラズマ原子層成長法(PEALD)を利用して、窒化タンタル膜、好ましくは導電窒化タンタル膜を形成する方法が提供される。該方法は、反応空間内に基板を提供するステップと、空間的に、且つ時間的に分離されているタンタルソースケミカル、水素のプラズマ励起種、及び窒素ソースケミカルの気相パルスを交互に順に、前記基板と接触されるステップとを含む。プラズマパラメータが、導電窒化タンタル膜が本質的に前記基板上にのみ形成され、誘電体窒化タンタル膜が反応空間のその他の場所上に形成されるように選択される。
これらの実施の形態はすべて、ここに開示された本発明の範囲内にあるように意図されている。これら及び本発明の別の実施の形態は、以下のいくつかの好ましい実施の形態の詳細な説明及び添付図面を参照すれば、当業者には容易に分かるであろう。本発明は、開示されている特定の好ましい実施の形態のいずれにも限定されるものではない。
窒化タンタル膜は、基板表面を考慮した反応空間の中で、水素プラズマを使用することにより基板上に制御可能に形成することができる。基板上に金属の膜を成長させ、且つ、窒化の前あるいは窒化の後に水素プラズマで膜を還元することによって、導電窒化金属膜(例えば、Ta、ここではx=y)を基板表面上に形成する一方で、非導電(あるいは誘電体)窒化金属膜(例えば、Ta、ここではx<y)を他の場所に形成することができる。例えば、化学量論的(かつ導電的)TaNは水素プラズマを中間の還元剤として使用して形成することができる一方、窒化タンタルの誘電体(非導電性)相、例えば、Taはプラズマがない状態で(例えば、反応空間の、水素プラズマが存在しない部分に)形成する。したがって、本発明の好ましい実施の形態に係る方法は、反応空間の他の表面(いくつかの場合では導電表面)上ではなく基板表面上に、導電性窒化タンタル膜を制御可能に形成することを可能にする。それによって、電気的短絡及び設備休止時間を低減し、或いは防止さえする。成長した膜は、例えば、ICメタライゼーションの拡散障壁及びCMOSトランジスターのn型金属電極のような、集積回路のコンポーネントとして使用することができる。
定義
本発明の文脈において、「ALDプロセス」は、自己飽和化学反応を使用して一分子層ずつ基板上に薄膜を形成するプロセスを基本的に指す。ALDの一般的な原則が、例えば、番号4,058,430及び5,711,811のアメリカ合衆国特許に開示されており、それらの開示全体は参照により本明細書の一部として取り入れられている。典型的なALDプロセスでは、ガスの反応物がALDタイプ反応器の反応室(あるいは反応空間)に導入され、反応室内に配置されている基板とコンタクトし、表面反応を提供する。反応室の圧力及び温度は前駆体の物理吸着(つまり、ガスの凝結)及び熱分解が回避される範囲に調節される。さらに、反応物はそれら自身では反応しないように選択されている。その結果、各パルスサイクルの期間中に、物質の最大でも1単分子層(つまり、1原子の層あるいは分子層)だけが堆積する。典型的にはÅ/パルスサイクルとして表される薄膜の実際の成長率が、例えば、表面上の利用可能な反応点の数及び反応物分子のかさ高さ(Bulkiness)に依存する。すなわち、一旦利用可能な結合部位がすべて埋め込まれれば、さらなる表面反応は可能ではない。前駆体間の気相反応及び副産物間のいかなる望まれない反応も抑制される。それは、反応物パルスが時間的に互いから分離され、且つ、余分の(あるいは超過の)ガス反応物及び反応副産物を、もしあれば除去するために、反応室が、反応物パルス間で、不活性ガス(例えば、窒素、アルゴン、H、またはHe)でパージされ、及び/又は、例えば、真空ポンプを使用して排気されるためである。
「反応空間」は、反応器または反応室、あるいはそれらの内部の任意に定義された空間を呼ぶために使用される。反応空間の中の条件を、ALDによる基板上の薄膜成長を達成するように調節することができる。典型的には、反応空間は、通常動作の間に、基板に向けてガスまたは粒子を流せるすべての反応ガスパルスに、その引き込んだフローあるいは拡散によって、さらされる表面を含む。反応空間は、例えば、枚葉式ALD反応器中の反応室、あるいは多数の基板上の成膜が同時に起るバッチALD反応器の反応室でありえる。反応器は好ましくはイン・シトゥーあるいは遠隔のプラズマ生成用に構成される。
「プラズマ励起種」は、反応物ガスへのエネルギーの印加(あるいは結合)を介して生成されたラジカル、イオン、あるいは別の励起種を意味する。エネルギーは、例えば、誘導、紫外放射、マイクロ波及び容量結合のような様々な方法を介して印加することができる。プラズマ発生器が、直接のプラズマ発生器(つまり、イン・シトゥー又は直接のプラズマ生成)、あるいは遠隔のプラズマ発生器(つまり、エクス・シトゥー又は遠隔のプラズマ生成)でありえる。結合エネルギーが存在しない場合、プラズマ生成は終了する。プラズマ励起種は、限定されないが、水素ラジカルを含む。イン・シトゥープラズマ生成の場合には、特定の気相ケミカル(例えば、H)のプラズマ励起種が、処理される基板を含んでいる反応空間内で形成される。遠隔のプラズマ生成の場合には、プラズマ励起種が、基板を含んでいる反応空間の外部で形成される。
「吸着」は、表面上への原子または分子の化学的付着を表すために使用される。
「基板」は、その上に成膜が望まれるすべてのワークピースを表すのに使用される。典型的な基板は、特に限定はないが、シリコン、シリカ、コーティングされたシリコン、金属銅及び窒化物を含む。
「表面」は、反応空間と基板のフィーチャとの間の境界を表すために使用される。
「薄膜」は、ソースから基板へバキュームを介して気相あるいは液相の個々独立のイオン、原子あるいは分子として運ばれた元素あるいは化合物から成長した膜を意味する。膜の厚さはアプリケーションに依存し、広い範囲内で異なることができ、好ましくは1つの原子層から1,000nmまでの範囲内、またはそれ以上である。いくつかの実施の形態では、薄膜は厚さが約20nm未満であり、より好ましくは約10nm未満で、最も好ましくは約5nm未満である。
「窒化金属薄膜」は、1つ以上の金属及び窒素を含む薄膜を表す。「窒化タンタル」薄膜はタンタルを含むが、1つ以上の別の遷移金属を含んでもよい。
式Mの中の添字「x」及び「y」は、異なる金属対窒素の比率を有する広い範囲の相をもつ窒化金属膜の組成を表すために使用される。「M」は1つの金属(例えばTa)あるいは複数の金属(例えばTaとTi)を含み得る。式Mは膜全体に関して平均化される。「x」が「y」と等しい場合には、窒化金属膜(MN)は化学量論的なものである。「x」が「y」と等しくない場合には、窒化金属膜は非化学量論的なものである。例として、化学量論的窒化タンタル膜は式TaNを有しうるし、非化学量論的窒化タンタル膜は式Taを有しうる(つまり、5つの窒素原子が3つのタンタル原子と組み合わされる)。「導電性の」窒化金属膜では、金属原子(M)の数が、窒素原子(N)の数よりも大きく、又は窒素原子(N)の数と等しく、つまり、x>=yである。
窒化金属成膜プロセス
ここに示された方法は、基板表面上でのコンフォーマルな導電窒化金属(例えばタンタル)薄膜の制御された形成を可能にする。反応器または反応空間内のシャワーヘッドのような別の表面上での導電膜の形成は、好ましく低減しあるいは防止される。好ましい実施の形態では、窒化タンタル薄膜がハロゲン含有のケミカルから形成される。さらに、高いアスペクト比のトレンチ中の成膜のような幾何学的に難しいアプリケーションも、表面反応の自己制限性質により可能である。
いくつかの好ましい実施の形態によれば、原子層成長(ALD)タイプのプロセスは集積回路ワークピースのような基板上に窒化タンタル薄膜を形成するために使用される。いくつかの実施の形態では、反応空間に置かれた基板またはワークピースは、金属ソースケミカル、水素プラズマ、及び窒素ソースケミカルの交互に繰返される表面反応にさらされる。
ここに説明された好ましいALD方法は、プラズマが反応物として使用されるPEALD方法、及び幾つかの場合では、基板が所定の処理ステップの間に加熱される「熱」ALDを含む。
好ましくは、各ALDサイクルは、各々異なる反応物を使用する3つの異なる成膜ステップあるいは段階を含む。「第1の」、「第2の」、そして「第3の」反応物と呼んでいるが、これらの名称は、反応物がこの順に導入される必要があることを意味しない。いくつかの実施の形態では、ALDサイクルは第2あるいは第3の反応物から始まることができる。第1の反応物は、自己制限の方式で基板表面上に化学的に吸着し(「化学吸着」)、よって基板表面上にたった約1つの単分子層しか形成しない。第1の反応物が、形成されている層の中に望まれる遷移金属(「金属」)種を好ましくは含む。好ましい実施の形態では、形成される窒化金属膜は、W、Mo、Cr、Ta、Nb、V、Hf、Zr及びTiからなるグループから選択される何れか1つの金属を含む。いくつかの実施の形態では、さらに、好ましくはW、Mo、Cr、Ta、Nb、V、Hf、Zr及びTiからなるグループから選択されるいずれか1つの第2の遷移金属あるいは複数の遷移金属を含み得る。
いくつかの実施の形態では、ここに「金属反応物」とも呼ばれている金属ソースケミカルまたは物質がハロゲン化物であり、基板上に(あるいは上方に)ハロゲン配位子で終端される分子層を形成する。この場合、金属ソース物質は、金属臭化物、金属塩化物、金属フッ化物及び金属ヨウ化物からなるグループから選択することができる。いくつかの実施の形態では、窒化金属膜はタンタルを含む。また、成膜に使用されるタンタルソースケミカルは、タンタル臭化物、塩化タンタル、タンタルフッ化物及びタンタルヨウ化物からなるグループから選択される。他の実施の形態では、金属ソースケミカルは、例えばタンタルの有機化合物のような有機金属化合物である。一例として、ペンタキス(ジアルキルアミド、Dialkylamido)化合物はタンタルソースケミカルとして使用することができる。いくつかの実施の形態では、金属のメタロセン(Metallocene)誘導体(例えば、TaCp)を使用してもよい。
第2の反応物は、形成されている膜の中に、望まれる窒素のような別の種を好ましくは含む。いくつかの実施の形態では、第2の反応物は、いくつかの実施の形態ではハロゲン化物であるが、典型的にはハロゲン化物ではない。好ましい実施の形態では、第2の反応物は、アンモニア(NH)及びその塩類、アジ化水素(HN)及びそのアルキル誘導体、ヒドラジン(N)及びヒドラジンの塩類、ヒドラジンのアルキル誘導体、弗化窒素(NF)、第1、第2及び第3のアミン類、窒素ラジカル、及び励起状態の窒素(N )から成るグループから選択する。ここでは、は結合可能な自由電子を意味する。別の実施の形態では、第2の反応物は、t−ブチルアミド、フッ化アンモニウム、塩化アンモニウム、CH、ヒドラジン塩酸塩ジメチルヒドラジン、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン及びトリエチルアミンからなるグループから選択する。一つの好ましい実施の形態では、第2の反応物はアンモニア(NH)である。
第3の反応物は還元剤である。好ましい実施の形態では、第3の反応物は、水素イオン及び/又はラジカル(つまり、水素の励起種)を含み、例えば水素(H)プラズマでありえる。水素プラズマは、上に言及されたように、例えば、番号2004/0231799のアメリカ合衆国特許出願に開示されたようなシャワーヘッドタイプPEALD反応器のような反応器内で生成し得る。別の実施の形態では、水素プラズマは外部で生成され(つまり、遠隔プラズマ生成)、そして反応空間に向けられる。いくつかの実施の形態では、第3の反応物は金属の膜と反応し、金属ソースケミカルによって堆積した配位子(例えばロゲン化物)を除去する。例えば、第3の反応物は金属または窒化金属膜から塩素原子を除去することができる。
好ましい実施の形態では、プラズマパラメータは、水素プラズマが実質的に基板の表面に向けられるように選択する。プラズマパラメータは、制限する意味ではないが、定時の高周波(「RF」)パワー、RFパワーの振幅、RFパワーの周波数、反応物の濃度、反応物の流量、反応空間の圧力、ガス全体の流量、反応物パルスの持続時間及び間隔、並びにRF電極間隔を含む。例えば、シャワーヘッド及び基板表面間の間隔は水素プラズマを主に基板表面に向けるように選択され得る。このようにすることにより、反応空間の別の箇所(例えば、水素プラズマを考慮しない反応空間の壁)での水素プラズマの暴露は、無くせなくても、最小限にし得る。その結果、導電膜、好ましくは導電窒化タンタル膜が基板上に形成され、また、反応空間の、水素プラズマの接触を受けなかった箇所での成膜は非導電材料に限定される。
ALDサイクルの1つの段階(「金属段階」あるいは「第1の段階」)では、金属反応物(つまり、金属ソース物質)が反応室に供給され、基板表面に化学吸着される。この段階で供給される反応物は、好ましい条件の下では、表面に吸着できる反応物の量が表面上の利用可能な結合部位の数、及び化学吸着された種(配位子を含む)の物理的なサイズによって決定されるように選択される。金属反応物は不活性キャリヤーガス(例えば、HeまたはAr)を用いて供給されることができる。金属反応物のパルスによって残された化学吸着された膜は、そのパルスの残りのケミカルとは非反応性の表面で自己終端する。この現象は本明細書で「自己飽和」と呼ぶ。当業者は、この段階の自己制限的な性質がALDサイクル全体を自己制限的なものにすることに気づくであろう。超過反応物及び反応物副産物(もしあれば)が、例えばパージガスによるパージにより、及び/又は排気システムによって生成された真空により、反応空間から除去される。金属反応物がキャリヤーガスを用いて供給された場合、反応物(また副産物)の除去は、金属反応物のフローを止め、キャリヤーガスを供給し続ける(つまり、キャリヤーガスはパージガスとして役立つ)ことを必要としうる。
ワークピース表面上の最大のステップカバレージは、個々の自己制限的なパルスの期間中に金属ソース物質分子のせいぜい約一分子層しか化学吸着されないときに得ることができる。化学吸着された種のサイズ及び反応点の数が原因で、金属反応物の各パルスで成膜されるのは典型的には単分子層(ML)未満である。
このサイクルの次の段階では、前のパルスによって基板表面上に残された金属含有分子と反応する第2のソースケミカルのパルスが供給される。第2のソースケミカルは好ましくは窒素(窒素ソース物質)を含む。したがって、窒素は、金属反応物によって残された単分子層と第2のソースケミカルとの相互作用によって薄膜に取り込まれる。この段階は本明細書で「第2の段階」あるいは「窒素寄与段階」と呼ぶ。好ましい実施の形態では、第2のソースケミカルは窒素含有化合物(例えばNH)であり、また、化学吸着された金属種との反応は、基板上に窒化金属膜を生成する。
超過の第2のソースケミカル及び反応副産物は、もしあれば、排気システムを利用したパージ及び/又は排出によって反応空間から除去される。パージは、アルゴン(Ar)あるいはヘリウム(He)のような不活性ガスを使用して実行され得る。
ALDサイクルの第3の段階は還元剤を提供するステップを含む。好ましい実施の形態では、還元剤は、形成された薄膜を構成する遷移金属へ電子を供給することができる。それによって、遷移金属の酸化状態を下げる。好ましい実施の形態では、還元剤は水素の励起種(例えば、水素ラジカル)を含む水素プラズマである。したがって、いくつかの実施の形態では、水素プラズマが窒化金属膜からハロゲン化物原子(残留物)を除去し、それによって、窒化金属膜を還元する。例えば、遷移金属がTaであり、窒化タンタルの誘電体相(例えば、Ta)が基板表面に形成された場合、水素プラズマへの膜の暴露が、Taの酸化状態を「+5」から「+3」に低減して、導電性の窒化タンタル薄膜を生成する。
「第2の段階」及び「第3の段階」と呼ばれているが、これらの呼び方は便宜上のものであり、各ALDサイクルにおける段階の実際の順序を示すものでない。したがって、「第1の段階」の後、ALDサイクルは、第2あるいは第3の段階のいずれかへも続き得る。その結果、いくつかの実施の形態では、パルスシーケンスは遷移金属ソース物質パルス/水素プラズマパルス/窒素ソース物質パルスであり得る。別の実施の形態では、パルスシーケンスは遷移金属ソース物質パルス/窒素ソース物質パルス/水素プラズマパルスであり得る。反応物パルスが、上に説明されるように、好ましくはパージガス及び/又は排気システムを使用した排出で超過反応物及び/又は反応副産物(もしあれば)を除去するパージステップによって好ましくは分離される。さらに、各段階(別の段階と共に、あるいは単独で)は他の段階に先立って所定回数繰り返され得る。例えば、順に実行された第1の段階と第2の段階は、第3の段階に先立って5回繰り返すことができる。別の例として、順に実行された第1の段階及び第3の段階は、第2の段階に先立って5回繰り返すことができる。当業者は、第1の段階及び第3の段階(あるいは第2の段階)の繰り返し回数が組成的に均一な窒化金属膜の形成(以下参照)を可能にするように選択されることを理解するであろう。さらに、当然のことながら、最初の2つの段階の繰り返し回数は、好ましくは、形成された窒化金属膜が、その大部分が第3の段階で還元されるのを可能にする厚さを有するようなものである。一実施の形態では、最初の2つの段階は、高々50ナノメータ(nm)の厚さの窒化金属薄膜が形成されるまで繰り返されることができる。それによって、第3の段階で窒化金属薄膜の十分な還元を可能にする。
さらに、もしパージあるいは別の反応物除去ステップのみが介在する場合、一つの段階は基本的に直ちに別の段階に続くと考える。
一実施の形態では、ALDサイクルは、以下のステップを含む。
1.遷移金属ハロゲン化物を反応空間に供給するステップ
2.超過遷移金属ハロゲン化物及び反応副産物をパージ及び/又は排出するステップ
3.窒素ソース物質を反応空間に供給するステップ
4.超過窒素ソース物質及び反応副産物をパージ及び/又は排出するステップ
5.水素プラズマを反応空間に供給するステップ、及び
6.超過水素プラズマ及び反応副産物をパージ及び/又は排出するステップ
好ましい実施の形態では、水素プラズマを供給するステップ(ステップ5)は、基板を含んでいる反応空間に水素ガスを導入するステップ、及びイン・シトゥーで(つまり、反応空間の中で)水素プラズマを生成するステップを含む。パワーが、プラズマを生成するためにRF電極に供給される。所望の暴露時間の後、プラズマ生成が終了される。また、反応副産物(もしあれば)がパージ及び/又は排出ステップ(ステップ6)を用いて除去される。別の実施の形態では、水素プラズマが、反応空間と流体的に繋がる遠隔の場所で生成され、そして、反応空間に導かれる。好ましい実施の形態では、水素プラズマ生成の方法にかかわらず、水素プラズマは主に基板表面に導かれる。
いくつかの実施の形態では、ステップ5は各ALDサイクルに含まれる。しかしながら、別の実施の形態では、ステップ5が導入される前に、ステップ1〜4を数回繰り返すことができる。したがって、いくつかの実施の形態では、ステップ5が含まれる前に、ステップ1〜4は10回まで繰り返される。別の実施の形態では、ステップ5が含まれる前に、ステップ1〜4は、100回まであるいは1000回までさえ、又はそれ以上繰り返される。ステップ1〜4の繰り返し回数は好ましくは、窒化金属膜が、ステップ5で実質的に該膜の全体の還元を可能にする厚さを有することを保証するように選択される。
水素プラズマを基板表面へ導くことによって、好ましい方法は有利に、基板上に導電相の窒化タンタル(例えば、TaN)膜の形成、及び上述したようにシャワーヘッドを含みうる反応器の壁の上に誘電体相の窒化タンタル(例えば、Ta)膜の形成を可能にする。プラズマパラメータは、水素プラズマの暴露を主に基板表面に限定するように選択され得る。
いくつかの実施の形態では、基板が導電窒化タンタル膜の形成の間に加熱される。そのような場合では、基板温度が約250℃〜400℃となるように選択される。さらに、膜形成の間に、反応器圧力が約0.5Torr及び10Torr間に維持される。
いくつかの場合に、プラズマパラメータ(例えば、プラズマパワー)及び/又はプラズマパルス持続時間が窒化タンタル膜内の不純物(例えば、ハロゲン化物、酸素)のレベルを減少するために調節され得る。成膜後に窒化タンタル膜に残るどんな不純物もポスト成膜ステップで水素プラズマへの暴露を介して除去されることができる。いくつかの場合には、成膜サイクルまたはポスト成膜の間に、水素のプラズマ励起種へ基板を1秒間以上さらすならば、導電窒化タンタル膜からほとんどの不純物を除去する。
図1に示すように、本発明の典型的な実施例では、最初の表面終端後に、必要か望まれている場合、第1反応物またはソース物質が基板に供給される(ステップ102)。好ましい実施の形態によれば、第1の反応物パルスがキャリヤーガス、及び対象の基板表面と反応的な揮発性のハロゲン化物種を含む。揮発性のハロゲン化物種は、形成される膜の一部を形成する金属種を含む。従って、ハロゲン含有種がワークピース表面上に吸着する。示された実施の形態では、第1の反応物は金属ハロゲン化物のような遷移金属ソース物質である。また、形成されている薄膜は金属物質、好ましくは導電窒化金属を含む。第1の反応物パルスは、第1反応物パルスのどんな超過成分もこのプロセスによって形成された単分子層とはこれ以上反応しないようにワークピース表面で自己飽和する。自己飽和が、ハロゲン化物端部のような更なる反応から膜を保護する配位子によって促進され、単分子層を終端する。
そして、第1の反応物は反応空間から除去される(ステップ104)。ステップ104は、第1反応物またはケミカルのフローを止める一方で、キャリヤーガスを十分な時間だけ流し続けて、反応空間から超過反応物および反応物副産物を拡散、または除去することを必要とする。キャリヤーガスは、好ましくは不活性ガス(例えば、HeまたはAr)であり、好ましくは反応室体積の約2倍より大きく、より好ましくは反応室体積の約3倍より大きい体積で流される。このような場合では、キャリヤーガスは反応物除去ステップ104の期間中にパージガスの役割をする。好ましくは、除去ステップ104は、第1の反応物パルスのフローを止めた後に、パージガスを約0.1秒〜20秒流し続けるステップを含む。パルス間のパージは、1999年9月8日出願された「薄膜成長の装置及び方法」を表題とする番号6,511,539のアメリカ合衆国特許に説明されている。その開示全体は参照によって本明細書の一部として取り入れている。別の構成では、反応室は、ケミカルを交替する間に減圧排気することができる。例えば、1996年6月6日に公表された「薄膜成長の方法及び装置」を表題とする番号WO 96/17107のPCT公開を参照することができる。その開示全体は参照によって本明細書の一部として取り入れている。吸着ステップ102及び反応物除去ステップ104は併せて、1つのALDサイクルの第1の段階110に相当する。このように、説明されたALDサイクルの第1の段階は金属段階である。
図1にさらに示すように、第2の反応物またはソースケミカルがワークピースへパルス的に供給される(ステップ106)。第2のケミカルは望ましくは、第1の反応物によって残された単分子層と反応し、あるいはその上に吸着される。示された実施の形態では、第2の反応物は水素プラズマである。また、第2の反応物パルスステップ106は、反応器内で水素プラズマを生成するか、あるいは、遠隔に生成された水素プラズマを供給するステップを含む。水素プラズマが、第1の段階で形成された膜内の金属の酸化状態を低減する。さらに、水素プラズマ中の水素原子が、第1の段階で形成された単分子層と反応して単分子層からハロゲン化物原子を除去する。
単一層を還元する十分な時間の後に、プラズマ生成が終了し、また、どんな反応副産物(また好ましくは揮発性の)も、好ましくはパージガスパルスによって、反応空間から除去される(ステップ108)。この除去ステップは、ステップ104について説明されたように実施することができる。ステップ106及び108は併せて、説明されたALDプロセスの第2の段階120を表す。この第2の段階120は還元段階とも呼ぶことができる。
次に、第3の反応物またはソース物質パルスがワークピースに供給される(ステップ110)。第3反応物またはケミカルは、望ましくは、第1および第2の反応物によって残された単一層と反応する、あるいはその上に吸着する。示された実施の形態では、この第3の反応物パルスステップ110は、反応空間(あるいは反応室)に第3のソース物質を含むキャリヤーガスを供給するステップを含む。第1の反応物が金属ハロゲン化物を含み、第2の反応物が水素のプラズマ励起種(例えば、水素ラジカル)を含む特定の実施の形態では、第3の反応物パルスが基板表面上の成長中の膜と反応して、たった単分子層の窒化金属しか残さない。好ましい実施の形態では、第3の反応物は例えばアンモニア(NH)のような窒素ソース物質である。
第3の反応物が単分子層と反応し、完全に飽和する(ステップ110)十分な時間の後、どんな超過の第3の反応物もワークピースから除去される(ステップ112)。第1反応物の除去ステップ104でのように、このステップ112は好ましくは、第3ケミカルのフローを止め、第3反応物パルスからの超過反応物および揮発性の反応副産物を反応空間から拡散し、パージするために十分な時間だけキャリヤーガスを流し続けるステップを含む。第3の反応物パルスステップ110及び除去ステップ112は併せて、説明されているプロセスの第3の段階130を表し、窒素寄与段階としても考えることができる。
所望の厚さの導電窒化タンタル膜が基板上に形成されるまで、第1の段階110、第2の段階120及び第3の段階130を繰返すことができる(ステップ140)。例えば、望まれるような厚さを有する導電窒化金属膜を形成するために、これらの3つの段階は10回、100回、あるいは1000回繰り返し得る。
窒化タンタル膜の厚さはアプリケーションに応じて変ることができる。例えば、ICメタライゼーション中の銅バリヤー層としての1つの実施の形態では、窒化タンタル薄膜は、約15ナノメータ(nm)あるいはそれ以下の厚さを有することができる。金属ゲートアプリケーション用の別の実施の形態では、窒化タンタル膜は、約1nm〜10nm間の厚さを有することができる。さらに、MIM金属電極アプリケーション用の別の実施の形態では、窒化タンタル膜は、約5nm〜30nm間の厚さを有することができる。
上に言及されたように、いくつかの実施の形態では、順に実行される第1段階及び第2の段階は、第3の段階の前に所定回数繰り返すことができる。例えば、第1の段階と第2の段階は、第3の段階に先立って5回あるいは10回繰り返し得る。このようにして、一単分子層以上の厚さを有する還元された金属膜が形成される。しかしながら、当業者は、第1及び第2の段階の繰り返し回数が、基板上に成分的に均一の導電窒化金属膜の形成を可能にするように選択されることを理解できるであろう。すなわち、繰返しの数(従って、厚さ)は還元された金属膜の完全な窒化を第3の段階において可能にするように注意深く選択される。
本発明の代替実施例(図示せず)では、第3の段階は第1の段階に続く。この場合、窒素ソース物質の供給あるいはパルスステップ110は、超過の遷移金属ソース物質及び副産物の除去ステップ104に直ちに続く。単分子層と完全に反応するための十分な時間の後、窒素ソース物質及び反応副産物(もしあれば)が好ましくはパージガスパルスによって反応空間から除去される。第2の段階120はこの除去ステップに続く。その場合には、水素プラズマが窒化金属膜を還元するためにパルス的に供給される。1つの代替として、順に実施される第1の段階および第3の段階は第2段階の前に所定の回数繰り返すことができる。例えば、第1の段階及び第3の段階が、第2の段階に先立って5回あるいは10回繰り返され得る。このようにして、厚さが1つの単分子層以上の窒化金属膜が形成される。いくつかの実施の形態では、このステージの窒化金属膜は非導電性的であり得る。後続の第2の段階の実施が導電性の窒化金属膜を生成する。しかしながら、当業者は、第1の段階および第3の段階が繰り返される回数が、成分的に均一の導電窒化金属膜の形成を可能にするように選択されることを理解できるであろう。
当然のことながら、導電窒化金属膜が化学量論的である必要はない。導電窒化金属膜は1以上の金属対窒素の比率を有することができる。いくつかの場合には、導電窒化金属膜は、その金属対窒素の比率が1より相当大きく、金属を豊富に含むことができる。他方では、非導電性の(あるいは誘電体の)窒化金属膜が、1未満の金属対窒素の比率を有する。当然のことながら、基板上に窒化金属膜を形成する好ましい方法は、所望の金属対窒素の比率を有する導電窒化金属膜を形成するために使用することができる。好ましくは、プロセス・パラメータ(例えば、窒素ソースケミカルパルスの持続時間)が、所望の金属対窒素の比率を有する窒化金属膜を生成するように選択される。
したがって、上述した実施の形態によれば、非導電性の(あるいは誘電体の)窒化金属膜は他の場所(例えば、反応空間壁)に形成される一方、導電性の窒化金属膜は基板表面上に制御可能に形成される。当然のことながら、好ましい実施の形態の方法によって形成された導電窒化金属膜は、膜性質(例えば、組成、厚さ)に応じて変化する固有抵抗(また導電率)を有することができる。一実施の形態では、集積回路(IC)銅メタライゼーション・プロセスにおいてバリヤー層として使用される導電窒化金属膜は好ましくは約400μΩcm以下のバルク抵抗率を有する。基本的に、固有抵抗は、約3000μΩcm未満、好ましくは約2000μΩcm未満、より好ましくは約1000μΩcm未満、最も好ましくは約500μΩcm未満である。いくつかの実施の形態では、好ましい方法によって形成された導電窒化タンタル膜は、好ましくは約500μΩcm〜1200μΩcm間の固有抵抗を有し、より好ましくは約1000μΩcmの固有抵抗を有する。
ゲート電極アプリケーションでは、好ましい方法によって形成された導電窒化金属膜のバルク抵抗率は、好ましくは同様の厚さのポリシリコン膜のバルク抵抗率より小さい。ポリシリコン膜は典型的には約2,500μΩcm〜3,000μΩcmのバルク抵抗率を有する。好ましい方法によって形成される導電窒化金属膜は約2000μΩcm未満の固有抵抗を有することができる。
前述の実施の形態は以下、一実施例の状況で議論される。
実施例
ASM Genitech社のPEALD反応器中で300mmのシリコンウェーハ上に導電窒化タンタル膜を形成した。成膜は約300〜350℃の基板温度及び約93℃のTaFソースガス温度で行われた。水素(H)プラズマ及びアンモニア(NH)は、還元剤及び窒素ソース物質としてそれぞれ使用された。Hプラズマは、プラズマパワーを約400Wに保持しながら、Hを反応器にパルス的に供給すると同時に、プラズマ発生器へパワー(1キロワット、13.56MHz)を供給することによって生成された。ガスパルスのシーケンス及びパルス時間(ミリセカンド、「ms」)は、以下のとおりである。
(1)TaFパルス(900ms)
(2)Arパージ(3000ms)
(3)Hプラズマパルス(2000〜4000ms)
(4)Arパージ(2000ms)
(5)NHパルス(1000〜4000ms)
(6)Arパージ(6000ms)
ステップ(1)〜(6)は、約40nm〜70nm間の厚さの導電窒化タンタル膜が基板上で形成されるまで繰り返された。パルスシーケンスの期間中に、絞り弁は反応器圧力を約3Torrに維持するために使用され、また、アルゴン(Ar)は約650sccmの流量でウェーハ上に連続的に供給された。
結果
ALD(熱/プラズマ)は、主に約300℃の基板温度で行った。また、結果は、約300℃〜350℃間の温度で得た。アンモニアパルスの期間を長くし、化学量論的窒化タンタル(つまり、TaN)の約1.0及び誘電体窒化タンタル(例えばTa)の約1.67の間に変化するN対Taの比率(N/Ta)を算定した結果は、窒化タンタル膜が化学量論的な相で成長したことを示した。TaF及びHプラズマのパルスの長さまたは期間は、アンモニア(NH)パルスに先立って基板上に飽和した、且つ完全に還元されたタンタル層を提供するのに十分であるように選択された。さらに、パージガスは前駆体と反応副産物の除去を支援するために供給された。約0.5秒(s)、1s、2s、及び4sのアンモニアパルス期間のそれぞれにおいて、約800〜1000のサイクルを使用した結果、それぞれ連続的な帯黄色の金属の膜が基板上に形成された。
シート抵抗測定は導電性の窒化タンタルを示した。シート抵抗は、アンモニアパルスの期間を約0.5sから4sに延長しても約100〜200オーム/cm間に止まった。このような範囲は、シート抵抗の正常変動内にある。それは、N対Taの比率が0.5sのアンモニアパルス期間で飽和したことを示している。
X線の光電子分光法(XPS)の結果は、先の観測結果を裏付けた。XPSの結果によれば、N対Taの比率は、0.5sのアンモニアパルス期間の場合の比率とほぼ同等であった。膜はタンタルをわずかに多めに含んだ(N/Ta=0.8)。しかし、0.5s〜4sのアンモニアパルス期間の間にはN対Taの比率の変化は観察されなかった。成膜温度を約350℃に上げ、アンモニアパルス期間を0.5sに保持した場合、膜は、Taのより好ましい酸化状態(つまり、+3)の結果として、より化学量論的となった(N/Ta=1.0)。
X線の反射率測定(XRR)の結果は、300℃で約0.5Å/サイクル、及び350℃で約0.6Å/サイクルの成長率を示した。さらに、固有抵抗が成膜温度に応じて変った。例えば、固有抵抗は、300℃で約400μΩ−cm、及び350℃で約1800μΩ−cmであった。N対Taの比率は、PEALDで成長した膜の電気的性質に顕著な影響があると分かった。
約40nm〜70nm間の厚さを有する化学量論的窒化タンタル(TaN)膜は、300mmのシリコンウェーハの上に、約2%(1シグマ)〜4%(1シグマ)間の厚さの不均一性を有して得られた。
窒素とタンタルに加えて、XPSの結果は、TaN膜が約2〜10原子%のフッ素及び約2〜5原子%の酸素を含んでいたことを示した。フッ素と酸素の不純物は、例えば、窒化タンタル膜の形成の期間中にプラズマパラメータを調節することによって、あるいは水素プラズマを利用したポスト成膜処理によって除去されることができる。
前述の実施の形態のうちの少なくともいくつかでは、置換が実現可能ならば、1つの実施の形態で使用されるどんな成分も、別の実施の形態で互換的に使用することができる。
当然のことながら、様々な他の省略、追加及び変更が、本発明の範囲から逸脱せずに、上に説明された方法及び構成になされ得ることを当業者は理解できるであろう。例えば、以上ではタンタルが好ましい方法の中で使用されたが、好ましい方法によって形成される窒化金属膜は、例えば、W、Mo、Cr、Nb、V、Hf、Zr、及びTiのような1つ以上の別の金属を含むことができる。一例として、好ましい実施の形態に係る方法は窒化タングステン膜を形成するために使用することができる。そのような修正及び変更はすべて、特許請求の範囲によって定義される本発明の範囲内にあるように意図されている。
本発明の好ましい実施例に係るパルスシーケンスのフローチャートである。

Claims (25)

  1. 反応空間内の基板上に窒化タンタル膜を成長させる原子層成長(ALD)方法であって、
    a)タンタルソースケミカルの気相パルスを前記反応空間に供給するステップ、
    b)超過の前記タンタルソースケミカル及び反応副産物を前記反応空間から除去するステップ、
    c)窒素ソースケミカルの気相パルスを前記反応空間に供給し、基板表面上に残された前記タンタルソースケミカルと反応させるステップ、
    d)超過の前記窒素ソースケミカル及び反応副産物を前記反応空間から除去するステップ、
    e)水素(H)のプラズマ励起種の気相パルスを前記反応空間に供給するステップ、及び、
    f)超過の前記水素のプラズマ励起種及び反応副産物を前記反応空間から除去するステップ、
    の逐次的なステップを含む方法。
  2. 前記ステップa)〜ステップf)が、所定の厚さの窒化タンタル膜が基板表面上に形成されるまで繰り返されるステップをさらに含む請求項1の方法。
  3. 前記ステップa)〜ステップd)が、前記ステップe)〜ステップf)の前に所定の回数繰り返される請求項1の方法。
  4. 前記供給ステップが、キャリヤーガスでパルス的に供給するステップを含む請求項1から請求項3のいずれか1項の方法。
  5. 前記除去ステップが、不活性ガスを用いてパージするステップを含む請求項1から請求項4のいずれか1項の方法。
  6. 前記除去ステップが、排気システムを用いて排出するステップを含む請求項1から請求項5のいずれか1項の方法。
  7. 前記タンタルソースケミカルが、タンタルハロゲン化物及びタンタルの有機化合物から成るグループから選択される請求項1から請求項6のいずれか1項の方法。
  8. 前記タンタルソースケミカルが、タンタルのペンタキス(ジアルキルアミド)化合物を含む請求項7の方法。
  9. 前記タンタルソースケミカルが、タンタル臭化物、塩化タンタル、タンタルフッ化物、およびタンタルヨウ化物から成るグループから選択される請求項7の方法。
  10. 前記窒素ソースケミカルが、アンモニア(NH)及びその塩類、アジ化水素(HN)及びそのアルキル誘導体、ヒドラジン(N)及びヒドラジンの塩類、ヒドラジンのアルキル誘導体、弗化窒素NF、第1、第2及び第3のアミン類、窒素ラジカル、並びに励起状態窒素(N )から成るグループから選択される(ここで、*は結合可能な自由電子である)請求項1から請求項9のいずれか1項の方法。
  11. 前記窒素ソースケミカルが、t−ブチルアミド、CH、ヒドラジン塩酸塩ジメチルヒドラジン、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン、及びトリエチルアミンからなるグループから選択される請求項10の方法。
  12. 前記水素のプラズマ励起種が、反応空間内で生成される請求項1から請求項11のいずれか1項の方法。
  13. 前記水素のプラズマ励起種が、シャワーヘッドを備える反応器内で生成される請求項12の方法。
  14. 前記水素のプラズマ励起種が、遠隔で生成される請求項1から請求項12のいずれか1項の方法。
  15. 前記基板が、少なくとも1つの前記パルスの期間中に加熱される請求項1から請求項14のいずれか1項の方法。
  16. 前記基板が、シリコン、シリカ、コーティングされたシリコン、金属銅、及び窒化物から成るグループから選択された1つ以上の物質を含む請求項1から請求項15のいずれか1項の方法。
  17. 前記反応空間が、プラズマ原子層成長法(PEALD)のために構成された反応器である請求項1から請求項16のいずれか1項の方法。
  18. 前記反応空間が、枚葉式PEALD反応器である請求項17の方法。
  19. プラズマパラメータが、導電窒化タンタル膜が前記基板上にのみ形成され、誘電体窒化タンタル膜が前記反応空間の別の場所の上に形成されるように、水素プラズマが基板表面に向けられるように選択される請求項17の方法。
  20. 前記プラズマパラメータが、RFパワーのオン時間、RFパワーの振幅、RFパワーの周波数、反応物の濃度、反応物の流量、反応空間の圧力、ガス全体の流量、反応物パルスの持続時間及び間隔、並びにRF電極間隔からなるグループから選択される請求項19の方法。
  21. 前記窒化タンタル膜が、導電性である請求項1から請求項18のいずれか1項の方法。
  22. 前記窒化タンタル膜内の窒素に対するタンタルの比率が、1以上である請求項21の方法。
  23. 前記窒化タンタル薄膜が、2000μΩcm未満のバルク抵抗率を有する請求項21の方法。
  24. 前記窒化タンタル薄膜が、1000μΩcm未満のバルク抵抗率を有する請求項23の方法。
  25. 前記窒化タンタル膜が、集積回路中の拡散障壁を画定する請求項1から請求項18のいずれか1項の方法。
JP2008010072A 2007-01-26 2008-01-21 窒化タンタル膜のプラズマald Active JP5441340B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/627,749 US7598170B2 (en) 2007-01-26 2007-01-26 Plasma-enhanced ALD of tantalum nitride films
US11/627,749 2007-01-26

Publications (3)

Publication Number Publication Date
JP2008184688A JP2008184688A (ja) 2008-08-14
JP2008184688A5 JP2008184688A5 (ja) 2011-03-03
JP5441340B2 true JP5441340B2 (ja) 2014-03-12

Family

ID=39247217

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008010072A Active JP5441340B2 (ja) 2007-01-26 2008-01-21 窒化タンタル膜のプラズマald

Country Status (4)

Country Link
US (1) US7598170B2 (ja)
EP (1) EP1956113A1 (ja)
JP (1) JP5441340B2 (ja)
TW (1) TWI433956B (ja)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
JP2011249351A (ja) * 2008-09-19 2011-12-08 Panasonic Corp 記憶素子及び記憶装置
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP4575984B2 (ja) 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
US9376754B2 (en) 2009-02-12 2016-06-28 Mitsui Engineering & Shipbuilding Thin film forming method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5220062B2 (ja) * 2009-06-10 2013-06-26 株式会社日立国際電気 半導体装置の製造装置及び半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
KR101872949B1 (ko) * 2011-05-17 2018-07-02 삼성전자주식회사 상변화 메모리 장치 및 이의 제조 방법
US9136180B2 (en) * 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130026480A1 (en) * 2011-07-25 2013-01-31 Bridgelux, Inc. Nucleation of Aluminum Nitride on a Silicon Substrate Using an Ammonia Preflow
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013122069A (ja) * 2011-12-09 2013-06-20 Ulvac Japan Ltd 窒化タングステン膜の形成方法、及び窒化タングステン膜の形成装置
WO2013155432A2 (en) * 2012-04-12 2013-10-17 Veeco Instruments, Inc. Plasma enhanced atomic layer deposition method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9214630B2 (en) * 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) * 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770349B2 (en) * 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
KR101911413B1 (ko) * 2017-03-27 2018-10-24 주성엔지니어링(주) 박막, 박막 증착 장치 및 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202124757A (zh) * 2019-10-29 2021-07-01 美商應用材料股份有限公司 生長低電阻率含金屬膜之方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114561628B (zh) * 2022-03-22 2024-04-19 海朴精密材料(苏州)有限责任公司 一种循环化学气相沉积高纯钽的制备方法及其应用

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
WO1996017104A1 (en) 1994-11-30 1996-06-06 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
CA2172870A1 (en) 1996-03-28 1997-09-29 Michael Lambert Connectors for a modular building set
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
PL190391B1 (pl) 1999-02-11 2005-12-30 Hardide Ltd Materiał na powłoki odporne na ścieranie, erozję i korozję, materiał obejmujący podłoże z osadzoną powłoką, powłoka zawierająca wolfram i węglik wolframu, sposób wytwarzania węglików wolframu i sposób osadzania powłok
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010088044A (ko) 2000-03-10 2001-09-26 윤종용 위성 이동통신단말기의 안테나 장치
US6873576B1 (en) 2000-05-24 2005-03-29 Koninklijke Philips Electronics N.V. Method of thermally-assisted data recording and a recording apparatus
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR100532081B1 (ko) 2001-05-14 2005-11-30 엘지.필립스 엘시디 주식회사 박막 트랜지스터 표시소자의 인듐 틴 옥사이드 재생방법
KR100404342B1 (ko) 2001-07-10 2003-11-03 주식회사 아펙스 자외선 조사장치
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
DE50205449D1 (de) 2001-08-06 2006-02-02 Degussa Organosiliciumverbindungen
DE10140256B4 (de) 2001-08-07 2012-09-06 Bos Gmbh & Co. Kg Schutzvorrichtung für einen Laderaum eines Fahrzeugs
KR100405259B1 (ko) 2001-10-19 2003-11-12 홍영기 소방용 관창의 멜빵
KR100441568B1 (ko) 2001-11-16 2004-07-23 한스타 디스플레이 코퍼레이션 열소산 구조물
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7186446B2 (en) 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
JP2006052426A (ja) * 2004-08-10 2006-02-23 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 窒化タンタル膜の形成方法
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP4931171B2 (ja) 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Also Published As

Publication number Publication date
EP1956113A1 (en) 2008-08-13
US7598170B2 (en) 2009-10-06
TWI433956B (zh) 2014-04-11
JP2008184688A (ja) 2008-08-14
TW200839029A (en) 2008-10-01
US20080182411A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
JP5441340B2 (ja) 窒化タンタル膜のプラズマald
US8268409B2 (en) Plasma-enhanced deposition of metal carbide films
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
TWI457983B (zh) 用於在反應空間中的基板上形成薄膜的原子層沉積製程
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7595270B2 (en) Passivated stoichiometric metal nitride films
JP5551681B2 (ja) アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
JP3687651B2 (ja) 薄膜形成方法
US7638170B2 (en) Low resistivity metal carbonitride thin film deposition by atomic layer deposition
KR100640550B1 (ko) 플라즈마 ald 박막증착방법
KR20090057665A (ko) 금속을 함유하는 박막 형성방법
US20060030148A1 (en) Formation of a tantalum-nitride layer
JP5109299B2 (ja) 成膜方法
WO2008055017A2 (en) Controlled composition using plasma-enhanced atomic layer deposition
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
TW202320168A (zh) 填充間隙之方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130604

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131217

R150 Certificate of patent or registration of utility model

Ref document number: 5441340

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250