JP5184508B2 - インプリント・リソグラフィ・システム - Google Patents

インプリント・リソグラフィ・システム Download PDF

Info

Publication number
JP5184508B2
JP5184508B2 JP2009504286A JP2009504286A JP5184508B2 JP 5184508 B2 JP5184508 B2 JP 5184508B2 JP 2009504286 A JP2009504286 A JP 2009504286A JP 2009504286 A JP2009504286 A JP 2009504286A JP 5184508 B2 JP5184508 B2 JP 5184508B2
Authority
JP
Japan
Prior art keywords
field
layout
patterned
imprint
template
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009504286A
Other languages
English (en)
Other versions
JP2009532908A (ja
Inventor
スリニーヴァッサン,シトルガタ・ヴイ
シューメイカー,フィリップ・ディ
マックマッキン,イアン・エム
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2009532908A publication Critical patent/JP2009532908A/ja
Application granted granted Critical
Publication of JP5184508B2 publication Critical patent/JP5184508B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/52Details
    • G03B27/62Holders for the original
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C59/022Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing characterised by the disposition or the configuration, e.g. dimensions, of the embossments or the shaping tools therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/42Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels

Description

本発明はインプリント・リソグラフィ・システムに関する。
ナノファブリケーションは、例えばナノ・メートル以下程度のフィーチャを有する非常に小さな構造の製造を含む。ナノファブリケーションがかなりの影響を及ぼしている1つの分野は集積回路の加工である。半導体加工産業が、基板上に形成される単位面積当りの回路を増やしながら、より高い生産歩留まりを求めて引続き努力しているので、ナノファブリケーションは益々重要になっている。ナノファブリケーションは、より高度なプロセス制御を実現し、一方、形成される構造の最小フィーチャ寸法のさらなる低減を可能にする。ナノファブリケーションが利用されている他の開発分野には、バイオテクノロジー、光学技術、機械システムなどが含まれる。
例示的なナノファブリケーション技法は、一般的にインプリント・リソグラフィと呼ばれる。例示的なインプリント・リソグラフィ・プロセスは多数の出版物、例えば「Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability」という名称の米国特許出願第10/264,960号で出願された米国特許出願公開第2004/0065976号、「Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards」という名称の米国特許出願第10/264,926号で出願された米国特許出願公開第2004/0065252号、「Functional Patterning Material for Imprint Lithography Processes」という名称の米国特許第6,936,194号などに詳細に記載されており、これらの全ては、本発明の譲受人に譲渡され、これらの全てが参照により本明細書に組み込まれる。
前述の米国特許出願公開及び米国特許のそれぞれに開示されたインプリント・リソグラフィ技術は、重合可能な層内にレリーフ・パターンを形成すること、及び下にある基板内にレリーフ・パターンに相当するパターンを転写することを含む。基板は、可動ステージ上に位置決めされ、パターニングを容易にするために適切な位置とすることができる。このために、テンプレートが、テンプレートと基板との間に成形可能な液体を有する基板から間隔を空けて使用される。液体が固化されて、液体と接触しているテンプレートの面の形状と共形であるパターンを記録する固化層を形成する。次いで、テンプレートが固化層から離され、その結果、テンプレートと基板とが離隔される。次に基板と固化層が、固化層内のパターンに対応するレリーフ像を基板内に転写するプロセスにかけられる。
レベル0及びレベル1に対するパターニングされたフィールドの形状の選択を改善する必要がある。
これは独立請求項のシステムによって達成される。この発明は、インプリント・リソグラフィにおいて碁盤目状パターンを提供し、一緒に碁盤目状とされたときこれらの形状が、周辺凹部(moat)によって生じるオープン領域を解消するようにする。
本発明の好ましい実施態様は従属請求項に特徴付けられる。
本発明の実施形態が図面を参照して次に説明される。
本発明の実施形態は、一緒に碁盤目状とされた、パターニングされたフィールドの形状が、周辺凹部によって生じるオープン領域を解消するように、レベル0(インプリント又はフォトリソグラフィ又は電子ビーム等によってパターニングされる)とレベル1(インプリントによってパターニングされる)に対して、パターニングされたフィールドの形状の選択に向けられる。
図1を参照すると、基板12上にレリーフ・パターンを形成するシステム8は、基板12が支持されるステージ10と、パターニング面18を有するテンプレート14とを含む。さらなる実施形態では、基板12は基板チャック(図示せず)に結合される。この基板チャック(図示せず)は、限定しないが、真空や静電気を含む任意のチャックであってもよい。
テンプレート14及び/又はモールド16は、限定しないが、溶融石英、石英、シリコン、有機ポリマー、シロキサンポリマー、ホウケイ酸ガラス、フルオロカーボンポリマー、金属、強化サファイアを含むような材料で形成することができる。示したように、パターニング面18は、複数の間隔を空けた凹部17と突出部19によって形成されたフィーチャを含む。しかし、さらなる実施形態ではパターニング面18は実質的に平滑及び/又は平坦であってもよい。パターニング面18には、基板12上に形成されるべきパターンの基礎を形成する原パターンを設けている。
テンプレート14は、テンプレート14、したがってモールド16を動きやすくするためにインプリント・ヘッド20に結合されている。さらなる実施形態では、テンプレート14はテンプレート・チャック(図示せず)に結合されている。このテンプレート・チャック(図示せず)は、限定しないが、真空や静電気を含む任意のチャックである。基板上に重合可能なモノマー材料24を堆積するように、流体分配システム22が、基板12と選択的に流体連通状態に配置されるように設けられている。重合可能なモノマー材料24は、例えば、滴下分配、スピン・コート、ディップ・コート、化学気相堆積(CVD)、物理気相堆積(PVD)など既知の任意の技術を用いて堆積できることを理解されたい。
エネルギー28の発生源26が、経路30に沿ってエネルギー28を誘導するように設けられている。インプリント・ヘッド20とステージ10は、モールド16と基板12とが経路30内で重なって配置されるように構成される。インプリント・ヘッド20、ステージ10のどちらか、又は両方が、重合可能なモノマー材料24によって満たされる所望の容積を間に形成させるために、モールド16と基板12との間隔を変える。
図1を参照すると、所望の容積がモールド16と基板12の間で得られる前に、重合可能なモノマー材料24が一般的に基板12上に置かれている。しかし、重合可能なモノマー材料24は、所望の容積が得られた後に、その容積を満たすようにしてもよい。所望の容積が重合可能なモノマー材料24で満たされた後、発生源26は、エネルギー28、例えば広帯域エネルギーを発生し、そのエネルギーは、重合可能なモノマー材料24に固化及び/又は架橋を起こさせ、基板12の面25とパターニング面18の形状と共形にし、基板12上にパターニングされた層50を形成する。
広帯域エネルギーは、限定しないが、紫外波長、熱エネルギー、電磁エネルギー、可視光などを含む化学線成分を含む。利用される化学線成分は、当業者には既知であり、通常、インプリント層12を形成する材料に依存する。このプロセスの制御は、ステージ10と、インプリント・ヘッド20と、流体分配システム22と、発生源26とにデータ通信し、メモリ34中に記憶されたコンピュータ読取可能なプログラムで動作するプロセッサ32によって制御される。
「Formation of Discontinuous Films During an Imprint Lithography Process」という名称の米国特許第6,932,934号、「Step and Repeat Imprint Lithography Processes」という名称の米国特許出願第10/194,991号として出願された米国特許出願公開第2004/0124566号、「Positive Tone Bi−Layer Imprint Lithography Method」という名称の米国特許出願第10/396,615号として出願された米国特許出願公開第2004/0188381号、「Method of Forming Stepped Structures Employing Imprint Lithography」という名称の米国特許出願第10/432,642号として出願された米国特許出願公開第2004/0211754号において参照されるインプリント・リソグラフィ・プロセス及びシステムに上述したことがさらに使用されてもよく、これらの全てが参照により本明細書に組み込まれる。
インプリント・リソグラフィにおいて、イン・リキッド・アライメントを達成するために、あらゆるインプリント液を受け取らない領域(本明細書では、周辺凹部(moat)と呼ばれる)がテンプレート・アライメント・マークの下に設定される。これは、インプリント材料が、テンプレート材料と屈折率が一致する傾向があり、したがって液がテンプレートの下側を覆った場合、十分に結像しないためである。周辺凹部が使用される場合には、プリントされたウェーハ内に望ましくないオープン領域を残すことになり、これは、フィールド端部でのエッチングやCMPのローディングの問題につながる。
本発明は、レベル0(インプリント又はフォトリソグラフィ又は電子ビーム等によってパターニングされる)及びレベル1(インプリントによってパターニングされる)に対するパターニングされたフィールドの形状の選択を対象とし、一緒に碁盤目状とされたときこれらの形状が、周辺凹部によって生じるオープン領域を解消させる。
図2〜6は、イン・リキッド・アライメント中にテンプレートと共に周辺凹部領域を使用している間に、オープン領域を回避するための、レベル0及びレベル1に対するフィールドの形状を規定する。これらの種類の形状は、おそらくは、10μm未満、さらには1μm未満である、またテンプレートと先に硬化したインプリント(前のフィールド)の間の直接接触を回避するように存在する、図5に示したフィールド間のオープン領域を除いて、フィールドの界面部でオープン領域を解消する。ギャップが1ミクロン未満である場合、これは顕著なエッチング又はCMPのローディングを生じないはずである。さらに、「Positive Tone Bi−Layer Imprint Lithography Method」という名称の米国特許出願第10/396,615号に記載されているS−FIL/R平坦化を用いると、これらの種類の小さなオープン領域を適切に覆えるはずである。
図2は層0のフィールド・レイアウト200を示し、図3はこのようなレイアウトの碁盤目状の配置を示す。このような碁盤目状パターンのウェーハはフォトリソグラフィ、インプリント・リソグラフィ等を用いて得ることができる。レベル1上のアライメント・マーク202は、「Interferometric Analysis for the Manufacture of Nano−Scale Devices」という名称の米国特許出願第11/000,331号及び「Interferometric Analysis Method for the Manufacture of Nano−Scale Devices」という名称の米国特許出願第11/000,321号に記載されている、傾斜したiMATアライメント・システムを使用するロバスト・アライメント・スキームのために必要に応じて市松模様マークであり、これらの米国出願特許は共に、参照により本明細書に組み込まれる。アライメント・マーク202の数は1から16超の範囲である。これらのうちの6個によって補正可能な線形誤差X、Y、θ、Magx、Magy、直交誤差の測定ができる。テスト・パターン領域203は、あらゆる処理を行う顧客によってテスト構造にするために使用される。これらの構造は、ウェーハ201がダイシングされチップになる時に結局失われる。しかし、ダイシング前に、顧客はテスト領域からプロセス・データとデバイス・データを測定でき、プロセス改善のためにこれらを使用できる。
図4Aは、図2のウェーハの線X−Xに沿う断面図を図示しており、市松模様パターン202及びレベル1のインプリントのために使用される粘着層403(この例では共形)と共にアクティブ領域201内の例示的パターンを示す。図4Bは、図4Aと同じ断面図であるが、レベル1のインプリント材料のための平坦化粘着層408を加えて図示している。
図5を参照すると、レイアウト200に対応する層1のフィールド・レイアウト500が示されている。白抜きボックス503(マークされた周辺凹部アライメント領域)は、「Interferometric Analysis for the Manufacture of Nano−Scale Devices」という名称の米国特許出願第11/000,331号及び「Interferometric Analysis Method for the Manufacture of Nano−Scale Devices」という名称の米国特許出願第11/000,321号に提示されているグレーティング(図6を参照のこと)を含んでもよい。これらの周辺凹部マークは、アクティブ・パターンの面602の上方で窪んでいて、これらのマークはレベル0のレイアウト200で示した市松模様マーク202と重なることになるが、周辺凹部アライメント・マーク503はプリントしない。層1のパターン・レイアウト500は、周辺凹部アライメント・マークによって発生したプリントされない領域を補うために同じ全領域に加えて、周辺凹部アライメント領域と同じ数の領域も含む。「ダミー・グレーティング」領域501として示したこれらの領域はグレーティング(又は他の同様の繰返しパターン)を有し、グレーティングのデューティ・サイクルは、エッチングとCMPに対して適切なローディングを提供するように選択され、したがって高くした領域と窪んだ領域の全体が、ダミー・パターン501を囲む領域のそれと名目上同じである。グレーティングのピッチも、それらが周辺凹部アライメント・マーク503や市松模様マーク202によって生じる信号と実質的に干渉しないように選択される。レベル1ウェーハがプリントされる場合、レベル0の市松模様パターンと周辺凹部アライメント・マーク・パターンの間に既にプリントされているダミー・グレーティング501が置かれているいくつかの領域があることになる。したがって、これらの配置において信号の質の低下を最小にするように、ダミー・グレーティングのピッチが選択される。
図6は、レイアウト500に対応するテンプレート601の断面Y−Yに沿う断面を図示している。テンプレート601はアクティブ領域602において主要なレベル1のパターンを含む。高くしたアライメント・マーク503からアクティブ領域602を分離するために、任意の周辺凹部604領域が含まれてもよい。やはり、高くしたアライメント・マークが、好ましいが、必要なくてもよい。モノマー材料はUV架橋のために縦方向で5%〜10%まで縮むので、これは、状況によっては、アライメント・マークを高くせずに足りるクリアランスをもたらすことがある。
図6に示したように、テンプレート601が、アクティブ領域602中に配置された、アライメント・マークを含む周辺凹部部分604を備えることがある。周辺凹部部分の例は、「Moat System for an Imprint Lithography Template」という名称の米国特許出願第10/917,761号として出願された米国特許出願公開第2006/0032437号にも記載されており、参照により本明細書に組み込まれる。周辺凹部604は、前述したプロセスの寿命に不利に影響を及ぼすことがある。周辺凹部604は、重合可能なモノマー材料24(図1を参照のこと)をトラップし、したがって、連続的なインプリントと共にインプリントの質を低下させて潜在的にテンプレート14の汚染場所になる。汚染は、防げない場合でもアライメント・マーク503をメサから外して、アクティブ領域602の面から窪ませて配置することによって最小にできる。
上で説明した本発明の実施形態は例示である。上で列挙した開示に対し多くの変更及び修正を加えることができるが、相変わらず本発明の範囲内にある。したがって、本発明の範囲は上記によって制限されてはならず、代わりに添付の特許請求の範囲とその全範囲の等価物を基準に決定すべきである。
テンプレートを基板から間隔を空けて置いてあるリソグラフィ・システムの簡略側面図である。 層0のフィールド・レイアウト図である。 碁盤目状の配置図である。 図2のフィールド・レイアウト200の断面図である。 対応する層1のフィールド・レイアウト図である。 図5のテンプレートの断面図である。

Claims (11)

  1. 基板上にパターン形成された複数の第1のフィールド・レイアウトと、テンプレートによって前記複数の第1のフィールド・レイアウト上にパターン形成された複数の第2のフィールド・レイアウト各フィールド・レイアウトのアクティブ・インプリント・フィールドの間の境界にオープン領域がないように、前記複数の第1のフィールド・レイアウトは互いに碁盤目状をした形状を有し、前記複数の第2のフィールド・レイアウトは互いに碁盤目状をした形状を有し、
    前記複数の第1のフィールド・レイアウトの複数のアライメント・マーク上にパターン形成された前記複数の第2のフィールド・レイアウトの複数のダミー・パターンは、前記テンプレートの前記複数の第1のフィールド・レイアウトに対するアライメントには干渉しないことを特徴とするインプリント・リソグラフィ・システム。
  2. 基板上にパターン形成された複数の第1のフィールド・レイアウトと、テンプレートによって前記複数の第1のフィールド・レイアウト上にパターン形成された複数の第2のフィールド・レイアウト各フィールド・レイアウトのアクティブ・インプリント・フィールドの間の境界にあるープン領域が前記境界の周部全体で同じであるように、前記複数の第1のフィールド・レイアウトは互いに碁盤目状をした形状を有し、前記複数の第2のフィールド・レイアウトは互いに碁盤目状をした形状を有し、
    前記複数の第1のフィールド・レイアウトの複数のアライメント・マーク上にパターン形成された前記複数の第2のフィールド・レイアウトの複数のダミー・パターンは、前記テンプレートの前記複数の第1のフィールド・レイアウトに対するアライメントには干渉しないことを特徴とするインプリント・リソグラフィ・システム。
  3. 前記アクティブ・インプリント・フィールドが、前記テンプレートでアクティブ・フィーチャをインプリントする領域であり、前記境界が、前記アクティブ・インプリント・フィールドのそれぞれの上で前記テンプレートをアライメントするための前記アライメント・マークを含む請求項1又は2に記載のシステム。
  4. 前記第1のフィールド・レイアウト及び第2のフィールド・レイアウトが、非矩形である形状を有する請求項1、2、又は3に記載のシステム。
  5. 前記第1のフィールド・レイアウトのレイアウトが、インプリント・リソグラフィ、フォトリソグラフィ、電子ビーム・リソグラフィの群から選択される方法によってパターニングされる請求項3に記載のシステム。
  6. 前記第2のフィールド・レイアウトのレイアウトが、インプリント・リソグラフィによってパターニングされる請求項3に記載のシステム。
  7. 前記アライメント・マークが、市松模様でデザインされている請求項3に記載のシステム。
  8. 前記境界がテスト・パターン領域を含む請求項3に記載のシステム。
  9. 前記境界がダミー・グレーティングを含む請求項3に記載のシステム。
  10. 前記境界がアライメント領域を含請求項3に記載のシステム。
  11. 前記アライメント領域が周辺凹部である請求項10に記載のシステム。
JP2009504286A 2006-04-03 2007-04-03 インプリント・リソグラフィ・システム Expired - Fee Related JP5184508B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US78880706P 2006-04-03 2006-04-03
US60/788,807 2006-04-03
US86248006P 2006-10-23 2006-10-23
US60/862,480 2006-10-23
PCT/US2007/008432 WO2007117523A2 (en) 2006-04-03 2007-04-03 Imprint lithography system

Publications (2)

Publication Number Publication Date
JP2009532908A JP2009532908A (ja) 2009-09-10
JP5184508B2 true JP5184508B2 (ja) 2013-04-17

Family

ID=38581609

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009504286A Expired - Fee Related JP5184508B2 (ja) 2006-04-03 2007-04-03 インプリント・リソグラフィ・システム

Country Status (6)

Country Link
US (1) US8850980B2 (ja)
EP (1) EP2001646A2 (ja)
JP (1) JP5184508B2 (ja)
KR (1) KR101357815B1 (ja)
TW (1) TW200744829A (ja)
WO (1) WO2007117523A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4987012B2 (ja) 2005-12-08 2012-07-25 モレキュラー・インプリンツ・インコーポレーテッド 基板の両面パターニングする方法及びシステム
TW200907562A (en) * 2007-05-30 2009-02-16 Molecular Imprints Inc Template having a silicon nitride, silicon carbide or silicon oxynitride film
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8012394B2 (en) * 2007-12-28 2011-09-06 Molecular Imprints, Inc. Template pattern density doubling
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
JP5404140B2 (ja) * 2009-04-01 2014-01-29 株式会社東芝 テンプレート及び半導体装置の製造方法
JP4881413B2 (ja) * 2009-09-16 2012-02-22 株式会社東芝 識別マーク付きテンプレート及びその製造方法
JP5932327B2 (ja) * 2011-01-26 2016-06-08 キヤノン株式会社 インプリント装置、検出方法、物品の製造方法及び異物検出装置
JP5759195B2 (ja) * 2011-02-07 2015-08-05 キヤノン株式会社 型、インプリント方法及び物品製造方法
JP5150926B2 (ja) * 2011-07-12 2013-02-27 大日本印刷株式会社 インプリントモールドの製造方法
JP2013222791A (ja) * 2012-04-16 2013-10-28 Fujifilm Corp ナノインプリント方法およびナノインプリント用基板並びにそれらを用いたパターン化基板の製造方法
JP5851442B2 (ja) * 2013-03-25 2016-02-03 株式会社東芝 モールド及びその製造方法
JP6060796B2 (ja) * 2013-04-22 2017-01-18 大日本印刷株式会社 インプリントモールド及びダミーパターン設計方法
JP5989610B2 (ja) * 2013-08-05 2016-09-07 株式会社東芝 マスクセット設計方法およびマスクセット設計プログラム
CN105793777B (zh) * 2013-12-10 2020-02-18 佳能纳米技术公司 压印光刻术模板和用于零间隙压印的方法
JP2015146412A (ja) * 2014-02-04 2015-08-13 株式会社東芝 インプリント用テンプレート及びその製造方法
JP6398284B2 (ja) * 2014-04-21 2018-10-03 大日本印刷株式会社 インプリントモールド、インプリントモールド用ブランクス、並びにインプリントモールド用基板の製造方法及びインプリントモールドの製造方法
JP6361317B2 (ja) * 2014-06-25 2018-07-25 大日本印刷株式会社 位置精度推定方法及び位置精度保証方法
JP2016225433A (ja) * 2015-05-29 2016-12-28 キヤノン株式会社 モールド、インプリント方法、インプリント装置および物品の製造方法
WO2016204878A1 (en) * 2015-06-15 2016-12-22 Zygo Corporation Displacement measurement of deformable bodies
US10058890B1 (en) 2015-11-20 2018-08-28 Seagate Technology Llc Methods of forming an air bearing surface on a slider and related sliders
JP6655988B2 (ja) * 2015-12-25 2020-03-04 キヤノン株式会社 インプリント装置の調整方法、インプリント方法および物品製造方法
CN105974731B (zh) * 2016-07-25 2020-01-03 京东方科技集团股份有限公司 一种压印板、检测方法及检测装置
US11194247B2 (en) * 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
US10553501B2 (en) * 2018-03-28 2020-02-04 Canon Kabushiki Kaisha Apparatus for use in forming an adaptive layer and a method of using the same
JP6593504B2 (ja) * 2018-09-05 2019-10-23 大日本印刷株式会社 インプリントモールド、インプリントモールド用ブランクス、並びにインプリントモールド用基板の製造方法及びインプリントモールドの製造方法
JP2022142518A (ja) * 2021-03-16 2022-09-30 キオクシア株式会社 テンプレート、マーク、及びテンプレートの製造方法

Family Cites Families (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4022855A (en) 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (de) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück Wasserfestes fotografisches papier und verfahren zu seiner herstellung
US4576900A (en) 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
JPS5884808A (ja) 1981-11-13 1983-05-21 Nippon Synthetic Chem Ind Co Ltd:The 樹脂状物質の製造法
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4637904A (en) 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS6129103A (ja) 1984-07-19 1986-02-10 Nippon Steel Corp 非晶質合金薄帯の磁性改善方法
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
DE3767317D1 (de) 1986-02-13 1991-02-21 Philips Nv Matrize fuer einen abdruck-process.
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JP2823016B2 (ja) 1986-12-25 1998-11-11 ソニー株式会社 透過型スクリーンの製造方法
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
JPH0292603U (ja) 1989-01-09 1990-07-23
JPH02192045A (ja) 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
US5407763A (en) * 1992-05-28 1995-04-18 Ceridian Corporation Mask alignment mark system
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
KR950004486A (ko) * 1993-07-15 1995-02-18 김주용 웨이퍼의 필드 영역 분할 방법
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
JPH07335529A (ja) * 1994-06-09 1995-12-22 Nikon Corp 投影露光装置
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
KR980005307A (ko) * 1996-06-21 1998-03-30 김주용 반도체 소자의 패턴 정렬방법
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
CA2264908C (en) 1996-09-06 2006-04-25 Obducat Ab Method for anisotropic etching of structures in conducting materials
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (ja) 1996-10-23 1998-05-15 Toshiba Corp 液晶表示素子
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
AU3818997A (en) 1997-07-25 1999-02-16 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
JP4498601B2 (ja) 1998-03-05 2010-07-07 オブデュキャット、アクチボラグ エッチング方法
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) * 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
FI109944B (fi) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelektroninen komponentti ja valmistusmenetelmä
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
DE19903196A1 (de) * 1999-01-27 2000-08-10 Siemens Ag Verfahren zur Verbesserung der Erkennbarkeit von Alignmentmarken
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6741338B2 (en) 1999-02-10 2004-05-25 Litel Instruments In-situ source metrology instrument and method of use
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP3946899B2 (ja) * 1999-03-26 2007-07-18 株式会社東芝 エネルギービーム装置における光学系の調整方法
TW419720B (en) * 1999-03-26 2001-01-21 Mosel Vitelic Inc The method of monitoring the overlay accuracy of the stepper and the device using the same
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
ATE294648T1 (de) 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
SE0001369L (sv) 2000-04-13 2001-10-14 Obducat Ab Förfarande vid samt apparat för bearbetning av substrat
SE516194C2 (sv) 2000-04-18 2001-12-03 Obducat Ab Substrat för samt process vid tillverkning av strukturer
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
JP2001332556A (ja) * 2000-05-25 2001-11-30 Hitachi Ltd 半導体装置の製造方法
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP1303792B1 (en) 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
JP2004505273A (ja) * 2000-08-01 2004-02-19 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (ko) 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
WO2002017383A2 (en) 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
AU2001290415A1 (en) 2000-09-18 2002-03-26 Obducat Aktiebolag Method of etching, as well as frame element, mask and prefabricated substrate element for use in such etching
AU2001290424A1 (en) 2000-09-20 2002-04-02 Obducat Aktiebolag A method for wet etching
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
EP1352295B1 (en) 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
FR2817042B1 (fr) * 2000-11-22 2003-06-20 Saint Gobain Procede et dispositif d'analyse de la surface d'un substrat
TW525221B (en) 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
JP2002184952A (ja) * 2000-12-15 2002-06-28 Shindengen Electric Mfg Co Ltd 半導体装置、半導体装置の製造方法
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (ja) 2001-05-22 2002-12-04 Sharp Corp 金属膜パターンおよびその製造方法
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
WO2003035932A1 (en) 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
TW529097B (en) * 2002-01-28 2003-04-21 Amic Technology Taiwan Inc Scribe lines for increasing wafer utilizable area
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6710414B2 (en) * 2002-05-10 2004-03-23 General Semiconductor, Inc. Surface geometry for a MOS-gated device that allows the manufacture of dice having different sizes
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
MY164487A (en) 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US6713853B1 (en) * 2002-07-23 2004-03-30 Applied Micro Circuits Corporation Electronic package with offset reference plane cutout
WO2004013693A2 (en) * 2002-08-01 2004-02-12 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7323130B2 (en) 2002-12-13 2008-01-29 Molecular Imprints, Inc. Magnification correction employing out-of-plane distortion of a substrate
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US6943117B2 (en) 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7087452B2 (en) * 2003-04-22 2006-08-08 Intel Corporation Edge arrangements for integrated circuit chips
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6964916B2 (en) * 2003-06-06 2005-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor fabrication method and structure
US6956253B2 (en) * 2003-06-06 2005-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Color filter with resist material in scribe lines
US7507598B2 (en) * 2003-06-06 2009-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor fabrication method and structure
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7790231B2 (en) 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
JP4322096B2 (ja) 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
TWI222680B (en) * 2003-11-20 2004-10-21 United Microelectronics Corp Scribe line structure of wafer
TWI277815B (en) * 2004-01-16 2007-04-01 Hannstar Display Corp Liquid crystal display and manufacturing method of liquid crystal display including substrate
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20060022195A1 (en) * 2004-08-01 2006-02-02 Kun-Chih Wang Scribe line structure
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
JP2007058172A (ja) * 2005-07-28 2007-03-08 Mitsubishi Electric Corp 遮光膜付き基板、カラーフィルタ基板及びこれらの製造方法、並びに遮光膜付き基板を備えた表示装置。
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
JP5213335B2 (ja) 2006-02-01 2013-06-19 キヤノン株式会社 インプリント用モールド、該モールドによる構造体の製造方法
US7690910B2 (en) * 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US7360851B1 (en) * 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
TW200815912A (en) 2006-04-03 2008-04-01 Molecular Imprints Inc Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks

Also Published As

Publication number Publication date
KR101357815B1 (ko) 2014-02-04
TW200744829A (en) 2007-12-16
US20070247608A1 (en) 2007-10-25
US8850980B2 (en) 2014-10-07
WO2007117523A3 (en) 2008-04-10
KR20080114678A (ko) 2008-12-31
EP2001646A2 (en) 2008-12-17
WO2007117523A2 (en) 2007-10-18
JP2009532908A (ja) 2009-09-10

Similar Documents

Publication Publication Date Title
JP5184508B2 (ja) インプリント・リソグラフィ・システム
JP5198071B2 (ja) インプリントリソグラフィ・プロセスにおける熱管理のための露光方法
US7935292B2 (en) Imprinting of partial fields at the edge of the wafer
JP5306989B2 (ja) 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
JP5395757B2 (ja) パターン形成方法
JP6306501B2 (ja) テンプレートおよびテンプレートを基板と位置合わせするための方法
JP6066565B2 (ja) インプリント装置、および、物品の製造方法
JP2011066238A (ja) パターン形成用テンプレートの作製方法
JP6415479B2 (ja) 露光装置、露光方法、及び半導体パッケージの製造方法
JP2013507770A (ja) 大面積線形アレイのナノインプリンティング
JP2004335910A (ja) アライメント方法、該アライメント方法を用いた露光方法、露光用マスク、該マスクを備えた露光装置
JP5059575B2 (ja) マークを備える基板
JP2013004669A (ja) パターン形成方法、電子デバイスの製造方法及び電子デバイス
CN113632213A (zh) 对半导体器件进行裸片级唯一认证和序列化的方法
US20160071726A1 (en) Method of manufacturing semiconductor device
TWI519905B (zh) 微影裝置及器件製造方法
KR100670835B1 (ko) 나노임프린트 몰드 제작 방법
JPS63170917A (ja) 微細パタ−ンの形成方法
US8142694B2 (en) Method for forming an imprint pattern
KR20090044584A (ko) 반도체 소자의 형성 방법
JP4922358B2 (ja) デバイス製造方法
KR20080074043A (ko) 노광장치
JP6638493B2 (ja) 多段構造体を有するテンプレートの製造方法
US20080305412A1 (en) Near-field exposure mask and near-field exposure method
KR100641987B1 (ko) 반도체 소자의 마스크 및 그 패턴 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100311

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120410

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120417

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120611

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130116

R150 Certificate of patent or registration of utility model

Ref document number: 5184508

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees