JP5109000B2 - 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤 - Google Patents

固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤 Download PDF

Info

Publication number
JP5109000B2
JP5109000B2 JP2009151373A JP2009151373A JP5109000B2 JP 5109000 B2 JP5109000 B2 JP 5109000B2 JP 2009151373 A JP2009151373 A JP 2009151373A JP 2009151373 A JP2009151373 A JP 2009151373A JP 5109000 B2 JP5109000 B2 JP 5109000B2
Authority
JP
Japan
Prior art keywords
activator
metal
composition
particles
abrasive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2009151373A
Other languages
English (en)
Other versions
JP2009283951A (ja
Inventor
スモール,ロバート,ジェイ.
スコット,ブランドン,エス.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2009283951A publication Critical patent/JP2009283951A/ja
Application granted granted Critical
Publication of JP5109000B2 publication Critical patent/JP5109000B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • C01B33/021Preparation
    • C01B33/023Preparation by reduction of silica or free silica-containing material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C19/00Surface treatment of glass, not in the form of fibres or filaments, by mechanical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers
    • G11B5/3169Working or finishing the interfacing surface of heads, e.g. lapping of heads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Description

本出願は、2002年2月11日に出願された、米国特許出願第10/074,757
号の優先権を主張し、明示的な参照によりその全ての内容が本明細書に組み込まれる。
本発明は一般に、化学機械研磨(以下、CMP)プロセスにて有用な系、および系の1
以上の部分を使用して基板を研磨する関連方法に関する。さらに詳細には、1つの実施形
態において本発明は、フリーラジカル生成酸化剤、および組成物に接触した固体に付着し
たフリーラジカル生成活性化剤を含む組成物に関する。別の実施形態において本発明は、
溶液中にある非金属フリーラジカル生成活性化剤、フリーラジカル生成酸化剤、および場
合により、固体に付着したフリーラジカル生成活性化剤に関する。組成物は、基板上の各
種の層、例えば金属層の研磨に有用である。
半導体ウェハ、例えばシリコンまたはガリウムアルセニドウェハは一般に、1以上の集
積回路が形成される基板表面を持つ。基板表面は望ましくは、表面が集積回路を形成する
ために加工される前にできるだけフラットまたは平坦である。多様な半導体プロセスがフ
ラット表面上に集積回路を形成するために使用され、その間、ウェハは決められた形態を
とる。不規則表面、または欠陥を持つ表面は続いての製造プロセス、例えばフォトリソグ
ラフィを深刻に妨げるため、形態は続いて平坦化される。それゆえ、できるだけ平坦また
は均一にするために、および表面欠陥を除去するために、ウェハ表面を研磨する必要があ
る。
化学機械研磨または平坦化(CMP)プロセスは周知である。例えば参照により本明細
書に明示的に組み込まれる、Chemical Mechanical Polishing in Silicon Processing, S
emiconductors and Semimetals,Vol.62,Li,S.ら編を参照されたい。また一般に割当てら
れた:
−1999年4月6日に発行された、Chemical Mechanical Polishing Compositionと
題するPicardiら.の米国特許第5,891,205号;
−1999年11月9に発行され、Post Clean Treatment Composition Comprising An
Organic Acid And Hydroxylamineという題の、Smallへの米国特許第5,981,
454号;
−2000年9月12日に発行された、Chemical Mechanical Polishing Composition
And Processと題するSmallら.の米国特許第6,117,783号;
−2000年12月5日に発行された、Post Clean Treatmentと題する、Smallの
米国特許第6,156,661号;
−2001年5月22日に発行された、Lactam Compositions For Cleaning Organic A
nd Plasma Etched Residues For Semiconductor Devicesと題する、Cheng ら.の
米国特許第6,235,693号;
−2001年6月19日に発行された、Compositions For Cleaning Organic And Plas
ma Etched Residues For Semiconductors Devicesと題する、Smallら.の米国特許
第6,248,704号;
−2001年6月26日に発行された、Slurry Composition And Method Of Chemical
Mechanical Polishing Using Sameと題する、Smallら.の米国特許第6,251,
150号;
−2001年11月6日に発行された、Chemical Mechanical Polishing Composition
And Processと題する、Smallら.の米国特許第6,313,039号;および
−2002年12月24日に発行された、Composition For Cleaning Chemical Mechan
ical Planarization Apparatusと題する、Smallら.の米国特許第6,498,13
1号;
も、あらゆる目的のために参照により直接組み込まれる。
CMPプロセスは、ウェハの生産性、性能および信頼性を向上させるために製造の種々
の段階でウェハの表面を研磨または「平坦化」するために一般に使用される。CMPにお
いて、通例ウェハは、陰圧、例えば真空、あるいは静水または空気圧を使用してマウント
上の所定の位置に維持される。マウントは通例、研磨パッド上に位置する。CMPは一般
に、研磨組成物またはスラリーを研磨パッドに塗布することと、ウェハ表面と研磨パッド
との間に相対運動、通例、回転または軌道運動を供給しながら、組成物またはスラリーコ
ーティングウェハ表面と研磨パッドとの間に圧力接触を確立することとを含む。
研磨組成物は通例、酸性、中性、または塩基性溶液中に研磨材料、例えばシリカ、セリ
ア、および/またはアルミナ粒子を含有する。単に例示のために、基板上のタングステン
材料のCMPに有用な研磨組成物は、酸化アルミニウムとも呼ばれる研磨用アルミナ、酸
化剤、例えば過酸化水素、および水酸化カリウムまたは水酸化アンモニウムのどちらかを
含む。そのような研磨組成物を利用するCMPプロセスは、ウェハ表面に望ましい特徴を
大部分保持しながら、予想可能な研磨速度を与える。
そのような半導体ウェハでは、代表的なCMPプロセスは、金属が酸化物と実質的に同
一平面上にあり、酸化物の溝またはスタッドビアに残るように、金属の下の酸化物上にあ
る導体、絶縁体またはその両方を選択的にエッチングする制御された方法で金属を研磨す
ることを含む。CMPの後、実質的な同一平面の表面は、さらなる処理への準備が整って
いる。現在、CMPは、バックエンド・オブ・ザ・ライン(BEOL)プロセスにおいて
ウェハを研磨または「平坦化」するために使用される主要な方法である。
フォトリソグラフィなどの半導体製造プロセスは、0.25ミクロン以下の形態(0.
18ミクロン以下など)を備えた、非常に微細な酸化物、金属および他の表面特徴を持つ
高度デバイスが現在作成されているように、著しく発展してきた。プロセス許容誤差は、
これらの高度デバイスでは必然的により厳しく、ウェハ欠陥または損傷を最小限にしなが
ら所望の材料除去速度を得るために、CMP技術の改良が求められる。平坦性を改善する
CMPプロセスを改良するための努力により、多様な手法が取られてきた。
他方、経済力がより高速な処理を必要としている。1つの手法は、材料除去速度を向上
させるために、ウェハ担体への下向きの圧力を増加させることを含んでいた。この手法は
一般に、必要な下向きの圧力があまりに高すぎて、ウェハ損傷、例えばウェハ上の材料層
のスクラッチ、層間剥離、または破壊を引き起こしやすいと考えられるため嫌われている
。ウェハが脆弱である場合、フィルム、例えば低い誘電定数を持つ多孔性フィルムによっ
て積層された基板で一般によくあることだが、これらの損傷問題は、ウェハの生産性およ
び性能の点で特に深刻かつ有害である。一般により高速な化学機械研磨は、多くの欠陥を
生じる。
さらなる手法は、スラリー中での酸化剤、キレート剤、腐食防止剤、溶媒、および他の
化学薬品の各種の保護組み合わせ、例えばジルコニウム研磨剤を含む各種の研磨剤または
混合研磨剤の使用、および/または使用時混合技法の使用を包含する。これらの手法は、
通例、工具細工およびプロセス制御の点でCMPを複雑にする、例えばプロセス時間をさ
らに消費するおよび/またはコストを上昇させるため、一般に望ましくない。
別の手法は、標的材料の化学的除去を向上させる努力において、CMPスラリー中で使
用される酸化剤の量の増加を包含する。本手法は、酸化剤の増加量が材料のコストを上昇
させ、有害にも多くの酸化剤に関連する取扱い問題および環境問題も増加させ、コストも
上昇させるため、大いに嫌われている。
一般に、溶液中で混合される酸化剤が、相乗効果的なエッチング速度を提供できること
が既知である。第二鉄塩、セリウム塩、ペルオキシド、ペルサルフェート、またはヒドロ
キシルアミンが大半の市販のCMPスラリーの酸化能力を形成するが、当業者には、これ
らの酸化剤をこの群の他の化合物および他の酸化剤とも混合できること、ならびに生じた
組成物が相乗効果的な結果を示せることが以前から既知である。
例えば、1996年7月25日に出願された仮出願に対する優先権を主張し、参照によ
りその内容が本明細書に組み込まれる、Smallら.の米国特許第6,117,783
号でクレームされている組成物は、ヒドロキシルアミン化合物および過酸化水素を有する
CMPスラリーをクレームし、2つの相乗効果を有することを明細書中で教示している。
米国特許第6,117,783号もまた、ヒドロキシルアミン化合物および重フッ化アン
モニウムを持つCMPスラリーをクレームしている。これらは、相乗効果結果を与える金
属不含有酸化剤の混合物である。同様にその開示内容が参照により本明細書に組み込まれ
る、米国特許第5,783,489号は、少なくとも2の酸化剤、有機酸および約2.0
〜約8.0の範囲のpHを持つ研磨剤を含む水性CMPスラリーを開示する。
理論に縛られることなく、ある金属塩酸化剤は金属不含有酸化剤よりも、少なくともひ
とつには酸化剤の基板への親和性に基づく、大きい酸化「可能性」を持つことが考えられ
る。より大きい親和性は、酸化の可能性を向上させるが、より大きい親和性を持つ分子が
、基板の酸化後に他の酸化剤と同様に基板をただちに離れないという点で問題も生じる。
基板との反応が速くなるように、他方の、通例は金属不含有の、酸化剤が基板付近または
基板上の消費された酸化剤を酸化することが可能ならば、金属含有および金属不含有酸化
剤による相乗効果が見られる。この推論にしたがって、最少量の金属を有すること、金属
酸化剤イオンを表面付近に十分有することが有益であることは理にかなっているが、非常
に過剰な金属不含有酸化剤は、消費された金属含有酸化剤をさらに速く再酸化するために
は有益である。
もちろん複数の酸化状態を有するいずれの金属の溶解性塩も、基板を酸化するための酸
化電位を持つという条件で、酸化剤である。金属含有酸化剤、例えば過マンガン酸塩、過
クロム酸塩、鉄塩、アルミニウム塩、セリウム塩などはCMPスラリーにおいて一般に使
用され、金属含有酸化剤の相乗効果的な組み合わせも、金属含有および金属不含有酸化剤
の相乗効果的な組み合わせと同様に既知である。ある金属基板、例えば銅含有基板のCM
Pは、疑いなく金属、例えば第二銅および/または第一銅金属イオンを溶液中に供給する
が、これらは残りの銅層を酸化しない。しかしながら2つの異なる金属がある場合、一方
の金属の酸化および除去されたイオンは次に、別の金属の酸化金属(oxidizing metal)
となるが、量は非常に少なくなる。
その開示内容が参照により本明細書に組み込まれる、1989年7月31日に出願され
た米国特許第4,959,113号は、カチオンが研磨される金属表面に無電解めっきに
よって被着されないイオン化元素(すなわち金属)から選択される、2以上の塩を有する
相乗効果CMPスラリーをクレームする。本特許は、「塩の好ましいカチオン成分が、亜
鉛、セリウム、スズおよび鉄イオンと同様に、元素周期律表の第IIA、IIIA、IV
AおよびIVB族からのイオン化元素であり…(および)塩と水および研磨剤の組み合わ
せを含む水性研磨組成物が、単一塩の使用と比べて金属表面の改良された研磨を提供する
。それゆえ2以上の塩の組み合わせが研磨組成物で使用された場合に、単一塩の使用と比
べて相乗効果があるように思われる」ことを示す。
CMPで使用される1つの金属含有酸化剤は硝酸銀である。硝酸銀および過酸化水素は
、その開示内容が参照により本明細書に組み込まれる、米国特許第5,354,490号
のCMPスラリー中に存在する。特許が示すように、銅含有金属表面において硝酸銀が固
体銅膜または固体銅合金膜を水相に変換する一方で、第二の酸化剤、すなわち過酸化水素
の役割は、酸化銅を形成することであることから相乗効果が教示されている。酸化銅は、
第二の酸化剤の添加がCMPプロセスの機械研磨成分を増加させることができるように、
CMP作用の機械研磨によって続いて除去される。
CMPで一般に使用される別の金属含有酸化剤は、硝酸第二鉄である。その内容が参照
により本明細書に組み込まれる米国特許第5,527,423号は、鉄塩およびペルサル
フェートの混合物などの酸化成分を含有するCMPスラリーを教示している。硝酸第二鉄
は、研磨を必要とする基板にタングステン金属または合金が存在する場合に広範に使用さ
れてきた。
しかしながら硝酸第二鉄は、タングステン基板を含む多くの基板の金属汚染を引き起こ
す。Raghunathらは、Proceedings of the First International Symposium on Chemical
Mechanical Planarization、1997の、Mechanistic Aspects Of Chemical Mechanical
Polishing Of Tungsten Using Ferric Ion Based Alumina Slurriesにおいて、第二鉄塩
を含有するアルミナスラリーは、タングステン上にタングステン酸第一鉄の不溶性層を形
成させることを示した。第二鉄イオン溶液への過酸化水素の添加は既知である。Basa
kらは、同Proceedings of the First International Symposium on Chemical Mechanica
lPlanarization:Proceedings of Chemical MechanicalPlanarization in IC Device Man
ufacturing、1997において、硝酸第二鉄を含有する溶液中でのタングステンの電気化
学挙動は、第二鉄イオンの存在がWの回路電位を酸化膜が安定である領域まで増加させる
ことを示すが、過酸化水素の添加時に陽極電流が少なくとも1桁増加したことに注目した
一部の研究者は、他の酸化剤と混合したときに相乗効果的なエッチング速度を生じるた
め、金属含有酸化剤塩を触媒と呼ぶ。例えば参照により本明細書にその開示内容が組み込
まれる、銅の過酸化水素ベースのエッチング溶液を教示する米国特許第3,293,09
3号を参照されたい。その特許権者は、多くの金属、特に銅イオンが「迅速に消耗される
ために過酸化水素に対する高い低減効果を持つことが見出されている活性金属イオンを形
成する」ことに注目した。これらの研究者は、金属イオンの低減効果を阻止すること、な
おかつ銅のエッチング速度に対する触媒効果を持つ化合物を提供することを望んでいた。
彼らは、少量の銀イオン、および好ましくはまた少量のフェナセチンが、高度なエッチン
グおよび安定性を与えることに着目した。この特許は、銀イオンが過酸化水素のエッチン
グ速度の改善に非常に有効であるため、過酸化水素2〜12%および「触媒量」の銀イオ
ンを有する溶液を教示し、硝酸銀塩の添加を提案する。フェナセチンおよび銀イオンの、
酸性化過酸化水素との組み合わせは、「どちらかの添加剤を単独で使用した場合よりも著
しく大きい、例外的に高速なエッチング速度」を示す。その特許は、「わずか10ppm
の」銀イオンが有効であり、そして「約50〜500ppmの遊離銀イオンが一般に好ま
しい量となる」ことを主張する。過硫酸アンモニウムおよび塩化水銀触媒の組成物もこの
特許で教示されている。
他の研究者らは、相乗効果を達成するために酸化剤を混合することも試みた。参照によ
りその開示内容が本明細書に組み込まれる、米国特許第5,958,288号は、「触媒
」の量を約0.001〜約2.0重量パーセントに制限することを提案している。この特
許は、触媒を複数の参加状態を持つ化合物として述べ、触媒が酸化剤と金属基板表面との
間で電子を効率的かつ迅速に混ぜることができなければならないと述べている。触媒のこ
の広範な説明はあらゆる金属塩も含めていずれの酸化剤も含むが、そこで述べられている
唯一の触媒は、Ag、Co、Cr、Cu、Fe、Mo、Mn、Nb、Ni、Os、Pd、
Ru、Sn、Ti、およびVの金属塩化合物、最も好ましくは鉄、銅、および/または銀
の化合物である。この特許は、これに限定されるわけではないが過ヨウ素酸、過ヨウ素酸
塩、過臭素酸、過臭素酸塩、過塩素酸、過塩素酸塩、過ホウ酸、および過ホウ酸塩ならび
に過マンガン酸塩はもちろんのこと、臭素酸塩、塩素酸塩、クロム酸塩、ヨウ素酸塩、ヨ
ウ素酸、およびセリウム(IV)化合物も含む、触媒を酸化するのに必要な電気化学ポテ
ンシャルより大きい電気化学ポテンシャルを持つ酸化剤を明らかにする。
上述の技術で示したように、セリウム塩は別の金属含有酸化剤である。によりその内容
が参照により本明細書に組み込まれる米国特許第4,769,073号は、酸化セリウム
、セリウム塩、および場合によりピロシリケートまたはシリカを含む有機ガラス表面を研
磨するためのセリウムベースの研磨組成物について述べている。同様にその内容が参照に
より本明細書に組み込まれる、1996年10月21日に出願された米国特許第5,77
3,364号は、酸化剤が硝酸第二鉄または硝酸セリウムを含むCMPスラリーについて
述べ、金属イオンが酸化プロセスの結果として生成される問題に注目している。セリウム
イオンは半導体ウェハの露出表面を汚染する可能性があり、そのことはウェハ上の半導体
デバイスの信頼性および機能性に影響を及ぼす。加えてこれらの金属種はCMP装置を被
覆/着色し、そのことは微粒子問題を引き起こし、CMP装置の寿命を短縮する。このこ
とは次に、研磨装置の交換を増加させ、製造プロセスに関連するより高いコストを引き起
こす。
CMP技術で述べられていないが、非関連の環境クリーンアップ技術において既知であ
る、相乗効果のための別の機構がある。環境レメディエーションシステムで使用される反
応は、比較的良性の反応物質が、非常に耐性の有機汚染物質すら開裂することができるフ
リーラジカルを生成する、Fenton反応である。
Fenton反応は、フリーラジカルを生成するための、過酸化水素と選択された遷移
金属との相互作用である。フリーラジカルを生成する銅または第一鉄塩鉄と過酸化水素と
の相互作用は、Fentonによって1876年に最初に観察された。Fenton反応
は、過酸化水素による第一鉄イオンの酸化の副生成物としてのフリーラジカルの生成であ
る。他の金属は、過酸化水素の有用性を改善する特殊な酸素移動特性を持つことが既知で
ある。
Fenton反応の最適pHは、pH3〜pH6の間、特に4〜5で生じる。塩基側で
の有効性の降下は、ヒドロキシルラジカルを形成することなく過酸化水素を酸素および水
に触媒的に分解する、水和第一鉄イオンからコロイド状第二鉄種への鉄の転移に帰する。
鉄および過酸化水素が溶液中にある場合のFenton反応は、鉄活性化剤の最適用量範
囲によって特徴付けられる。廃水中での有機材料の消化の合理的な期間内に反応を進行さ
せる、鉄の最小閾値濃度3〜15mg/L、および一般に過酸化水素5〜25部あたり鉄
1部(重量/重量)の比が最も効率的である。分解される有機材料を含有する溶液で、効
率的なFenton反応の反応速度を得るために、過酸化水素5重量%の添加は、溶液中
に約0.2%〜1%の範囲の第一鉄イオンも必要とする。
UV光がFenton反応の効率を高めることができること、および一部の活性化剤が
、化学線の作用を必要とすることも既知である。例えば参照によりその開示内容が本明細
書に組み込まれる米国特許第6,117,026号および第6,435,947号は、活
性触媒の同種組成物であってもよい異種固体金属酸化物触媒、あるいはその活性異種固体
触媒が、好ましい研磨剤の表面に、分子種として、小型粒子としてまたは単層として化学
的または物理的に結合できることを述べている。固体触媒は好ましくは、大きい表面積を
持つ小型粒子である。固体触媒は、約1ミクロン未満の平均粒径および約10m2/g以
上約250m2/g未満の表面積を持つべきである。さらに好ましくは、固体触媒が約0
.5ミクロン未満、そして最も好ましくは約0.25ミクロン未満の平均粒径を持つこと
である。
米国特許第5,773,364号、米国特許第4,959,113号、およびその他で
述べられているように、金属含有酸化剤に関連する問題がある。金属含有酸化剤を別の金
属含有酸化剤と混合すると、特に基板の研磨中に溶液が消費されるので、各種金属の各種
酸化状態における電気化学ポテンシャルの相違によって、1つの金属によるめっきの可能
性がある。米国特許第4,959,113号では、めっきが問題ありと認識されるが、金
属含有酸化剤が酸化状態を変化するときに、一部の「非めっき」組合せすらめっきとなる
、さらなる可能性がある。
多くの金属化合物での別の問題は、それらが他の酸化剤と反応して、その分解を引き起
こすことである。金属含有酸化剤を金属不含有酸化剤、例えば溶液中の過酸化水素と混合
すると、2つは望ましくない方法で反応することが多く、混合物の酸化能力は時間ととも
に迅速に低下する。反応の性質は、多くの形を取りうる。例えば硝酸第二鉄は、CMP調
合物中で本質的にすべての使用可能なpHにて過酸化水素と反応し、調合物の酸化能力を
時間とともに低下させ、このことは不均一問題があり、望ましくない生成物の形成も引き
起こすため、研磨を困難にする。pHが約5を超えている場合に、鉄は、過酸化水素を触
媒的に酸素に分解するFe(OH)3として沈殿することが既知である。pH5以下での
分解機構は未知である。
金属含有酸化剤塩の別の問題は、それらが基板上に金属汚染を残すことである。この金
属汚染は、他の問題とともに、短絡および望ましくない伝導特性をもたらす可能性がある
。金属汚染は、その内容が参照により本明細書に組み込まれる、1993年5月25日に
出願され、ナトリウム、カリウム、および他のアルカリ金属などの100ppm未満の不
純物を含む半導体デバイスを研磨および平坦化するための研磨スラリーの使用について述
べている米国特許第5,445,996号で認識された。
少なくとも基板の一部にめっきまたは吸収される傾向を持つ金属などの、ある金属は、
他の金属よりもさらに有害である。業界は、参照によりその内容が本明細書に組み込まれ
る米国特許第6,313,039号で述べられているように、例えば溶媒による物理的脱
着;Si--OHまたはM--OH基が酸中でプロトン化(正(positive)に)される、または
塩基を用いてプロトンを除去することにより負(negative)にされるように、酸または塩基
を用いて表面電荷を変更すること;イオン競合、例えば吸収された金属イオンを、酸の添
加によって除去(すなわちイオン交換)すること;不純物および基板表面との化学結合を
変化させるための、金属の続いての酸化;ならびに不純物およびある厚さの基板表面が除
去される、続いての表面エッチングによって、金属汚染の一部を除去する方法を開発して
きた。金属汚染を除去するために各種の「研磨後クリーナー」が開発されているが、望ま
しくない金属イオンすべての除去は実質的にクリーナーの範囲を超えており、構造物のサ
イズが減少を続けているため、表面に被着した非常に少数の金属原子すら望ましくない短
絡または電流漏れを引き起こすであろう。
加えて金属イオン含有液体は、環境上望ましくないことが多く、使用済み生成物の廃棄
前に高価な処理が必要なことがある。
したがって複数の酸化剤を持つことの既知の(およびこれまで未知の)、例えば別の金
属含有酸化剤または金属不含有酸化剤と混合させた金属含有酸化剤の利点にもかかわらず
、CMPスラリー中の金属イオン量を削減する傾向が業界にあった。例えば、使用時に金
属不含有酸化剤、例えばペルオキシドおよびペルサルフェートとともに使用することが指
定されているCMPスラリーの大規模な商業生産者であるRodelは、1995年に生
産されたMSW1000(商標)スラリーの液体部分に約30ppmの金属、主に鉄を有
している。この鉄はプロモータとして作用するが、不純物の結果として溶液中に鉄がある
と思われる。Rodelスラリーの後の世代、例えば2002年に販売されたMSW15
00(商標)スラリーは、わずか4.2ppmの鉄を有している。
金属汚染を削減する別の方法は、CMPプロセスでの初期の調合物から被着した金属が
、金属を含まない後の調合物とともにCMPによって除去されるように、金属の量を減少
させた連続調合物を用いる、連続CMP研磨ステップを使用することである。例えばRo
del CMPスラリーの最新世代、MSW2000(商標)は、鉄12ppmを有する
第一調合物(A)、および鉄0.3ppm未満を有する第二調合物(B)を持つ。しかし
ながら連続調合物の使用は、処理にさらなるコストを加えるのはもちろんのこと、必要な
装置に複雑さも加える。別のCMPスラリーの大規模商業製造者であるCabot Co
rporationは現在、複数の高純度非金属ベースのタングステン用CMPスラリー
、例えばSemi−Sperse(登録商標)W2000およびSemi−Sperse
(登録商標)W2585スラリーを販売しており、そのスラリーが既存のタングステンス
ラリーに関連する二次研磨ステップを排除すると主張している。
別のCMPスラリーの大規模商業製造者であるEKC Technology/Dup
ont Electronic Technologiesは、複数の高純度非金属ベー
スのタングステン用CMPスラリー、例えばMicroPlanar(登録商標)CMP
3550(商標)/MicroPlanar(登録商標)CMP3510(商標)スラリ
ーはもちろんのこと、従来品ではあるが有効な硝酸第二鉄も酸化剤として金属汚染物質を
除去するCMP後クリーナーともに販売している。
業界が液体中の金属、例えば鉄から離れていることは明らかである。また鉄または他の
金属含有調合物を金属不含有酸化剤と混合すると、調合物の「ポットライフ」が非常に短
いため、混合は一般に使用時混合であり、このことがCMPプロセスおよび装置を複雑化
し、処理の一時中断の後にさえ開始時問題を引き起こすことがある。
CMP技術分野でのさらなる発展が望ましい。
本発明は、選択された酸化剤または他のフリーラジカル生成化合物を、1以上の活性化
剤を用いてCMP組成物中でのフリーラジカル形成を促進することによって、CMP活性
に関してさらに有効な化学エッチング液および/または酸化剤にするための方法に関する
。本発明の組成物は、基板、例えばシリコンまたは半導体基板などでの多様な金属または
合金材料のCMPで有効である。理論に縛られることなく、活性化剤コーティング研磨剤
および酸化剤が表面界面にて反応し、酸化剤および基板表面からの除去の標的である材料
の反応における有効な中間体であるフリーラジカルを生成すると考えられている。さらに
活性化剤コーティング研磨剤は、活性化剤を基板表面上の標的材料付近に移動させ、それ
ゆえ実質的に標的物質の場所で除去反応を促進または加速させるため、特に有効であると
考えられている。本発明の2つの必要な成分は、フリーラジカルを形成しうる少なくとも
1の化合物および少なくとも1の活性化剤である。
本発明の選択された目的は、1)化学薬品および研磨剤の組合せから、導体、絶縁体、
バリア、および/または他の表面の、そうでない場合に達成されるよりも高い研磨速度が
達成されることと、2)導体、絶縁体、バリア、および/または他の表面の許容される研
磨速度は、従来技術で達成されたのよりも低濃度での化学薬品および研磨剤の組合せから
達成される系を提供することと;3)商業的に許容される除去速度にて、研磨製品におけ
る商業的に許容される均一性で、CMPを実施できる系を提供することと;4)商業的に
許容される除去速度にて、研磨製品における商業的に許容される均一性で、および基板の
金属イオン汚染が実質的になく、CMPを実施できる系を提供することと;5)商業的に
許容される除去速度にて、研磨製品における商業的に許容される均一性でCMPが実施さ
れる系であって、使用する化学薬品が環境上優しい、容易に回収可能である、またはその
両方である系を提供することと;6)酸化剤および/またはクリーナーの有効性を向上さ
せる系を提供することと;7)そうでなければ消耗成分と見なされる、系の選択された成
分を回収および再使用する方法を提供することと;および/または8)少なくとも24時
間の期間の使用可能な保管寿命を示す1成分系を供給することと;および/または9)追
加の化合物をスラリー液に導入することなく有利に、各種の市販CMPスラリーの有効性
を向上させる添加剤を提供することである。本発明のこれらの目的は網羅的ではなく、本
発明の目的すべてが任意の1つの系によって達成されるわけではないことが理解される。
CMP系は、少なくとも1のフリーラジカル生成化合物を有する液体、およびそれと結合した少なくとも1の活性化剤を含むパッドを含む。
本発明のCMP系の1つの実施形態は、少なくとも1のフリーラジカル生成化合物を有する液体、およびそれと結合した少なくとも1の活性化剤を含む複数の粒子を含む。
上の各実施形態において、フリーラジカル生成化合物は、好ましくは酸化剤である。好
ましいフリーラジカル生成化合物は、モノペルサルフェート、ジペルサルフェート、過酢
酸、過酸化尿素、過酸化水素、その酸、その塩、その付加体、またはその混合物を含む。
参考例は、酸化剤でないフリーラジカルを生成する化合物を含むCMP液を含む。
他の参考例は、可溶性活性化剤および酸化剤でないフリーラジカルを生成する化合物を含むCMP液を含む。
他の参考例は、光活性化固体活性化剤および酸化剤でないフリーラジカルを生成する化合物を含むCMP液を含む。
本発明のまた別の実施形態は、液体を活性化剤と接触させることにより生成されるフリ
ーラジカルを含むCMP液を含む。
もちろん上の系のいずれかまたはすべては有効性および有用性を示すように、組み合わせしてもよい。系、すなわちパッド、粒子、および/または液体は必要に応じて、これに限定されるわけではないが:フリーラジカル生成化合物以外の酸化剤;他の微粒子および/または研磨剤;フリーラジカル失活剤;安定剤;プロモータ;可溶性活性化剤(好ましくは金属不含有活性化剤);キレート剤;膜形成剤などの腐食防止剤;界面活性剤などの分散剤;酸または塩基などのpH調整剤;粘度調整剤;および殺生物剤を含む他の成分を含有してもよい。一部の用途では、本発明のCMP系で形成されるフリーラジカルは、上に挙げた特定化合物と不適合であってもよい。この場合、フリーラジカル生成化合物、活性化剤、または不適合性化合物の少なくとも1つが、使用時または使用時付近で他と混合されるべきである。
本発明は、基板、例えば半導体基板、メモリディスク基板、あるいは酸化CMPが望ましい他の表面のCMPの方法も含む。方法は、基板を液体に接触させながら基板を研磨または磨耗するステップを含み、液体はフリーラジカル、特に、活性化剤と少なくとも1のフリーラジカル生成化合物との相互作用の結果として生成した、酸素含有フリーラジカル、例えばスーパー酸素ラジカルおよび/またはヒドロキシルラジカルなどを含む。ここで、液体は、それに結合した活性化剤を有するスラリー含有微粒子である。好ましい実施形態において、活性化剤は光活性ではない。
上記材料のまた別の用途は、使用後スラリーから、それに結合した活性化剤を有する本発明の微粒子または研磨剤のリサイクル、回収および/または再使用である。た別の側面は、微粒子含有CMPスラリーがそれに結合した活性化剤を有するリサイクルまたは回収微粒子を含む、各種のその実施形態で述べられている微粒子含有CMPスラリー系である。
本発明の要な実施形態は広くは、半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:フリーラジカルを生成する少なくとも1の化合物を含む液体であって、少なくとも1の化合物が少なくとも1の活性化剤と接触したときにフリーラジカルを生成し、液体pHが約1〜約11である、液体と;表面を持ち、および表面と結合した少なくとも1の活性化剤を持つ複数の粒子であって、少なくとも1の活性化剤が第IV(B)族、第V(B)族、またはVI(B)族以外の金属を含み、そして金属が複数の酸化状態を持つ複数の粒子とを含み、化学機械研磨プロセスで使用されるときに組成物が所望の金属を除去するが、基板が仕上済みの利用可能な(finished-operable)半導体またはメモリデバイスになるためにさらなる加工を受けられないように欠陥または不均一性を生成しない、組成物である。
本発明の要な実施形態は、半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:フリーラジカルを生成する少なくとも1の化合物を含む液体であって、液体が複数の酸化状態を持つ溶解金属イオン約500ppm未満を含み、液体pHが約1〜約11であり、そして少なくとも1の化合物が少なくとも1の活性化剤と接触したときにフリーラジカルを生成する、液体と;液体と接触した表面を持ち、および表面と結合した少なくとも1の活性化剤を持つ複数の粒子であって、表面と結合した活性化剤が金属の解離性塩であって組成物重量の5〜10000ppmの量で存在する複数の粒子とを含み、化学機械研磨プロセスで使用されるときに組成物が所望の金属を除去するが、基板が仕上済みの利用可能な半導体またはメモリデバイスになるためにさらなる加工を受けられないように、欠陥または不均一性を生成しない、組成物である。
他の実施形態は、半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:フリーラジカルを生成する少なくとも1の化合物を含む液体であって、活性化剤と接触させた場合にその化合物が反応性酸素含有フリーラジカルを生成する酸化剤である、液体と;表面を持ち、表面と結合した鉄、銅、マンガン、コバルト、セリウム、およびニッケルのうちの少なくとも1つのイオンを含む少なくとも1の活性化剤を持つ複数の粒子であって、表面と結合した活性化剤が組成物中の重量で約5ppm〜約30,000ppmの範囲の総量で存在する複数の粒子とを含み、化学機械研磨プロセスで使用されるときに組成物が所望の金属を除去するが、基板が仕上済みの利用可能な半導体またはメモリデバイスになるためにさらなる加工を受けられないように、欠陥または不均一性を生成しない、組成物である。
最初の3つの主要な実施形態それぞれにおける少なくとも1の化合物は、組成物中に約
0.01重量%〜約30重量%の量で存在するペル化合物であってもよい。ペル化合物は
1つの実施形態において、ペルオキシド、ハイドロ過酸化水素、またはその誘導体を含む
。別の実施形態におけるペル化合物は、過酸化水素を含み、組成物中に約0.01重量%
〜約10重量%の量で存在する。なお別の実施形態におけるペル化合物は、少なくとも1
のペルサルフェートを含む。なお別の実施形態におけるペル化合物は、過酢酸を含み、組
成物中に約0.01重量%〜約10重量%の量で存在する。なお別の実施形態におけるペ
ル化合物は、ペルオキシジサルフェート、ペルオキシジホスフェート、またはその混合物
を含む。
最初の3つの主要な実施形態それぞれにおける少なくとも1の化合物は、過酢酸、ペル
オキシド、ペルサルフェート、ヒドロキシルアミン、またはその混合物のうちの少なくと
も2を含むことが可能であり、組成物に存在する総量は、約0.01%〜約30重量%で
あり、そこで活性化剤はセリウム、鉄、銅、またはその混合物を含み、そして液体は複数
の酸化状態を持つ溶解金属約100ppm未満を含有する。
参考例では、該化合物はオゾンでもよい。あるいは、該化合物は、ヒドロキシルアミン、ヒドロキシルアミン誘導体、その塩、またはその組み合わせを組成物中に、約0.01重量%〜約30重量%の量で含むことができる。
参考例では、該組成物は、金属塩、金属錯体、およびその組み合わせから成る群より選択される酸化剤を含むことができる。
最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくと
も1の活性化剤を持つ複数の粒子は、金属酸化物研磨剤を含むことができる。金属酸化物
は、別の実施形態においてアルミナ、シリカ、セリア、またはその混合物を含み、表面と
結合した活性化剤は、組成物中の重量で約10ppm〜約1,000ppmの範囲の総量
で存在する。
最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくと
も1の活性化剤を持つ複数の粒子は、約0.001〜約1ミクロンの平均粒径を持ち、セ
ラミック粒子の少なくとも約95重量%が重量平均粒径の約30%以内の粒径を持つよう
な粒径分布を持つ、実質的に球状のセラミック粒子を含むことが可能であり、ここでセラ
ミック粒子は、酸化亜鉛、酸化ビスマス、酸化セリウム、酸化ゲルマニウム、シリカ、酸
化アルミニウムから成る群より選択される少なくとも1の金属酸化物;および金属スルフ
ィド、金属チタナート、金属タンタレート、金属ジルコネート、金属シリケート、金属酸
化ゲルマニウム、金属ニオベート、金属ボライド、金属ニトリド、金属カーバイド、金属
テルリド、金属アルセニド、金属シリシド、金属セレニド、およびその混合物または組み
合わせを含む。
最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくと
も1の活性化剤を持つ複数の粒子は、アルミナを含むことが可能であり、場合により粒子
は、約5〜430m2/gのBET表面積を持ち、重量平均粒径が約0.4ミクロン未満
であり、加えてまたは代わりに粒子は約0.001〜約0.2ミクロンの平均粒径を持つ
最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくと
も1の活性化剤を持つ複数の粒子は、シリカを含むことが可能であり、場合により粒子は
、約5〜1000m2/gのBET表面積、約1ミクロン未満の平均粒径、シリカ粒子の
少なくとも約95重量%が重量平均粒径の約30%以内の粒径を持つような粒径分布を持
ち、加えてまたは代わりに粒子は約0.002〜約0.6ミクロンの平均粒径を持つ。最
初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくとも1
の活性化剤を持つ複数の粒子は、ヒュームドシリカ凝集体を含むことができる。
最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくと
も1の活性化剤を持つ複数の粒子は、セリアを含むか、またはゲルマニア、スピネル、チ
タニア、タングステンの酸化物、タングステンのニトリド、ジルコニア、バナジウムの酸
化物、またはその組み合わせを含むことができる。
参考例では、最初の3つの主要な実施形態それぞれにおける、表面を持ち、表面に結合した少なくとも1の活性化剤を持つ複数の粒子は、1つがさらに金属酸化物を含む複合粒子であるポリマー粒子を含むことができる。
最初の3つの主要な実施形態の組成物は、表面を持ち、表面に結合した少なくとも1の
活性化剤を持つ複数の粒子とは異なる、少なくとも1の第二の粒子をさらに含む。この第
二の粒子は、第一の粒子と同じまたは異なっており、同じである場合には、第二の粒子が
その表面に結合した活性化剤を持たない。加えてまたは代わりに、最初の3つの主要な実
施形態の少なくとも1の化合物は、第一の酸化剤を含むことが可能であり、組成物は場合
により、第二の酸化剤をさらに含むことができる。
最初の3つの主要な実施形態の組成物は、少なくとも1の安定剤を、組成物を安定させ
るのに十分な量でさらに含むことができる。加えてまたは代わりに、最初の3つの主要な
実施形態の組成物は、少なくとも1のプロモータを10ppm〜5000ppmの量でさ
らに含むことができる。加えてまたは代わりに、最初の3つの主要な実施形態の組成物は
、少なくとも1のキレート剤をさらに含むことができる。加えてまたは代わりに、最初の
3つの主要な実施形態の組成物は、少なくとも1の可溶性活性化剤、例えばヨウ素をさら
に含むことができる。加えてまたは代わりに、最初の3つの主要な実施形態の組成物は、
少なくとも1の腐食防止剤、少なくとも1の分散剤、または両方をさらに含むことができ
る。加えてまたは代わりに、最初の3つの主要な実施形態の組成物は、少なくとも1のp
H調整剤をさらに含むことができ、ここで液体pHは約2〜約8、例えば約3〜約7、お
よび通例約3.5〜約4.5である。加えてまたは代わりに、最初の3つの主要な実施形
態の組成物は、少なくとも1の化合物とは異なる少なくとも1の研磨向上剤、例えばグリ
コール、グリシン、グリシンの誘導体、またはその混合物をさらに含むことができる。
参考例では、最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤を持つ複数の粒子は、シリカ、アルミナ、セリア、あるいはその混合物または組み合わせを含む金属酸化物粒子を含むことが可能であり、金属酸化物粒子は1シグマ偏差が平均粒径の約20%を超えないような粒径分布であり、そして活性化剤は酸化銅、酸化鉄、またはその混合物を含む。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、金属酸化物、ポリマー、または両方を含むことが可能であり、表面
に結合した活性化剤は、解離性セリウム塩、解離性銅塩、解離性鉄塩、解離性マンガン塩
、解離性コバルト塩、解離性ニッケル塩、またはその混合物を含む。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、鉄、銅、マンガン、コバルト、セリウム、およびニッケルから選択
される金属でドーピングされた金属酸化物を含むことができる。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、連結外部表面の約5〜約80パーセントに、あるいは、代わりにま
たは加えて、表面を持ち、表面に結合した少なくとも1の活性化剤を持つ複数の粒子の外
部表面の約25〜約50パーセントに、結合した活性化剤を含むことが可能である。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、複数の粒子の表面に結合した活性化剤を複数の粒子の約0.01重
量%〜約3重量%で含むことができる。
好ましくは、大半の各実施形態および特に最初の3つの主要な実施形態の組成物におい
て、組成物は、複数の酸化状態を持つ溶解金属イオンを約10ppm未満、例えば約2p
pm未満含むであろう。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、シリカ、アルミナ、セリア、またはその混合物を含むことが可能で
あり、粒子の表面に結合された活性化剤は鉄を含み、ここで活性化剤の鉄の量は、複数の
粒子の約0.01重量%〜約3重量%である。本実施形態でも、好ましくは、液体は溶解
鉄を約10ppm未満含む。
最初の3つの主要な実施形態の、表面を持ち、表面に結合した少なくとも1の活性化剤
を持つ複数の粒子は、シリカ、アルミナ、セリア、またはその混合物を含むことが可能で
あり、粒子の表面に結合された活性化剤はセリウムを含み、ここで活性化剤のセリウムの
量は、複数の粒子の約0.01重量%〜約3重量%である。
第四の主要な実施形態において、本発明は、半導体またはメモリディスク基板を化学機械研磨するための組成物であって:フリーラジカルを生成する少なくとも1の化合物を含む液体;および所望のフリーラジカル活性に十分な量の、液体中の活性化剤を含む組成物を含み、ここで活性化剤は少なくとも1の化合物と接触するとフリーラジカルを生成し、ここで半導体またはメモリディスク基板は未損傷であるために基板が加工ステップを受けられるように、活性化剤はプロモータではない。
参考例では、フリーラジカルを生成する化合物がペル化合物、例えば過酸化水素である場合、活性化剤がヨウ素を含むことができる。あるいは、該活性化剤は、セリウムを約10ppm〜約1000ppmの量で含むことができる。あるいは、該活性化剤は、金属−グリシン錯体を含むことができ、ここで金属は本質的にセリウム、鉄、マンガン、コバルト、またはその混合物より成る。最後に、参考例では、活性化剤は化学線を含み、ここでフリーラジカルを生成する少なくとも1の化合物は、特定の化学線波長に暴露されたときにフリーラジカルを形成しやすいアルコールおよび/またはケトンを含む。
本発明の使用は、金属を含む少なくとも1の形状構成をその上に有する基板表面を研磨する方法であって:本発明の最初の3つの主要な実施形態のいずれか1の組成物を提供することと;形状構成を組成物と接触させることにより形状構成を化学機械研磨することを含む方法を含み、ここで研磨プロセスは金属形状構成を研磨するが、基板が仕上済みの利用可能な製品になるためにさらなる加工を受けられないように、欠陥または不均一性を生成しない。場合により、液体と接触する表面を持ち、表面に結合した少なくとも1の活性化剤を持つ複数の研磨剤粒子は、組成物中に存在する研磨剤を約0.01重量%〜約20重量%の量で含み、フリーラジカルを生成する少なくとも1の化合物は、組成物中に存在するフリーラジカルを生成する少なくとも1の酸化剤を約0.01%〜約30%の量で含むことができる。1つの実施形態において、基板は半導体であり、金属形状構成はアルミニウム、銅、チタン、タングステン、タンタル、その任意の合金、その任意の金属ニトリド、その任意の金属シリコン合金、およびその任意の組み合わせを含む。半導体において、形状構成がタンタル、タンタルニトリド、チタン、チタンニトリド、チタンタングステン、タングステン、およびその任意の組み合わせから成る群より選択される材料に隣接することは珍しいことではなく、ここで金属形状構成材料は、それに隣接する材料とは異なる。好ましくは、該方法は、約0〜約12パーセントのウェハ内不均一性を持つ化学機械研磨基板表面を供給するのに十分であり、加えてまたは代わりに化学機械研磨中にその上に生成されるマイクロスクラッチはいずれも、深さ約20オングストローム未満である。
あるいは基板は、金属形状構成がアルミニウム、銅、チタン、タングステン、タンタル
、ニッケル、ニッケル−鉄、またはその任意の合金、センダスト(Sendust)、およびCZ
Tおよびその任意の組み合わせを含むメモリデバイスでもよい。さらに好ましくは該方法
は、化学機械研磨中にその上に生成されるマイクロスクラッチがいずれも深さ約20オン
グストローム未満である、化学機械研磨メモリデバイス基板表面を供給するのに十分であ
る。
あるいは基板は、シリコン基板、ガリウムアルセニド(GaAs)基板、薄膜トランジ
スタ−液晶ディスプレイガラス基板、またはマイクロ・エレクトロ・メカニカル・システ
ム構造であり、ここで上記方法は、化学機械研磨中にその上に生成されるマイクロスクラ
ッチがいずれも深さ約20オングストローム未満である、化学機械研磨基板表面を供給す
るのに十分である。
組成物および方法への鍵は、その上に結合した活性化剤を持つ粒子である。好ましくは
粒子は研磨中には破壊されないため、好ましくは少なくとも本発明の組成物のいずれにお
いても粒子の一部は、研磨後に使用済み組成物から回収され、別の基板表面を研磨するた
めに再使用される。複数の粒子は、濾過、遠心分離、またはその組み合わせによって回収
できる。
最後に、参考例では、研磨が形状構成または組成物を研磨パッドに可動的に接触することを含み、研磨パッドは表面を持ち、場合により上記研磨パッド表面に結合した活性化剤を含むことがあり、ここでは活性化剤は、本発明の最初の3つの主要な実施形態における活性化剤のいずれかである。
これらの各種の成分および実施形態は、以下でさらに詳細に述べられるであろう。
本発明は、選択した酸化剤または他の化合物をさらに有効にする組成物に関する。この系は、基板を研磨するのに適し、本発明は基板の研磨方法を含む。この系は、フリーラジカル生成化合物、通例は酸化剤を含有する液体を含む。この系は、金属含有ならば好ましくは固体と結合する。この系、すなわちパッド、粒子、および/または液体は必要に応じて、他の成分、これに限定されるわけではないが:フリーラジカル生成化合物以外の酸化剤;他の微粒子および/または研磨剤;フリーラジカル失活剤;安定剤または不動態化剤;プロモータ;可溶性活性化剤(好ましくは金属不含有活性化剤);キレート剤;膜形成剤などの腐食防止剤;界面活性剤などの分散剤;酸または塩基などのpH調整剤;粘度調整剤;および殺生物剤などを含むことが可能であり、その大半は以下で詳細に述べられるであろう。
基板
本発明の組成物は、基板のCMPに有用である。基板は、金属、結晶、半導体、絶縁体、セラミック、ガラス、または酸化CMPによって改良できる他の材料でありうる。本発明は、非常に強い酸化剤または反応装置が有用である場合に使用可能であり、そしてデュアルダマシン基板、シリシド(silicide)などのCMPに使用することができる。
本発明の組成物の使用について半導体基板の点から述べるが、本明細書の系は、他の基板の化学機械研磨にも有用である。基板は例えば、メモリストレージデバイス、例えばハードディスク、フロッピーディスク、磁気ヘッド、および/または非ディスク形状のフォーマット済みメモリデバイスでもよい。これらのメモリストレージデバイスを研磨する要件は、高い除去速度、少ない欠陥の表面仕上げ、選択性、および洗浄性を含めて、半導体処理と同様であるが、半導体処理では通常使われないメモリデバイス平坦化において使用される、例えばニッケル、ニッケル−鉄合金、センダスト、およびCZTを含む、一部の基板がある。デバイス上での研磨は、所望の材料を除去するが、基板が仕上済みの利用可能な半導体またはメモリデバイスになるためにさらなる加工を受けられないように、欠陥または不均一性を生成しない。
本明細書で述べる系は、他の基板と同様にこれらのCMPに有用であり、半導体などの
小規模での研磨、および実質的にすべての金属デバイスを含むはるかに大規模での研磨の
両方を含む。本明細書の系は、特に精密な許容誤差が必要な場合、例えば望遠鏡、レンズ
、微小部品などを含む精密機械加工部品の、基板の化学機械研磨に有用である。本発明は
、各種の巨視的構造物の清掃にも、特に材料または残留物を除去するために強力な酸化剤
および研磨剤が望ましいが、環境汚染が懸念事項である場合、例えば構造用金属などの清
掃に有用である。
CMPは、多様な表面形状構成、例えば酸化物および/または金属層を持つウェハを研
磨するために、多様な半導体プロセスで使用される。例として、半導体ウェハの表面は、
導体および絶縁性構造の間に存在する露出絶縁性構造、露出導体構造、露出バリア構造を
持つことが多く、事前に選択したレベルで材料除去を停止するよう設計された「停止」構
造を持つことが多い。本発明の組成物またはスラリーは、基板、例えばシリコン基板、ガ
リウムアルセニド(GaAs)基板、薄膜トランジスタ−液晶ディスプレイ(「TFT−
LCD」)ガラス基板、または集積回路と結合された他の任意の基板、薄膜、半導体、マ
イクロ・エレクトロ・メカニカル・システム(MEMS)構造、メモリストレージデバイ
スなどの上の少なくとも1の形状構成または層を研磨するために使用できる。例として、
本発明の組成物は、アルミニウム、銅、銅−アルミニウム合金、タンタル、チタン、タン
グステン、またはタンタル−、チタン−、またはタングステン−含有合金、例えばタンタ
ルニトリド、チタンニトリド、チタンタングステン、またはその他の組み合わせの、1以
上の層を持つ基板のCMPに使用できる。
導体構造は通例、金属、および/または合金例えばタングステン−チタンおよびアルミ
ニウム−銅、および/または金属化合物例えばAlSiまたは金属ニトリド例えばTiN
の1以上の層である。本明細書で使用するように、別途記載しない限り、基板について述
べる場合、「金属」と言う用語は、金属、金属の合金、および金属化合物の単独または組
み合わせも含む。使用する代表的な金属は、アルミニウム、銅、チタン、タンタル、タン
タル、タングステン、金、銀、プラチナ、ルテニウムはもちろんのことその合金および/
または金属化合物例えばその窒化物を含む。
当業者は他よりもさらに有用な特定の組み合わせを認識しているが、バリア構造は、導
体構造とは異なる組成の金属である。
代表的な絶縁性構造は、誘電体例えばシリカ、アルミナ、有機シリカ、ポリシリコン、
ガリウムアルセニド、および当分野で既知の他の物を含む。スパンガラス、ポリシリコン
、有機ガラス、および他の実施形態も含まれる。
停止構造は一般に上記のいずれかであるが、当業者は他よりもある化学現象にとってさ
らに有用な特定組み合わせを認識している。
本発明の1つの目的は、液体と適合性であることが既知のより強力な酸化剤の1つの形
成を促進することであり、本発明は、いくつかの「貴」金属を含めて、実質的にすべての
金属に対して有用である。
酸化剤を含む液体
CMP系は、材料の化学エッチングのための酸化剤を含む液体を必要とする。CMP組成物の酸化剤は、基板と接触する液体組成物中にあり、基板表面の標的材料の化学的除去を補助する。酸化剤成分はそれゆえ、組成物の材料除去速度を向上または上昇させると考えられる。好ましくは組成物中の酸化剤の量は、化学除去プロセスを補助するのに十分であるが、取扱い、環境、あるいは同様のまたは関連課題、例えばコストを最小限にするために出来るだけ少なくなる。表1に与える酸化剤の各種の量は、すべて有効かつ適切であるが、さらに好ましい酸化剤の量は、組成物に対して約0.01〜約6重量パーセント、例えば約0.1%〜約3%の酸化剤である。
好ましくは、酸化剤は、少なくとも1の活性化剤への暴露時に、少なくとも選択された構造での上昇したエッチング速度を与えるフリーラジカルを生成する成分である。下で述べるフリーラジカルは大半の金属を酸化し、表面を他の酸化剤からの酸化をさらに受けやすくする。しかしながら、一部の酸化剤は活性化剤に暴露したときにフリーラジカルをただちに生成しないため、酸化剤は下で述べる「フリーラジカルを生成する化合物」とは別に挙げ、いくつかの実施形態においては、基板上で見られる金属の多様な組み合わせに対して適合したエッチングまたは優先的なエッチング速度を提供する1以上の酸化剤を持つことが好ましい。
当分野で既知であるように、一部の酸化剤は、他の成分よりもある成分により良く適し
ている。本発明の一部の実施形態において、1つの金属に対するCMP系の選択性は、当
分野で既知であるように、別の金属とは対照的に最大化される。しかしながら本発明のあ
る実施形態において、多くの場合、許容される平坦化は単一のCMP調合物によって達成
されるので、酸化剤の組み合わせは、(単純エッチング速度とは対照的に)導体およびバ
リアの組み合わせと実質的に同様のCMP速度を供給するように選択される。
酸化剤は1つの実施形態において、無機または有機ペル化合物である。ペル化合物は一
般に、元素をその最高酸化状態で含有する化合物、例えば過塩素酸;または少なくとも1
のペルオキシ基(--O--O--)を含有する化合物、例えば過酢酸および過クロム酸として
定義される。
少なくとも1のペルオキシ基を含有する適切なペル化合物は、これに限定されるわけで
はないが過酢酸またはその塩、ペルカーボネート、および有機ペルオキシド、例えばベン
ゾイルペルオキシド、過酸化尿素過酸化尿素、および/またはジ−t−ブチルペルオキシ
ドを含む。
少なくとも1のペルオキシ基を含有する適切なペル化合物はペルオキシドを含む。本明
細書で使用するように、「ペルオキシド」という用語は、R−O−O−R’を含み、ここ
でRおよびR’はそれぞれ独立してH、C1−C6直鎖または分岐アルキル、アルカノール
、カルボキシル酸、ケトン(例えば)またはアミンであり、そして上のそれぞれは独立し
て、OHまたはC1−C5アルキル、ならびにその塩および付加体によって置換されてもよ
い1以上のベンジル基(例えばベンゾイルペルオキシド)によって置換することができる
。この用語はしたがって、一般的な例、例えば過酸化水素、ハイドロ過酸化水素、ペルオ
キシギ酸、過酢酸、プロパンペルオキソ酸、置換または非置換ブタンペルオキソ酸、ハイ
ドロペルオキシアセトアルデヒドなどを含む。この語はまた、ペルオキシドの一般的な錯
体、例えば過酸化尿素も含む。
少なくとも1のペルオキシ基を含有する適切なペル化合物は、ペルサルフェートを含む
。本明細書で使用するように、「ペルサルフェート」という用語は、モノペルサルフェー
ト、ジペルサルフェート、ならびにその酸および塩および付加体を含む。例えば塩、例え
ばカリウムペルオキシモノサルフェートを含む、例えばペルオキシジサルフェート、ペル
オキシモノ硫酸および/またはペルオキシモノサルフェート、カロー酸が含まれるが、し
かし好ましくは非金属塩、例えばアンモニウムペルオキシモノサルフェートである。
少なくとも1のペルオキシ基を含有する適切なペル化合物は、ペルホスフェートを含み
、上で定義されており、ペルオキシジホスフェートを含む。
またオゾンは、単独でもまたは1以上の他の適切な酸化剤と併用しても、適切な酸化剤
である。
ペルオキシ基を含有しない適切なペル化合物は、これに限定されるわけではないが、過
ヨウ素酸および/または任意のペルヨーダート塩(以下「ペルヨーダート」)、過塩酸お
よび/または任意のペルクロラート塩(以下「ペルクロラート」)、過臭素酸および/ま
たは任意のペルブロマート塩(以下「ペルブロマート」)、および過ホウ酸および/また
は任意のペルボレート塩(以下「ペルブロマート」)を含む。
他の酸化剤は、組成物の適切な成分でもある。ヨーダートは有用な酸化剤であり、約0.01%〜約30%の量で存在することが可能である。
有機および/または無機ヒドロキシルアミン化合物または塩も有用な酸化剤であり、約
0.01%〜約30%の量で存在することが可能であるが、好ましくは約0.5%〜約1
5%の量で存在する。ヒドロキシルアミン化合物は、その塩および付加体を含めて、低濃
度で研磨促進剤として使用することができる。本明細書で使用するように「ヒドロキシル
アミン化合物」という用語は、一般式 X,Y>N−O−Zを満足し、すなわちXおよび
Yはそれぞれ窒素に結合され、Zは酸素に結合され、式中、部分X、Y、およびZは独立
して水素、ヒドロキシル基、置換C1−C6直鎖、分岐またはシクロアルキル、アルケニル、またはアルキニル基、置換アシル基、直鎖または分岐アルコキシ基、アミジル基、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、またはスルホン酸基、あるいはその塩または誘導体であり、あるいは式中、XおよびYは共に結合して窒素含有へテロ環状C4−C7環を形成する。本発明によるヒドロキシルアミン化合物の例は、決して限定されないが、ヒドロキシルアミン、N−メチル−ヒドロキシルアミン、N,N−ジメチル−ヒドロキシルアミン、N−エチル−ヒドロキシルアミン、N,N−ジエチル−ヒドロキシルアミン、メトキシルアミン、エトキシルアミン、N−メチル−メトキシルアミンなどを含む。ヒドロキシルアミン化合物は上述したように、塩、例えば硫酸塩、硝酸塩、ギ酸塩などまたはその組み合わせとして入手可能であり(そして本発明による組成物に含むことができる)、この用語はこれらの形のヒドロキシルアミン化合物およびその誘導体を含むことが理解されるはずである。したがってこの用語は、ヒドロキシルアミン、ヒドロキシルアミンの硫酸塩または硝酸塩、またはその組み合わせを含む。
これらの酸化剤はどれも、約0.01%〜約30%、例えば0.01%〜10%の範囲
の量で存在することができるが、好ましくは約0.5%〜約15%の範囲の量で存在する
。本明細書で使用するように重量パーセントは、液体またはスラリーの重量パーセントと
して与える。これらの酸化剤は好ましくは、約0.5%〜約15%の範囲で存在する。
参考例では、酸化剤は、化合物が基板を酸化するのに十分な酸化電位を持つという条件で、複数の酸化状態を持つ金属の塩、複数の酸化状態を持つ金属の錯体または配位化合物、あるいはその組み合わせでもよい。選択した基板に有用な酸化剤である金属含有酸化剤塩は、約0.001%〜約12%の範囲の量で、例えば約0.1%〜約4%の量で存在することができる。1つの実施形態は、フリーラジカルを生成するペル含有酸化剤に加えて、約0.001%〜約0.5%、例えば約0.005%〜約0.05%の可溶性セリウム塩を有する。別の実施形態は、フリーラジカルを生成するペル含有酸化剤に加えて、約0.001%〜約0.5%、例えば約0.005%〜約0.05%の、以下で述べる可溶性鉄または他のプロモータ塩を有する。
一般に金属含有酸化剤は、より好ましくない。例は過マンガン酸塩、過クロム酸塩、鉄
塩、アルミニウム塩、セリウム塩などを含む。別の一般的な酸化剤、例えば過酸化水素と
溶液中で混合した場合、多くの金属含有酸化剤、例えば硝酸第二鉄は過酸化水素と反応し
て、安全上の課題、そして混合物の酸化性能が時間と共に迅速に低下するために再現性の
課題も生じる。反応の性質は未知であるが、pHが約5を超える場合、鉄はFe(OH)
3として沈殿し、これが過酸化水素を酸素と水に触媒的に分解することが既知である。そ
のような事象は、閉じ込められた系およびポンプ中での酸素の蓄積が危険な状況を引き起
こしうるため、非常に望ましくない。
溶液中の過剰な金属含有酸化剤も、フリーラジカルを失活させることができる。例えば
ヒドロキシルラジカルおよび第一鉄との反応は:−OH+Fe2+=>FeOH2+である。
金属含有酸化剤塩の別の問題は、基板に金属汚染を残す可能性があることである。この
金属汚染は、短絡および望ましくない導電特性を他の問題と共に引き起こすことがある。
ある金属、例えば少なくとも基板の一部にめっきまたは吸収される傾向のある金属は、他
の金属よりも有害である。多くの金属化合物の別の問題は、それらが酸化剤と反応して、
その分解を引き起こすことである。
一般に、2以上の酸化剤の混合物は、選択された濃度にて相乗効果を与える。一般に、
本明細書で述べる各種の酸化剤は、その塩および付加体と同様に、単独でも、または別の
酸化剤との組み合わせでも使用できるが、CMPプロセスを望ましくないことに複雑にす
る組み合わせは、避けられるのが好ましい。
最も好ましくは、酸化剤は、ペル化合物または反応性ペルオキシ官能基を持つ化合物、
例えばペルサルフェート、過酢酸、ペルオキシド、特に過酸化尿素および/または過酸化
水素、ペルオキシジホスフェートはもちろんのこと、上記の任意の酸、塩、または付加体
および上記の任意の組み合わせである。
1つの実施形態において、本発明によるスラリーの使用で最も好ましい酸化剤は、過酸
化水素、アンモニウムペルサルフェート、および/またはカリウムペルサルフェートであ
る。
別の実施形態において、特に好ましい酸化剤は過酸化水素、過酸化尿素、ペルサルフェ
ート例えばアンモニウムペルサルフェート、またはその混合物である。過酸化尿素は、過
酸化水素34.5重量%および尿素65.5重量%であるため、所望の酸化剤ローディン
グを達成するためには、ローディングはペルオキシド成分として表現されるため、より大
きい重量での「過酸化尿素」をCMPスラリーに含ませる必要がある。
酸化剤ベースのフリーラジカル生成化合物
本発明は、少なくとも1の活性化剤への暴露時に、基板の少なくとも選択した構造に対
して上昇エッチング速度を与えることが可能なフリーラジカルを生成する、フリーラジカ
ル生成化合物を必要とする。フリーラジカルは、別の分子または原子の遊離電子と共有結
合する遊離電子を含有する化学成分である。フリーラジカルはまた一般に、1以上の不対
電子を持つ分子断片として説明される。フリーラジカルは、通例寿命が短く、かつ高度に
反応性でもある。フリーラジカルはその一過的な存在にもかかわらず、多くの化学反応を
引き起こすことができる。
フリーラジカル生成化合物および形成されたフリーラジカルは、CMP中に基板と接触
する液体、通例は溶液中にある。一部のフリーラジカル生成化合物は自然に少量のフリー
ラジカルを生成するが、自然に形成されたフリーラジカルの量は少なく、活性化剤の存在
によりその量を著しく増加することができる。本明細書で使用するようにフリーラジカル
生成化合物という用語は、少なくとも1の活性化剤への暴露時にフリーラジカルを生成す
ることができる化合物を意味する。フリーラジカルはただちに測定できない。フリーラジ
カルの存在は、系が基板の金属、例えばタングステン、構造に対して著しく向上したエッ
チング速度を与えることができれば推測できる。著しい量とは、活性化剤が存在せず、他
の条件が同一である場合に比べて、活性化剤が存在して、基板を含有する液体に接触して
いる場合には、CMP中のエッチング速度が少なくとも10%、好ましくは少なくとも2
0%、さらに好ましくは少なくとも30%上昇することを意味する。
銅を除くすべての遷移金属は、その最外殻に電子を1個含有し、「フリーラジカル」と
見なすことができる。本明細書で使用するように、「フリーラジカル」という用語は、遷
移金属のイオンを含まない。
好ましい実施形態において、フリーラジカルは反応性酸素ラジカルである。酸素を含有
するどのフリーラジカルも、反応性酸素ラジカルと呼ぶことができる。酸素含有フリーラ
ジカルは一般に、外殻に不対電子を2個含有するとして説明される。フリーラジカルが周
囲の化合物または分子から電子を得て、不対電子を対にすると、新しいフリーラジカルが
しばしばその場所に形成される。代わって新たに形成されたラジカルは次に、電子を得る
ことによってその基底状態に戻るように見える。それゆえ、フリーラジカルが増殖する溶
液がフリーラジカル失活剤、またはフリーラジカルがそれ自体を費やすことのできる反応
体を含まないという条件では、連鎖反応が続いて、1000の事象の長さとなる。
酸素含有ヒドロキシルラジカルは、最も反応性である既知の化学種の1つであり、その
反応性で元素フッ素に次いで2番目である。これは好ましいフリーラジカルである。酸素
一重項は別の好ましいフリーラジカルである。どちらも、例えば過酸化水素よりもはるか
に強力な反応体であるが、どちらも過酸化水素から形成することができる。
塩素と比較した各種の酸化剤の酸化電位は:
Figure 0005109000
である。
好ましい実施形態において系は、CMPプロセス中に基板と接触する液体を持ち、この
液体はフリーラジカル生成化合物およびフリーラジカルを含む。さらに好ましくはフリー
ラジカル生成化合物は酸化剤であり、フリーラジカルは反応性酸素ラジカル、例えばヒド
ロキシルラジカルである。代わりにまたは加えて、本発明の系の好ましい実施形態は、C
MPプロセス中に基板と接触する液体を持ち、この液体はフリーラジカル生成化合物、フ
リーラジカル、および酸化剤を含む。このような実施形態において、フリーラジカル生成
化合物は好ましくは第一の酸化剤であり、フリーラジカルは反応性酸素ラジカル、例えば
ヒドロキシルラジカルであり、液体はさらに第二の酸化剤を含む。
フリーラジカル、特に例えば過酸化水素のFenton型変換によって形成されたヒド
ロキシルラジカルは、金属基板のエッチング速度を大きく向上させると考えられている。
理論に縛られることなく、ヒドロキシルラジカルは、高い酸化電位のために非常に強力で
あると考えられる。さらに基板構造に対する酸化の開始は、構造を、例えば液体中の酸化
剤からのさらなる酸化をより受けやすくすると考えられる。
ヒドロキシルラジカルの高い酸化電位を、他の化合物の酸化電位と共に以下に示す。F
e+2を与えるためのFe+3および電子の変換は、標準還元電位0.77ボルトを持つ。C
MPスラリーで見られる化合物の代表的な標準還元電位は以下のとおりである:
Figure 0005109000
ヒドロキシルフリーラジカルはしたがって、例えば過酸化水素または硝酸第二鉄などの
酸化剤よりも、はるかに強力な酸化剤である。フリーラジカルは必要な場合に形成され、
安全上の課題を引き起こさない。同様の酸化電位を持つ唯一の成分、フッ素は、安全上の
懸念のため、CMPスラリーで使用されない。
一般にフリーラジカル、例えばヒドロキシルラジカルはどの成分とも反応する。多くの
添加剤が液体中にある場合、ヒドロキシルラジカルはこれらの添加剤と反応して、基板上
で効率的に機能しえない他の生成物および/または他のラジカルを形成する。一部の実施
形態において、液体の重量に対し、添加剤の量は合計2%未満、例えば合計1%未満であ
り、そして一部の実施形態では、合計0.2%未満である。
選択した酸化剤、例えばペルオキシド、ペルオキシジホスフェート、ペルサルフェート
、および上述の組み合わせは、少量のフリーラジカルを自然に生成することが知られてい
るが(ここで「自然に」という用語は、ほとんどの溶液にも見られる少量の活性化剤の結
果である)、フリーラジカル生成の量は開始剤と接触した場合に実質的に増加する。オゾ
ンもフリーラジカルを生成するが、フリーラジカル生成の量は適切な活性化剤と接触した
場合に実質的に増加する。これらの各化合物は少なくとも1の活性化剤への暴露時に、基
板の少なくとも選択した構造に上昇したエッチング速度を与えることができる、フリーラ
ジカルの著しく上昇した濃度を生成する。必ずしもすべての活性化剤がすべての化合物と
作用するわけではない。
1つの実施形態において、液体中の好ましいフリーラジカル生成化合物は、ペルオキシ
ド化合物、ペルサルフェート化合物、ペルオキシジホスフェート化合物、またはその混合
物を含む。別の実施形態において、液体中の好ましいフリーラジカル生成化合物は、ペル
オキシド化合物、ペルサルフェート化合物、ペルオキシジホスフェート化合物、オゾン、
またはその混合物を含む。これらの好ましいフリーラジカル生成化合物は、優れた酸化剤
でもあり、このような場合、単一の成分が酸化剤として、およびフリーラジカル生成剤と
して作用することができる。
1つの実施形態において、好ましいフリーラジカル生成化合物はペルサルフェート、例
えばアンモニウムペルサルフェートである。これらの化合物は、優れた酸化剤でもある。
1つの実施形態において1以上が約0.1%〜約25%、好ましくは約0.5%〜約12
%の範囲の総量で存在する。
フリーラジカル生成化合物は、ヒドロキシルアミンであってもよい。これらの化合物は
、優れた酸化剤でもある。1つの実施形態において、1以上が約0.1%〜約25%、好
ましくは約0.5%〜約12%の範囲の総量で存在する。
1つの実施形態において、好ましいフリーラジカル生成化合物は、ペルオキシジホスフ
ェート、例えばアンモニウムペルオキシジホスフェートである。1つの実施形態において
1以上が約0.1%〜約25%、好ましくは約0.5%〜約12%の範囲の総量で存在す
る。
最も好ましいフリーラジカル生成化合物は、ペルオキシド化合物である。1つの実施形
態において最も好ましいフリーラジカル生成化合物は、ペルオキシド化合物、例えば過酸
化水素、過酸化尿素、ハイドロ過酸化水素、または置換ペルオキシド、例えばt−ブチル
ペルオキシド(CAS#110−05−9)またはt−ブチルヒドロペルオキシド(CA
S#75−91−2)、またはその混合物、さらに好ましくは過酸化水素である。別の実
施形態において、1以上が約0.1%〜約20%、好ましくは約0.5%〜約10%の範
囲の総量で存在する。1つの実施形態において、過酸化水素は液体中の唯一のフリーラジ
カル生成化合物であり、唯一の酸化剤でもあり、過酸化水素は約1%〜約10%、例えば
約3%〜約7%の範囲の量、通例約5%で存在する。
1つの実施形態において、好ましいフリーラジカル生成化合物はオゾンであり、これは
優れた酸化剤でもある。オゾンは液体中で生成可能であるか、または液体から離れて生成
可能であり、その後、液体に溶解させる。
1つの実施形態において、好ましいフリーラジカル生成化合物は、ペルオキシジサルフ
ェート、例えばアンモニウムペルオキシジサルフェートである。1つの実施形態において
1以上が約0.015〜約30%、例えば約0.1%〜約25%、好ましくは約0.5%
〜約12%の範囲の総量で存在する。
もちろんすべての酸化剤が、1の特定の活性化剤に暴露されたときに十分な量のフリー
ラジカルを生成するわけではない。またすべての酸化剤が任意の活性化剤に暴露されたと
きに十分な量のフリーラジカルを生成するわけではない。
本発明の一部の実施形態において、基板と接触する液体組成物は、活性化剤によって接
触された場合にフリーラジカル生成化合物である1以上の酸化剤、および活性化剤によっ
て接触された場合に有意な量のフリーラジカルを生成しない1以上の酸化剤を含有するこ
とができる。このことは、溶液の酸化能力を、1以上のフリーラジカル生成化合物の量と
少なくとも部分的に独立させる1つの方法を可能にする。したがってプロセスは、一般の
バリア材料に対する中程度から高い選択性を備えた、迅速なバルク金属除去のための調合
物の選択を通じて、ユーザの要件に対して最適化することができる;および/または所望
の選択性を得るために、材料およびプロセス条件の選択を通じて同様に最適化できる、バ
リア中を広がるCMP研磨剤、1:1:1または例えばTEOSに対するさらなるハード
ストップによって行える。これらの実施形態においては、CMPプロセス全体はユーザの
好みに応じて、単一のスラリーおよび研磨ツールの簡単なプログラミングを用いて実施す
ることもできる。
一般に、液体中の酸化剤ベースのフリーラジカル生成化合物の量は、約0.01%〜約
25%、さらに通例は0.1%〜15%の範囲である。液体中のフリーラジカル生成化合
物の量は、他の酸化剤が存在する場合、または酸化が研磨のわずかな部分である場合には
、より低い範囲に近づくことがある。活性化剤の量が多い場合、例えば活性化剤がスラリ
ー中に50ppm〜約3000ppmの範囲の量で存在するか、またはパッドの少なくと
も約2%を覆う量で存在する場合、反応速度を制御するために、フリーラジカル生成化合
物の量はしばしば約10%以下に制限される。
活性化剤
活性化剤は、液体中に存在する少なくとも1のフリーラジカル生成化合物によってフリ
ーラジカルの形成を促進する物質である。活性化剤が金属イオン、または金属含有化合物
である場合、液体に接触する固体表面に結合した薄層中に存在する。活性化剤が金属不含
有物質である場合、液体中に溶解可能である。活性化剤は、所望の反応を促進するのに十
分な量で存在することが好ましい。
一般に光活性化された活性化剤、例えば酸化チタン(および活性化剤として使用された
光)は好ましくない。パッドと基板との間で光を所望の濃度で得る方法はない。したがっ
て活性化剤を予備活性化する必要があるか、および/または液体がパッドと基板の間を通
過する前に、フリーラジカルを形成する必要がある。
活性化剤は、金属含有化合物、特に過酸化水素中でのFentonの反応プロセスを活性化することが既知である金属から成る群より選択される金属でもよい。好ましくは大半の金属含有活性化剤は、以下で述べるように固体と結合される。もちろん系は場合により、金属含有活性化剤および金属不含有活性化剤の両方を含むことがあり、ここで金属不含有活性化剤は液体中の溶液中に存在し、金属含有活性化剤の少なくとも一部は固体と結合される。
別の実施形態において、活性化剤は、Fentonの反応において活性化剤として有用
であることが既知の任意の金属含有化合物であり、ここで酸化剤はペルオキシド、特に過
酸化水素である。遷移金属、例えば銅、マンガン、コバルト、およびセリウムはもちろん
のこと、さらに伝統的な鉄および銅もこの反応を触媒することができる。しかしながら,
複数の酸化状態を持つこれらの金属、特に鉄および銅は、例えば過酸化水素またはペルサ
ルフェートを含む溶液中では特に問題があることが既知である。さらに溶液中のコバルト
、マンガン、およびセリウムは、環境上の懸念事項を有する。すべてが基板の汚染物質で
ある。最後に、溶液中では、すべてが活性化剤というよりはむしろプロモータとして作用
すると考えられる。しかしながら我々は、これらの元素または分子が液体と接触する固体
と結合される場合、それらが活性化剤として機能できることを発見した。
1つの重要な実施形態において、活性化剤は元素周期律表の第4(b)族、第5(b)
族または第6(b)族の金属以外の金属を有する金属含有化合物を含む。1つの実施形態
において、第1(b)族または第8族の金属の化合物は好ましい金属含有活性化剤である
。しかしながらこれらの金属による基板汚染の活性、コスト、および可能性は大きく異な
る。例えば参照により本明細書に完全に組み込まれる、Handbook of Chemistry and Phys
ics,64th Edition Periodic Table of the Elements,Inside Front Coverを参照されたい
別の重要な実施形態において、活性化剤は金属の解離性塩を含む。本明細書で使用する
ように、「金属の解離性塩」という句は、化合物の金属部分が金属イオンを形成し、対イ
オンを溶液中に放出できると同時に表面に結合したままであることを意味することが理解
されるはずである。
一つの実施形態において、活性化剤はフリーラジカルを生成する化合物と反応できる遷移金属含有化合物を含有し、固体と結合される。すなわち本発明の活性化剤は、液体中で可溶性ではない。活性化剤は粒子と結合することができる。粒子は研磨剤であるか、または活性化剤の担体である。活性化剤はパッドと結合することができる。活性化剤は、フリーラジカルを生成する化合物を含有する液体が基板と接触する直前に活性化剤に接触するように、マトリクス中に保持することができる。
好ましくは活性化剤は、化学線なしに効率的に機能可能であり、酸化剤自体は活性化剤
を活性化することができる。いくつかの非常に好ましい実施形態におけるこのステップは
、第二のフリーラジカルの形成も引き起こすが、第一のステップにおいてより弱いフリー
ラジカルがしばしば生成された。例えば理論に縛られることなく、過酸化水素によるFe
(II)の酸化である古典的なFentonの反応とは対照的に、この系の表面結合Fe
活性化剤の過酸化水素による反応は、スーパーオキシドアニオンおよびヒドロキシルラジ
カルの両方を形成する。したがって過酸化水素は、これらの系における酸化剤と還元剤の
両方である。
活性化剤が光によってそれ自体が有効になる場合、活性化剤の「有効性」は光に暴露さ
れない場合に低下するであろう。パッドと基板の間で光を得ることは非常に困難であり、
したがって濃度勾配が発生する。
一般に好ましい活性化剤は、鉄、銅、セリウム、ニッケル、マンガン、および/または
コバルトである。それらはいかなる組み合わせで使用することもできる。さらに好ましい
活性化剤は鉄またはセリウム塩である。
活性化剤が表面と結合することは、例えば固体結晶であることとは対照的に好ましい。
活性化剤は、活性な活性化剤の均質組成物でありうる。均質な活性化剤は好ましくは、大
きい表面積を持つ小粒子である。活性化剤のこの形は、約1ミクロン未満、好ましくは0
.4ミクロン未満、さらに好ましくは0.1ミクロン未満の平均粒径、および約10m2
/gを超える表面積を持つべきである。同様の好ましい粒子特性も、研磨組成物中の活性
化剤のコロイド安定性を最適化するであろう。
粒子またはパッドに結合された金属含有活性化剤化合物は、多様な形、例えば金属の硝酸塩、ハロゲン化合物、過塩素酸塩、または酢酸塩で存在する。対イオンは一般に、フリーラジカルを生成する化合物への接触を阻害することによって活性化剤を安定化しない限り、あまり重要でない。1つの実施形態において、粒子および/または研磨パッドに結合された活性化剤は、金属含有酢酸塩、例えば酢酸銅(「CuAc」)または酢酸鉄(「FeAc」)または酢酸セリウム(「CeAc」)である。金属含有活性化剤化合物は、固体と結合したイオン源であってもよく、酸化剤を含有する液体に溶解しなくてもよい。
活性化剤は好ましくは、粒子の表面に分子種として、小型粒子としてまたは単層として
化学的または物理的に結合する。例えばドーピングされたセリア−ガンマアルミナ支持ニ
ッケル(Ceria - gamma Alumina Supported Nickel)は、フリーラジカルを生成する一部の
化合物の有用な活性化剤である。アルミナ支持酸化銅の活性化剤活性は、針鉄鋼と比較す
ると、該支持酸化銅が針鉄鋼の約10倍の活性であることを示している。従来のFent
onの反応では、Fe含有ゼオライトは、同じ実験条件での均質Fe活性化剤の挙動と比
較した場合、不均質活性化剤がより高い反応性を有し、溶液のpH依存性が低いことを見
出した。しかしながらある条件下で、より高い速度の、水および酸素への過酸化水素分解
の副反応を有することも可能である。
我々は、固体、例えば研磨剤、粒子、またはパッドと結合した遷移金属活性化剤が、そ
のような遷移金属が基板と接触している液体中で溶液中にある場合にそれらが持つ望まし
くない副作用を伴わずに、フリーラジカルの生成を開始できることを発見した。特に我々
は驚くべきことに、固体の表面に結合した遷移金属含有活性化剤が活性化剤として有効で
あり、フリーラジカルの形成を促進するが、これらの遷移金属含有活性化剤は「溶液中に
」なく、したがって基板を著しく酸化または汚染しないことを発見した。さらに我々は驚
くべきことに、固体の表面とそのように結合した金属含有活性化剤は、半導体製造工場で
の典型的な保管時間である、少なくとも数時間、しばしば1日以上の期間にわたって混合
されたときに、過酸化水素または酸化物の著しい分解を引き起こさないことを発見した。
しかしながら、本発明の大半の実施形態においては、遷移金属含有活性化剤は、研磨剤
粒子と結合する。
スラリー中の活性化剤の量は低くすることができる。もちろんスラリー中の粒子と結合
した活性化剤は、任意の活性化量、例えば活性化剤0.0005%〜約10重量%で存在
することができる。しかしながら高濃度は通例、無駄である。遷移金属含有活性化剤を含
む系、すなわちスラリー中に含有された固体粒子にコーティングされた遷移金属活性化剤
を持つスラリーにおいて、スラリー中の活性化剤の量が全活性化剤で約5〜10000p
pmである場合に、優れたフリーラジカル活性が認められる。液体への接近が損なわれな
いように活性化剤が粒子上に配置される場合、スラリーは5〜約4000ppm、例えば
約10〜1000ppmを有することができる。試験した好ましい低活性化剤含有スラリ
ーにおいて、スラリーの重量パーセントで表した活性化剤濃度である約5〜約200pp
m、例えば活性化剤約20〜約100ppm、例えば約30ppmは、活性化剤を含まな
い調合物と比較して加速したエッチング速度を与えた。
本来活性化剤と見なされる化合物または塩は、活性化剤として機能しなければ含まれな
い。したがって本明細書で使用するように、遷移金属は固体と結合している場合のみに活
性化剤である。例えば粒子構造を逃れることができるフリーラジカルを生成できない、粒
子マトリクス中の活性化剤は、活性化剤という用語に含まれない。例えば酸化状態の変化
が妨げられるマトリクス内に含有されているために、フリーラジカルの形成を活性化でき
ない活性化剤元素または化合物は、活性化剤として含まれない。基板をめっきまたは汚染
できる化合物は、汚染物質と見なされる。最後に、キレート化されたまたはそうでなけれ
ばフリーラジカルを生成する化合物との反応に使用できない活性化剤は、活性化剤として
含まれない。
本発明の重要な実施形態の1つにおいて、活性化剤の少なくとも一部が研磨剤粒子の少
なくとも一部に結合される。最も一般的な意味において、「結合した」(associated)とい
う用語は、活性化剤がフリーラジカル生成化合物を含有する液体に接触するように、活性
化剤化合物が研磨剤粒子の表面に付着されることを意味し、ここで接触は、(上で述べた
CMP除去速度の著しい増加によって決定されるように)フリーラジカル形成の著しい増
加を生じる。一般に活性化剤を研磨剤と結合させることは、活性化剤が研磨剤にコーティ
ングされる、研磨剤に吸収される、または研磨剤に吸着される、あるいはそうでなければ
研磨剤に付着または結合(bound)されることを意味する。活性化剤コーティングは純粋な
形であることが可能であるか、あるいは活性化剤は、少なくとも研磨剤の一部にコーティ
ングされる活性化剤組成物を形成するために、他の化合物、無機物、金属などと混合する
ことができる。
好ましい実施形態では、研磨剤との結合を破壊して、イオンまたは可溶性化合物として溶液に入るか、あるいは基板にめっきをする活性化剤が、ごくわずかであるか、好ましくはまったくない。
鉄活性化剤を含む系、すなわちスラリー内に含有される固体粒子に鉄をコーティングし
たスラリーは、活性化剤鉄の量が合計約2〜500ppmの活性化剤鉄、好ましくは合計
3〜100ppmの活性化剤鉄、そしてより低い鉄実施形態では、合計約4〜20ppm
の活性化剤鉄ならば優れたフリーラジカル活性を示す。例えば粒子構造から逃げることが
できるフリーラジカルを生成できない粒子マトリクス内の鉄を含む、液体と接触していな
い鉄は、活性化剤鉄という用語に含まれない。フリーラジカルの形成を活性化できない鉄
は、例えば酸化状態の変化が妨げられるマトリクス内に含まれるため、活性化剤鉄に含ま
れない。最後に、キレート化されたまたはそうでなければフリーラジカルを生成する化合
物との反応に使用できない活性化剤は、活性化剤鉄として含まれない。スラリーの例は、
合計約50ppm〜約300ppmの活性化剤鉄を含み、その大半は研磨剤に吸収、吸着
またはコーティングされる。
低金属含有活性化剤の実施形態において、スラリー中では合計80ppm未満の金属含
有活性化剤を使用できる。この活性化剤は単独で作用するか、またはパッド上に例えば活
性化剤を、および/または液体中に金属不含有活性化剤を添加することができる。好まし
い低金属含有活性化剤の実施形態において、スラリー中では合計40ppm未満の金属含
有活性化剤、例えば約5ppm〜約30ppm、または約5ppm〜20ppmの金属含
有活性化剤を使用できる。もちろん基板に接触し、フリーラジカルを生成する化合物およ
び場合により他の酸化剤を有する液体の金属含有量に対する制限は、なお重要である。ス
ラリーが粒子と結合した活性剤を500ppmまで含有する場合でも、基板と接触する液
体の溶液中にこれらの金属を例えば20ppm未満、好ましくは8ppm未満、例えば4
ppm未満有することは、非常に有益である。
研磨剤と結合した活性化剤は、活性化剤がスラリー中で溶解していないことを意味する
。溶液中の金属はプロモータとして作用し、したがって基板を汚染する。さらに化学反応
が起きて活性化剤をめっきしやすくする(すなわち金属状態に還元する)と、活性化剤は
研磨剤の表面からさらに移動しにくくなるので、基板をめっきしないであろう。加えて我
々は驚くべきことに、溶液中の金属イオンによる水素分解が既知である高いpHにおいて
ですら、研磨剤と結合した活性化剤が、ある酸化剤、例えば過酸化水素を自発的に分解す
る傾向がはるかに低いことを見出した。理論に縛られれずに、一般に、研磨剤と結合した
活性化剤は、偶発的にのみ基板に接触すると考えられる。
銅はFenton試薬として既知であり、したがって固体と結合した銅は、優れた活性化剤になる。銅は第一銅および第二銅酸化状態から変化可能であるため、銅が研磨剤材料の活性部位に結合する2個の結合部位が常時存在するであろう。銅は、塩、例えば第二銅塩、または第一銅塩の形態で、あるいは参考例では酸化銅の何らかの形態で、および金属性金属の何らかの形態で研磨剤と結合することができる。一般に金属性金属は酸化剤の存在下で、第二銅または第一銅の形態に変換される。
銀は多くの系で有用な活性化剤であり、例えばシリカ、セリア、アルミナ、および他の
既知の研磨剤にコーティングできるが、銀が酸化状態を変化すると、ある条件下で固体材
料から解離することがある。加えて銀のコストは回収/リサイクルシステムが整っていな
い限り、ひどく高価である。最後に銀イオンは、使用済みスラリーの廃棄を複雑にするこ
とがある。
1以上の研磨剤にコーティングされた金は、活性化剤コーティング粒子の厳密な回収お
よびリサイクルがない限り、多くの系で有用な活性化剤であるが、材料のコストは大半の
商業的実施には大きすぎるであろう。他方では、金はそれ自体の酸化状態を変化させずに
フリーラジカルの生成を促進できる。同じことが固体にコーティングされたプラチナおよ
びパラジウムについても言える。
コーティングまたはドーピングされた貴金属(Au、Ag、Re、Ru、Rh、Pd、
Os、Ir、Pt)は原則として、元素形態で存在するか、またはさらに酸化表面領域も
持つ。
研磨剤と結合した鉄は特に有用であり、最も好ましい活性化剤である。シリカと結合し
た鉄は、最も好ましい系である。多数のOH基を備えたシリカは、鉄と多重結合すること
が可能であり、多数の共有および/またはイオン型結合によって、鉄をシリカと堅個に結
合させる。さらに、吸収、吸着またはコーティングされた鉄のシリカへの複数の結合は、
鉄がシリカ表面から解離する傾向を有することなく、酸化状態間の容易な変換を可能にす
る。驚くべきことに、シリカと結合した鉄は、高いpH値にて、例えばpH5〜pH7お
よび場合によっては最高pH8にて使用できる。これらのpH値において可溶性の鉄が基
板を汚染し、そして過酸化水素の酸素および水への分解を触媒する望ましくない沈殿を形
成し、ガスの危険な爆発性蓄積を生じることが既知である。
鉄は、塩、例えば第二鉄塩、または第一鉄塩の形態で、あるいは参考例では何らかの酸化鉄の形態で、および何らかの金属性金属の形態で研磨剤と結合させることができる。一般に金属性金属は、酸化剤の存在下で第二鉄または第一鉄の形態に変換されるであろう。鉄の別の利点は、環境的に良性であり、重大な廃棄上の問題をもたらさないことである。
アルミナと結合した鉄も、セリアと結合した鉄と同様に、有用な研磨剤/活性化剤であ
る。ポリマー粒子、またはポリマー成分を有する粒子と結合した鉄も有用である。
セリウム塩も、固体に吸収、吸着またはコーティングされると、非常に有用な研磨剤/
活性化剤である。これらのイオンは鉄と同様に、研磨剤および/または粒子の活性部位に
よって強力に保持されることができ、いったん吸収、吸着またはコーティングされると、
粒子と解離する傾向がない。セリウム塩は好ましくは、例えばヨウ素とともに使用できる
別の実施形態において、コバルト、銅、鉄、セリウム、またはその混合物を含む金属含
有活性化剤化合物は、適切な活性化剤である。
ニッケル、銀、またはその組み合わせは、フリーラジカルを生成する一部の化合物に適
切な活性化剤である。
別の実施形態において、約−0.52〜約−0.25eVの標準酸化電位を持つ金属含
有化合物は、適切な活性化剤である。この範囲の酸化電位を持つ金属活性化剤の例は、銅
(−0.52eV)、鉄(−0.44eV)、コバルト(−0.28eV)、およびニッ
ケル(−0.25eV)である。別の実施形態において、フリーラジカルの形成が活性化
剤/液体系に外部から課せられる電位によって促進されるため、活性化剤は、この範囲内
の酸化電位を持つ。
酸化剤の存在下でフリーラジカルを生成する活性化剤を包含する酸化還元系の説明は、
参照により本明細書に全体の内容が組み込まれる、Walling,C.,Free Radicals in Soluti
on(1957),pp.564−579,および Bacon,R,The Initiation of Polymerisation
Processes by Redox Catalysts,Quart.Revs.,Vol.IX (1955),pp.287−310に与
えられている。そのような触媒は、活性化剤候補であり、例えば組成物中で使用される研
磨剤と結合できる。
活性化剤として有効となるために化学線、例えばUV照射を必要としない化合物は、好
ましい活性化剤である。酸化チタンが化学線によって活性化されると、ある条件下でフリ
ーラジカルを形成することは既知である。これはCMP研磨条件下では有用でない。
しかしながら、ここでは化学線を伴わない生成が許容範囲である場合にフリーラジカル
生成が促進される場合を含むことができる。例えばフリーラジカルの形成は、いくつかの
鉄ベースまたは銅ベースの活性化剤では化学線によって促進できる。
好ましい第8族金属は鉄である。好ましい第1(b)金属は銅である。別の好ましい金
属活性化剤はセリウムであり、第3(b)族の活性化剤である。しかしながら、鉄、銅、
およびセリウムイオンが基板表面の金属汚染を引き起こしうることが既知である。さらに
過酸化水素混合物に硝酸第二鉄として添加された鉄イオンは、過酸化水素および第二鉄イ
オンの望ましくない分解を引き起こすことが見出された。他の金属イオンも同様の問題を
有する。
驚くべきことに、鉄イオンは大部分が基板に接触せず、基板から電子を取ることによる
基板の直接酸化を引き起こさず、酸化剤から基板に電子を移動させることによって基板の
酸化を引き起こさなかったにもかかわらず、研磨剤と結合した金属化合物、特に鉄化合物
は、CMPスラリーのエッチング速度に大きな効果を持つことが見出された。むしろ鉄化
合物は、フリーラジカル、最も好ましくは反応性酸素ラジカルの形成を引き起こす。
本発明の1つの重要な実施形態の組成物は、固体の表面と結合された少なくとも1の活
性化剤と液体中にある少なくともフリーラジカル生成化合物、すなわち酸化剤との間の相
互作用によって、特に有利になると考えられている。すなわち、例えば研磨剤にコーティ
ングされた活性化剤と、ペルオキシドまたはヒドロペルオキシドなどの、液体中の酸化剤
の間で、固体活性化剤/液体界面にて反応が起こると考えられる。この反応は、フリーラ
ジカル、またはフリーラジカルが標的基板に接触したときに基板上の標的物質と好ましく
は相互作用する活性反応中間体、例えばヒドロキシルフリーラジカルを活性化剤表面にて
生成すると考えられており、それは研磨剤にコーティングされた活性化剤が基板表面に接
触すると促進されることがある。
活性化剤の混合物は、上昇した活性を与えることができる。セリウム塩は、鉄または銅
と混合した場合に特に有用である。マンガン塩は、鉄または銅と混合した場合に特に有用
である。希土類金属は、鉄または銅と混合した場合に特に有用であろう。参照によりその
開示内容が本明細書に組み込まれる米国特許第5,097,071号は、銅がマンガンお
よび1以上の希土類金属の化合物に含浸され、金属として計算されたCu含有率0.1〜
5重量%、マンガンおよび希土類金属または金属の化合物の総含有量0.05〜8重量%
を持つ、Fenton反応を開始するのに有用なアルミナ支持銅の調製プロセスを教示し
ている。以下は、希土類金属(元素周期律表の亜族III):スカンジウム、イットリウ
ム、ランタンおよびlanthaniesとして挙げられる。イットリウム、ランタン、
セリウム、プラセオジム、ネオジムおよびジスプロシウムが好ましく、セリウムおよびラ
ンタンが特に好ましく、セリウムがきわめて特に好ましい。
一部の実施形態において、活性化剤を含有する粒子の表面と結合したAg、Cr、Mo
、Mn、Nb、Nd、Os、Pd、Pt、Rh、Ru、Sc、Sm、Ta、Ti、V、ま
たはWの化合物が有用である。それらは活性化剤の作用を促進するか、またはフリーラジ
カルを形成する一部の化合物とともに、それら自体が活性化剤となる。
液体添加剤
液体組成物は、フリーラジカルを生成する1以上の化合物を含有し、1以上の活性化剤
を含有するか、それに接触する。組成物は、多様な他の添加剤、例えば代表的な研磨剤(
すなわち活性化剤コーティングをしていない研磨剤);活性化剤含有粒子と同じ特性(材
質、サイズなど)であるか、または同じ特性でない他の研磨剤または粒子;1以上の代表
的な酸化剤(すなわちフリーラジカル生成剤でない酸化剤);プロモータ;界面活性剤;
安定化および不動態化剤;分散剤;キレート剤;膜形成腐食防止剤;研磨向上剤;および
/またはpH調整剤を含有することがある。
一部の実施形態において、例えば表面に結合した活性化剤を持つ研磨剤または他の粒子
を保管または取扱うとき、または活性化剤がスラリーの一部を不安定にするとき、活性化
剤の表面を不動態化させることができる。不動態化剤は好ましくは、結合した活性化剤に
関しては比較的不溶性であり(活性化剤を粒子から離れさせない)、活性化剤コーティン
グ粒子への親和性を持つ。選択したpH値において、選択したカルボン酸塩、例えばオキ
サレート、ガレート、シトレートなどに活性化剤含有粒子をコーティングさせることがで
きる。これらの不動態化剤はしばしばフリーラジカルを消滅させることができ、さらに安
定性を向上させる。他の不動態化剤はスクシナート、ベンゾエート、フォルメート、クペ
ロン、および8−ヒドロキシキノリンを含む。しかしながら一般に、活性化剤が暴露され
、機能できるように、研磨の前にpHおよび/またはイオン条件を変化させることが推奨
される。
活性化剤を持つ粒子は、コロイド安定性を向上させるために、カルボン酸およびポリカ
ルボン酸を含む各種の薬剤によって処理することができる。
プロモータ
上述したように、基板に接触する液体中で溶解する、複数の酸化状態を持つ金属は酸化
剤として作用することができるが、本発明の最も好ましい実施形態は、複数の酸化状態を
持つ金属を実質的に持たない。
一部の実施形態において、溶液中に微量が溶解したAl、Ag、Ce、Co、Cr、C
u、Fe、Mo、Mn、Nb、Nd、Ni、Os、Pd、Pt、Rh、Ru、Sc、Sm
、Ta、Ti、V、またはWの化合物が有用である。これらは、参照によりその開示内容
が本明細書に組み込まれる米国特許第5,958,288号で述べられているように、酸
化剤の作用を促進すると考えられている。溶液中の金属イオンは、基板、特に金属基板に
対するある程度の親和性を備えた酸化剤として作用すると考えられている。液体中の他の
酸化剤によって酸化されることが可能ならば、2つの間に多少の相乗効果的な作用がある
であろう。しかしながらプロモータはたいていの場合、フリーラジカルの作用を促進しな
いと考えられている。触媒または基板への暴露時にプロモータを形成する化合物、例えば
参照によりその開示内容が組み込まれる米国特許第5,863,838号で述べられてい
る化合物も有用である。
本発明の一部の実施形態において、基板に接触する液体組成物は、本明細書でプロモー
タと呼ばれる少量の金属イオン酸化剤を持つ。可溶性化合物または銅、アルミニウム、セ
リウム、および鉄の塩は、CMP溶液中の酸化剤またはプロモータとして使用される。使
用される場合、好ましい金属含有酸化剤プロモータは可溶性セリウム塩またはアルミニウ
ム塩である。
プロモータは低濃度にて、約4ppmもの低さで何らかの効果を持つ。プロモータと基
板との間のこの親和性は次に、基板の金属イオン汚染の可能性を生じるため、液体は有利
には、溶解した金属含有プロモータ、特に銅、セリウム、および鉄を5000ppm未満
、好ましくは2000ppm未満、さらに好ましくは500ppm(0.05%)未満含
有する。本発明の好ましい実施形態において、基板に接触する液体組成物は、溶解した金
属含有プロモータ、特に銅および鉄を合計で50ppm未満、好ましくは20ppm未満
、およびさらに好ましくは10ppm未満有する。
本発明の好ましい低(溶解)金属含有実施形態において、基板に接触する液体組成物は
、複数の酸化状態を持つ溶解した金属を50ppm未満、好ましくは20ppm未満、さ
らに好ましくは10ppm未満有する。
本発明の好ましい非(溶解)金属含有実施形態において、基板に接触する液体組成物は
、複数の酸化状態を持つ溶解された金属を5ppm未満、例えば複数の酸化状態を持つ溶
解された金属を2ppm未満有する。うまく実施された1つの例は、複数の酸化状態を持
つ溶解された金属を1ppm未満有したが、その表面に結合された活性化剤を持つ研磨剤
と接触した。本発明の最も好ましい実施形態において、基板に接触する液体組成物は、溶
解した銅、アルミニウム、セリウム、および鉄を合計で5ppm未満、例えば2ppm未
満有する。
銅または鉄が溶解した形態で存在する場合、それらがキレートの形態であることが好ま
しく、このことはこれらの金属を液体からおよび基板から本質的に単離し、それらを酸化
剤としてまたはプロモータとして有用でなくする。特に鉄では、好ましい実施形態におい
て、基板に接触する液体は、液体、すなわちスラリーの液体部分に溶解した鉄塩または化
合物を8ppm未満、好ましくは4ppm未満、さらに好ましくは2ppm未満、最も好
ましくは1ppm未満有する。
加えて、金属酸化剤に関する上記と同様の理由で、他の成分の金属塩は一般に推奨され
ない。これらはナトリウム塩(過ヨウ素酸ナトリウムなど)、カリウム塩(カリウムペル
サルフェートなど)、リチウム塩などを含む。一般にカリウム塩は、ナトリウム塩よりも
汚染をはるかに発生しにくい。CMPスラリーの液体部分中に全金属2000ppm未満
を溶解させることもまた、あるいは好ましく、全金属500ppm未満、例えば50pp
m未満、および金属を含まない実施形態では10ppm未満がCMPスラリーの液体部分
中に溶解されていることがさらに好ましい。全金属とは、1(a)、2(a)、3(b)
、4(b)、5(b)、6(b)、7(b)、8、1(b)、および2(b)族の金属を
意味する。
キレート剤
(溶解した)金属を含有しない実施形態が望ましい場合、液体はキレート剤を持つこと
ができる。キレート剤は本質的に、液体中に溶解した形態で存在する複数の酸化状態を持
つ金属を捕捉し、単離することができる。溶解金属がキレート化したの形態である場合、
これは本質的に基板からそれらを単離し、プロモータとしてのその有効性を損なうが、金
属イオン汚染を防止する。これはしかしながらスラリーの酸化剤のポットライフを延長し
、キレート剤は低濃度においてはフリーラジカルの有効性を事実上損なわないであろう。
したがって、少量のみのキレート剤を使用すべきである。キレート剤は一般に、フリー
ラジカル失活剤として作用することができる、有機酸部分を含有する。これは系の性能に
悪影響を及ぼすことがある。
一般に、3重量%未満、好ましくは1重量%未満、例えば0.5重量%のキレート剤が
好ましい。
安定剤
組成物は、1以上の各種の任意の添加剤を含むことができる。適切な任意の添加剤は安
定剤を含む。これらの任意の添加剤は一般に沈降、凝析(粒子の沈殿、凝集または凝塊形
成などを含む)、および分解に対する組成物の安定化を促進または助長するために利用さ
れる。安定剤は、活性化剤物質を単離することによって、フリーラジカルを失活させるこ
とによって、またはそうでなければフリーラジカルを生成する化合物を安定化させること
によって、フリーラジカルを生成する化合物を含む酸化剤のポットライフを延長するため
に使用できる。
一部の物質は過酸化水素を安定化するのに有用である。金属汚染の1つの例外は、選択
した安定化金属、例えばスズの存在である。本発明の一部の実施形態において、スズは少
量で、通例約25ppm未満、例えば約3〜約20ppmで存在することができる。同様
に亜鉛はしばしば、安定剤として使用される。本発明の一部の実施形態において、亜鉛は
少量で、通例約20ppm未満、例えば約1〜約20ppmで存在する。別の好ましい実
施形態において、基板に接触する液体組成物は、スズおよび亜鉛を除く、複数の酸化状態
を有する溶解金属を500ppm未満、例えば100ppm未満有する。本発明の最も好
ましい商業的実施形態において、基板に接触する液体組成物は、スズおよび亜鉛を除く、
複数の酸化状態を有する溶解金属を9ppm未満、例えば複数の酸化状態を持つ溶解金属
を2ppm未満有する。本発明の一部の好ましい実施形態において、基板に接触する液体
組成物は、スズおよび亜鉛を除く、溶解金属を合計50ppm未満、好ましくは20pp
m未満、およびさらに好ましくは10ppm未満有する。
溶液中の金属は一般に推奨されないので、通例、塩の形態で、例えばペルサルフェート
で存在する金属不含有酸化剤は、酸の形態形および/またはアンモニウム塩の形態、例え
ばアンモニウムペルサルフェートであることが好ましい。
他の安定剤は、フリーラジカル失活剤を含む。上述したように、これらは生成されるフ
リーラジカルの有用性を損なうであろう。したがって存在する場合、それらは少量で存在
することが好ましい。大半の抗酸化剤、すなわちビタミンB、ビタミンC、クエン酸など
は、フリーラジカル失活剤である。大半の有機酸はフリーラジカル失活剤であるが、有効
であり、他の有益な安定化特性を持つ3つは、ホスホン酸、結合剤のシュウ酸、および非
ラジカルスカベンジング金属イオン封鎖剤の没食子酸である。
加えてカーボネートおよびホスフェートは、活性化剤に結合して、液体の接触を妨害す
ることが考えられる。カーボネートは特に、スラリーを安定化するのに使用できるため有
用であるが、少量の酸は安定化イオンを迅速に除去することができる。吸収された活性化
剤にとって有用な安定剤は、シリカ粒子上に膜を形成する膜形成剤でもよい。
適切な安定剤は、有機酸、例えばアジピン酸、フタル酸、クエン酸、マロン酸、オルト
フタル酸;およびリン酸;置換または非置換ホスホン酸、すなわちホスホネート化合物;
ニトリル;および他のリガンド、例えば活性化剤物質を結合し、それゆえ酸化剤を分解す
る反応を低下させるリガンド、ならびに上述の薬剤の組み合わせを含む。本明細書で使用
するように、酸安定剤は、酸安定剤およびその共役塩基の両方を指す。すなわち各種の酸
安定剤は、共役の形態でも使用できる。一例として本明細書では、上で挙げた酸安定剤に
ついては、アジピン酸安定剤はアジピン酸および/またはその共役塩基を含み、カルボン
酸安定剤はカルボン酸および/またはその共役塩基、カルボン酸塩などを含む。単独でま
たは1以上の他の安定剤との組み合わせで使用される適切な安定剤は、CMPスラリー中
に混合させたときに酸化剤例えば過酸化水素が分解する速度を低下させる。
他方、組成物中の安定剤の存在は、活性化剤の有効性を損なうことがある。量は、必要
な安定性をCMP系の有効性に対する最も低い悪影響と適合させるように調整させるべき
である。一般にこれらの任意の添加剤のどれも、組成物を実質的に安定させるのに十分な
量で存在すべきである。必要な量は、選択された特定の添加剤およびCMP組成物の特定
の構成、例えば研磨剤成分の表面の性質によって変わる。使用する添加剤が少なすぎると
、添加剤は、組成物の安定性に対してほとんどまたは全く効果を持たない。他方、使用す
る添加剤が多すぎると、添加剤は組成物中の望ましくない発泡および/または凝集の形成
の一因となることがある。一般に、これらの任意の添加剤の適切な量は、組成物に対して
約0.001〜約2重量パーセント、好ましくは約0.001〜約1重量パーセントの範
囲である。これらの任意の添加剤は、組成物に直接添加するか、または組成物の研磨剤成
分の表面に塗布できる。
pH調整剤
組成物のpHは望ましくは、およそ約pH1〜約pH11、そして好ましくは約pH2
〜約pH8である。これらのpHレベル、および特に好ましいレベルは、CMPプロセス
の制御を促進すると考えられる。低すぎるpH、例えばpH2以下を持つ組成物は、組成
物の取扱いおよび研磨自体の品質に関して問題となることがある。高すぎるpH、例えば
pH11以上を持つ組成物は有害にも、金属層の性質によって基板表面上の金属層、例え
ば銅またはタングステンへの腐食または他の攻撃の一因となることがある。これは、悪影
響なく比較的高いpHのCMP組成物に耐える金属層、例えばアルミニウムまたはエキゾ
チック金属の研磨においては、問題でないかもしれない。
組成物のpHは、適切なpH調整剤、例えば適切な酸、塩基、アミンまたはその組み合
わせを用いて調整できる。好ましくは、組成物で使用されるpH調整剤は、望ましくない
金属成分が組成物中に導入されないように金属イオンを含有しない。適切なpH調整剤は
、アミン、水酸化アンモニウム、硝酸、リン酸、硫酸、有機酸および/またはその塩、お
よびその組み合わせを含む。組成物のpHレベルは、約pH1.5という低さであっても
よいが、調合物はそのような低いpHで作用することが困難になる。一般に液体pHは、
約pH2〜約pH11の範囲に維持され、好ましいより高いレベルは約pH8である。最
も好ましい範囲は、約pH3〜約pH7.5、例えばpH3.5〜pH4.5のpHであ
る。
界面活性剤
組成物には多くの適切な界面活性添加剤があるが、好ましい界面活性添加剤は、ドデシ
ルサルフェートナトリウム塩、ナトリウムラウリルサルフェート、ドデシルサルフェート
アンモニウム塩、およびその組み合わせを含む。適切な市販の界面活性剤は、Union
Carbideが製造するTRITON DF16(商標)およびAir Produ
cts and Chemicalsが製造するSUIRFYNOL(商標)を含む。
1000未満〜30,000超の範囲の分子量を持つ各種のアニオン性およびカチオン
性界面活性剤は、分散剤と考えられる。ナトリウム、カリウム、または好ましくはステア
レート、ラウリルサルフェート、アルキルポリホスフェート、ドデシルベンゼンスルホネ
ート、ジイソプロピルナフタレンスルホネート、ジオクチルスルホスクシナート、エトキ
シル化およびサルフェート化ラウリルアルコール、ならびにエトキシル化およびサルフェ
ート化アルキルフェノールのアンモニア塩が含まれる。
各種のカチオン性界面活性剤は、ポリエチレンイミン、エトキシル化脂肪族アミンおよ
びステアリルベンジルジメチルアンモニウムクロリドまたはニトレートを含む。本発明で
考慮される別の分散剤は:ポリエチレングリコール、レシチン、ポリビニルピロリドン、
ポリオキシエチレン、イソオクチルフェニルエーテル、ポリオキシエチレンノニルフェニ
ルエーテル、アルキルアリールスルホネートのアミン塩、ポリアクリレートおよび関連す
る塩、ポリメタクリレートを含む。
界面活性剤を第一のCMPスラリーに添加する場合、それはアニオン性、カチオン性、
非イオン性、または両性界面活性剤であるか、または2以上の界面活性剤の組み合わせを
利用できる。さらに、界面活性剤の添加はウェハのウェハ内不均一性(WIWNU)を低
減するのに有用であり、それによりウェハ表面を改良し、ウェハ欠陥を減少することが見
出されている。
一般に、添加剤、例えば第一のCMPスラリーで使用される界面活性剤の量は、スラリ
ーの有効な安定化を達成するのに十分であるべきであり、通例、選択した特定の界面活性
剤および金属酸化物研磨剤の表面の性質によって変化する。例えば選択された界面活性剤
の十分量が使用されない場合、第一のCMPスラリー安定化に対して、ほとんどまたは全
く効果を持たないであろう。他方、CMPスラリー中の界面活性剤が多すぎると、スラリ
ー中での望ましくない発泡および/または凝集を生じることがある。結果として、安定剤
、例えば界面活性剤は一般に、本発明のスラリー中に約0.001〜約0.2重量%、お
よび好ましくは約0.001〜約0.1重量パーセントの量で存在すべきである。さらに
、添加剤はスラリーに直接添加されるか、または既知の技法を用いて金属酸化物研磨剤の
表面で処理される。どちらの場合でも、添加剤の量は、第一の研磨スラリー中の所望の濃
度を達成するために調整される。
研磨向上添加剤
場合により、基板表面にバリア層の形態でしばしば存在する基板表面上の標的物質、例
えばタンタルおよびチタン物質の研磨速度を向上または改善するために、特定の添加剤ま
たは研磨向上剤を組成物に添加することができる。研磨向上剤の例は、標的物質がタンタ
ルである場合に特に有効である、ヒドロキシルアミンである。ペルオキシド含有組成物と
の使用のためには、ヒドロキシルアミン以外の研磨向上剤、例えばフルオリドベース剤が
一般に好ましい。任意の研磨向上剤がある場合は、一般に組成物に対して約0.001〜
約2重量パーセント、好ましくは約0.001〜約1重量パーセントの量で存在する。
他の研磨向上剤は、モノ、ジ、およびトリエチレングリコールなどを含むグリコール、
例えば窒素、OH部分、またはその両方が1〜3のC1−C4アルキル基で置換されたグ
リシンまたはその誘導体、またはその混合物をスラリーの約0.05〜約5重量%、好ま
しくは約0.1〜約1重量%の量で含むことができる。
他の研磨向上剤は、求核アミンおよびアルカノールアミンを含み、それは約0.01%
〜約30%、例えば約0.01%〜3%の量で存在することができる。
膜形成腐食防止化合物
ある酸化反応は、影響を受けやすい金属、例えば銅ではあまりに急速に起きうることが
既知である。このため場合によっては、スラリー中に1以上の膜形成剤を有することが有
益である。膜形成剤は1以上の金属に付着する傾向があり、酸化剤および/またはフリー
ラジカルの作用から金属を部分的に保護する。
CMP組成物は、任意の膜形成剤を含んでもよい。膜形成剤は、金属層表面に金属酸化
物の保護層および溶解抑制層の形成を促進できるいかなる化合物または化合物の混合物で
もよい。基板表面層の不動態化は、基板表面のウェットエッチングを防止するために重要
である。有用な膜形成剤は、窒素含有環状化合物、例えばイミダゾール、ベンゾトリアゾ
ール、ベンズイミダゾールおよびベンゾチアゾールおよびヒドロキシ、アミノ、イミノ、
カルボキシ、メルカプト、ニトロおよびアルキル置換基を備えたその誘導体はもちろんの
こと、尿素、チオ尿素およびその他である。好ましい膜形成剤はベンゾトリアゾール(「
BTA」)、チアゾール、およびチオカルバミドを含む。
任意の膜形成剤は、本発明の第一のCMPスラリー中に、約0.01重量パーセント〜
約1.0重量パーセントの範囲の量で存在する。膜形成剤が第一のCMPスラリー中に約
0.01〜約0.2重量パーセントの量で存在することが好ましい。
研磨剤粒子
本発明のCMPスラリーは、本明細書で研磨剤と呼ばれる1以上の微粒子を含むことが
できる。研磨剤粒子は、金属酸化物粒子、樹脂粒子、またはプラスチック粒子でもよく、
好ましくは金属酸化物粒子である。
好ましくは研磨剤は、所望の粒径、硬度、表面積、不活性度、選択性、および調合物中
で懸濁状態を維持する能力の組み合わせを備えるように設計される。一部の用途ではグリ
ットまたは砂が研磨剤として有用でありうるが、半導体、メモリデバイスなどでは、はる
かに小さい粒子が必要である。半導体では、平均サイズが約1〜約4000ナノメートル
の粒子が有用である。金属酸化物またはメタロイド酸化物のBET表面積は、約5〜約1
000m2/gでありうる。一般に、例えば少なくとも粒子の90重量%が粒子の平均表
面積の約20%以内の、好ましくは約10%以内の表面積を持つように、粒子が同様の表
面積を持つことが好ましい。
本開示の恩恵により、研磨剤上の有用な活性化剤の含有量を最大限にすること、または
無機質含有量、微粒子のサイズ、表面積を含む研磨剤特性、ならびに界面活性剤、安定剤
および活性化剤を含む粒子を例えばコロイド形で懸濁させておくための他の化合物を変化
させることは、当業者の能力の範囲内である。
粒径分布は重要である。一般に優れた研磨は、所与の種類の等しい大きさの粒子の溶液
によって達成される。また大型の粒子、すなわち平均粒径の2または3倍を超える粒子は
一般に、基板の大半のスクラッチおよび損傷の原因である。好ましい実施形態において、
粒径分布は、参照によりその内容が本明細書に組み込まれる、米国特許第5,626,7
15号におけるものと同様にすることができる。研磨に使用される研磨剤粒子、例えばア
ルファ酸化アルミニウム粒子またはシリカ粒子またはセリア粒子は、1〜100nmの粒
径を持ち、分布(1シグマ偏差)は、粒径の20%以内、好ましくは10%以内に制御さ
れる。
1つの実施形態において、凝集サイズ分布が約4ミクロン未満であり、平均凝集直径が
約0.2ミクロン〜約1ミクロンである、スラリー中の研磨剤粒子の大半の種類では、粒
子の70重量%超、好ましくは80重量%超が平均サイズの20%以内のサイズを持ち、
粒子の10重量%未満、好ましくは5重量%未満が平均サイズの100%を超えるサイズ
を持つような、限定された粒径分布を持つことが好ましい。さらに本質的に、粒子のいず
れも平均サイズの200%を超えるサイズを持たない、すなわち粒子の0.5重量%未満
、好ましくは0.1重量%未満が平均サイズの200%を超えるサイズを持つ。
別の実施形態において、凝集サイズ分布が約0.5ミクロン未満であり、平均凝集直径
が約0.005ミクロン〜約0.2ミクロンである、スラリー中の研磨剤粒子の大半の種
類では、粒子の50重量%超、好ましくは80重量%超が平均サイズの20%以内のサイ
ズを持ち、粒子の20重量%未満、好ましくは10重量%未満が平均サイズの100%を
超えるサイズを持つような、限定された粒径分布を持つことが好都合である。さらに本質
的に、粒子のいずれも平均サイズの200%を超えるサイズを持たない、すなわち粒子の
5重量%未満、好ましくは1重量%未満が平均サイズの200%を超えるサイズを持つ。
別の実施形態において、粒子は、ゾル−ゲルプロセス、熱水プロセス、プラズマプロセ
ス、ヒュームプロセス、沈殿プロセス、およびその組み合わせから成る群より選択される
プロセスから生成される金属酸化物を含むことができる。
研磨剤の鋭利なエッジがより高速な研磨を与えるが、多くの例において、基板製品の性
能の低下を生じる種類のスクラッチが少ない場合、速度が犠牲となりうる。0.1ミクロ
ンの大きさの粒子でさえ、一部の用途に許容されないスクラッチを与える。したがって一
部の実施形態において、研磨剤は実質的に球状の粒子である。実質的に球状の、とは、ど
の方向の半径も、その粒子の平均半径の約30%以内、好ましくは約20%以内、さらに
なお好ましくは約10%以内であることを意味する。
セラミック粒子も本発明の有用な粒子および研磨剤でありうる。適切なセラミック粒子
は市販されている。セラミック粒径は、1ミクロン超から約0.01ミクロンまでの範囲
であり、好ましいサイズは、約0.01ミクロン〜約0.2ミクロンである。0.01ミ
クロンより小さいサイズは、合理的なコストで作製できる場合、優れた結果を与えること
が期待される。セラミック粉末という用語は、金属酸化物、例えば酸化亜鉛、酸化ビスマ
ス、酸化セリウム、酸化ゲルマニウム、シリカまたは酸化アルミニウム、あるいはその混
合物;金属スルフィド、金属チタナート、金属ボライド、金属ニトリド、金属カーバイド
、金属テルライド、金属アルセニド、金属シリシド、金属セレニド、および金属ハライド
を含み;そして金属チタナート、金属タンタレート、金属ジルコネート、金属シリケート
、金属ゲルミナート、および金属ニオベートなどの混合物質を含むように定義される。金
属酸化物の金属成分は、第IIA族〜第IIB族に見られる元素周期律表のそれらの金属
を含み、ランタンおよびアクチニウム系列も含む。1つの実施形態において、セラミック
粉末は、1以上のドーパントを含有する金属酸化物を含むように定義される。添加される
ドーパントの量は通常、全固体のわずかな重量パーセントであるため、ドーパントの添加
は一般にスリップまたは懸濁液の物理的特性に影響しない。したがって当業者は、多様な
「ドーパント」が使用されることを認識するであろう。「ドーパント」という用語は、セ
ラミック粉末の電気的特性および/または結合特性を調整するために使用される添加剤を
含むように定義されるものとする。本発明において、ドーパントは、1以上の金属化合物
、通例、アルミニウム、アンチモン、ビスマス、ボロン、カルシウム、カドミウム、クロ
ム、銅、コバルト、ハフニウム、鉄、ランタン、鉛、マンガン、モリブデン、ネオジウム
、ニッケル、ニオビウム、プラセオジミウム、サマリウム、スカンジウム、シリコン、銀
、タンタル、チタン、スズ、タングステン、バナジウム、イットリウム、亜鉛およびジル
コニウムから成る群より選択される金属酸化物を含むように定義される。ドーパントは粒
子のゼータ電位を変更して、そのコロイドの安定を変化させるか、および/または活性化
した活性化剤になるよう処理されるか、および/または活性化剤を粒子の選択された部位
に固定するために有用となることができる。1つの有用なセラミック粒子は、約0.1ミ
クロンの平均粒径を持つBT−10(商標、Cabot Corporation)とし
て球状の形態で市販されている、バリウムチタナートである。しばしば、より軽い物質が
望ましい。別の有用なセラミック球は、球状酸化アルミニウム粒子である。これらの粒子
は、実質的に表面積すべてが外部表面積である追加の形状構成を持ち、多孔性が殆どない
。参照によりその開示内容が本明細書に組み込まれる米国特許第6,214,756号お
よび第6,514,894号のセラミック粒子は、本発明のCMP系のための適切な研磨
剤粉末を形成する。好ましいセラミック粉末粒子は、実質的に球状であり、例えば粒子の
約80%超が平均粒径の15%以内であり、粒子の99%超が平均粒径の約30%である
、極めて制御された粒径を持つ。さらにこれらの特許は、ゼータ電位に関連する固有の粒
子内引力を弱める粒子上にコーティングを形成することによって、セラミック粒子の安定
性懸濁物を形成するためのコーティングを教示している。
一般に活性化剤は、粒子の表面積と結合される。最も好ましい実施形態において、活性
化剤は外部表面積および孔のちょうど内部の面積と結合され、それは本明細書で「外部表
面積」と呼ばれる。これを定量化する1つの方法は、外部表面における、および外部表面
に最も近い粒子内の表面積の10%における、孔体積である。この表面に選択した活性化
剤に配置することが容易であるのに加えて、活性化剤およびフリーラジカルを生成する化
合物の相互作用によって生成されたフリーラジカルが、研磨剤から容易に移動し、基板に
接触することができる。したがって一部の実施形態において、活性化剤はこの外部表面積
の一部または全部を被覆する。活性化剤は、研磨剤の外部表面積の約0.01%〜約10
0%と結合して、被覆する。一部の実施形態において、活性化剤は外部エリアの約0.0
1%および約5%を被覆する。
非常に大きい表面積のアルミナ粒子も、液体、フリーラジカルを生成する化合物などに
あまり接触しない表面積を持つ。加えて、一部の内部孔で生成されるフリーラジカルは、
フリーラジカルが粒子孔構造から逃げる前に、存在しなくなる。活性化剤も有利には「内
部」表面積と結合するが、この活性化剤は、重量の点で外部表面と結合する活性化剤より
も有効でないであろう。活性化剤は、研磨剤の表面積約0.01%〜約100%と結合し
、被覆する。
一部の実施形態において、研磨剤は、約1〜約100ナノメートルの範囲の、例えば約
10ナノメートルの粒子を含む。参照してその内容が本明細書に組み込まれる米国特許第
5,128,081号は、1〜100ナノメートルの粒径範囲および非常に狭い粒径分布
を持つ、ナノ結晶性アルファアルミナを含む、本発明による使用に適切な金属酸化物粒子
の粒子を製造する方法について述べる。本特許は、結晶性、偽結晶性およびアモルファス
相を含む、ナノ結晶性またはナノ相物質の調製のための装置を開示する。本特許は、ナノ
結晶性酸化アルミニウムの調製について(非常に薄い酸化物コーティングを持ちやすい)
ナノ結晶性アルミニウム粉末の、平均粒径約18nmを持つ酸化アルミニウムの熱力学的
に安定なアルファ層への変換を生じる処理とともに述べる。本明細書に内容が組み込まれ
る米国特許第4,910,155号で述べた研磨剤は、0.06ミクロンのアルミナ粒子
、平均直径0.02ミクロンのシリカ微粒子、および平均サイズ0.006ミクロンほど
のサイズの微粒子を含めて適切である。
本発明の系の1つの利点は、非常に小さい、例えば1〜10ナノメートルの粒子が使用
可能であり、それでもなお非常に高い除去速度、例えば約1000〜約6000オングス
トローム/分を得ることができる点である。さらに小さい粒子であるためにスクラッチが
実質的に減少する点である。もちろん、さらに攻撃性のある化学現象によってより高い除
去速度も達成することができる。例えば一部の試験は、サブミクロンサイズの粒子で15
000オングストローム/分を超える除去速度を示した。しかし一般に、この除去速度は
高すぎて現在の半導体プロセッシングツールによっては制御が困難であると考えられてい
る。
研磨剤は一般に、研磨剤粒子の形態であり、通例は1つの物質または異なる物質の組み
合わせからなる、多くの研磨剤粒子の形態である。一般に適切な研磨剤粒子は多かれ少な
かれ球状であり、個々の粒径は変化することがあるが、約30〜約170ナノメートル(
nm)の有効径を持つ。凝集または凝塊形成粒子の形態の研磨剤は好ましくはさらに処理
されて、個々の研磨剤粒子を形成する。スラリーは1を超える種類の研磨剤を持つことが
あり、異なる種類の研磨剤は異なるサイズを持つことが好ましい。
適切な金属酸化物研磨剤は、金属酸化物またはメタロイド酸化物あるいは金属酸化物ま
たはメタロイド酸化物の化学混合物でありうる。適切な金属酸化物研磨剤はこれに限定さ
れるわけではないが、アルミナ、セリア、ゲルマニア、シリカ、スピネル、チタニア、タ
ングステン、ジルコニアの酸化物またはニトリド、あるいは1以上の他の無機物または元
素によってドーピングされた上のいずれか、およびその組み合わせを含む。金属酸化物研
磨剤は、ゾル−ゲル、熱水、加水分解、プラズマ、発熱、エアロゲル、ヒューミングおよ
び沈殿技法、およびその組み合わせを含む多様な技法のいずれによって生成してもよい。
沈殿した金属酸化物およびメタロイド酸化物は、金属塩と酸または他の沈殿剤との反応
による既知のプロセスによって得ることができる。発熱性金属酸化物および/またはメタ
ロイド酸化物粒子は、酸素/水素炎中での適切な揮発性出発物質の加水分解によって得ら
れる。例は、四塩化シリコンからの発熱性二酸化シリコンである。酸化アルミニウム、酸
化チタン、酸化ジルコニウム、二酸化シリコン、酸化セリウム、酸化ゲルマニウムおよび
酸化バナジウムの発熱性酸化物ならびにその化学的および物理的混合物が適切である。
研磨剤は混合酸化物でもよい。我々は特定の活性化剤が特定条件下でアルミナによって
よりも、シリカによって密接に支持されることを見出した。混合酸化物の調製方法は、例
えば欧州特許出願第1048617号で述べられている。発熱プロセスにおいて、SiC
l4/AlC13混合物は共に酸素/水素炎の中に入れられ、二酸化シリコンおよび酸化ア
ルミニウム物質の混合酸化物が加水分解ステップで得られ、2つの分子種SiO2および
Al2O3より成る混合酸化物粒子を形成する。そのような混合酸化物粒子はある条件下で
、単一の金属酸化物粒子よりも、結合した活性化剤に対しより大きな親和性(または付着
性)を持つであろう。
アルミナコーティングシリカを含む研磨剤も有用である。
1つの好ましい実施形態において、金属酸化物研磨剤は沈殿またはヒュームド研磨剤で
あり、好ましくはヒュームド研磨剤である。一例として、ヒュームド金属酸化物研磨剤は
、ヒュームドシリカまたはヒュームドアルミナまたはヒュームドシリカ/アルミナである
1つの実施形態において、活性化剤は研磨剤粒子のマトリクス中に包含される。研磨剤
粒子が例えばゾルから沈殿する場合、十分量の活性化剤が研磨剤の表面にあり、活性状態
であるか活性状態にできるという条件で、活性化剤化合物(または元素)が研磨剤粒子に
包含されるように、1以上の活性化剤をゾルに添加することができる。研磨剤が発熱また
はヒュームドプロセスによって作製される場合は、化合物をヒューム(fumed)される物質
に添加することが可能であり、それによって該物質を形成された粒子中に包含させること
ができる。DE−A−196 50 500に述べられているドーピングされた発熱性酸
化物は、活性化剤を研磨剤マトリクス中に包含させることができる。粒子全体に分布した
ドーピング成分は、特定の粒子の構造および特性を、したがって研磨特性、例えば物質の
除去速度および選択性を変化させる。または形成粒子は、活性化剤の塩と混合することが
可能であり、ここで塩を形成粒子の表面に融着してもよい。
研磨剤は一般に、多くの金属および金属の組み合わせに使用されるが、セリア、シリカ
、およびアルミナはそれぞれ、特定の金属の組み合わせに対し、特定の条件で優先的に使
用されることが当分野で既知である。
1つの研磨剤はアルミナであってもよい。ここでアルミナは、アモルファスおよび結晶
性の形態を含む、各種の形態で存在できる。結晶性の形態は、その調製プロセスから命名
された発熱性酸化アルミニウム、および上述の酸化アルミニウムの混合物と同様に、アル
ファ、ガンマ、デルタ、シータおよびカッパ型を含む。アルミナは、相の混合物でもよく
、および/または1以上のアジュバントによってドーピングすることができる。
アルミナは純粋でも、純粋でなくてもよい。例えば、それ自体がアルミナであってもア
ルミナでなくてもよい金属酸化物粒子は、1以上の活性化剤を含有するアルミナによって
コーティングすることが可能であり、ここでアルミナ−活性化剤コーティングは研磨剤の
外部に付着(例えば吸着または吸収)されるか、あるいはアルミナ−活性化剤コーティン
グは研磨剤の外部に融着するか、あるいはアルミナ−活性化剤アルミナ−活性化剤コーテ
ィングは研磨剤の多孔性内に捕捉された微粒子の形態であるか、あるいはアルミナ−活性
化剤コーティングは微粒子の形態であり、研磨剤に融着される、あるいはその組み合わせ
である。
ある実施形態において、活性化剤は研磨剤中にドーピングされる。例えば、そのように
製造された研磨剤が、フリーラジカル生成化合物を含有する液体に接触するのに必要な量
の活性化剤を有し、そうして液体に露出された活性化剤がフリーラジカル形成の所望の増
加を引き起こすように、活性化剤の所望の量が研磨剤マトリクス中に含まれる研磨剤を調
製することが可能である。一般に、別の実施形態において、アルミナマトリクス中に元素
または化合物を含む部位を持つことが好ましく、このことはアルミナが選択された活性化
剤を表面上にコーティング、吸収または吸着することにより密接に保持することを可能に
する。化合物の少なくとも0.01%、好ましくは少なくとも1%がドーピングされた研
磨剤、例えばアルミナは、表面が露出された場合に活性化剤として作用する、または活性
化剤の研磨剤表面への付着を促進するものであることが好ましい。
どのアルミナも有用であるが、約3〜約800、例えば約100〜600平方メートル
/グラムの表面積を持つアルミナは、半導体基板に特に有用である。参照によりその開示
内容が本明細書に組み込まれる、米国特許第5,527,423号のアルミナおよびシリ
カ研磨剤。もちろん、そこに付着した活性化剤を含有するアルミニウム粒子は、その特許
で定義されているように「高純度」にならないが、記載されたアルミナおよびシリカ粒子
は、活性化剤をその上に結合するための良好な研磨剤基板となる。
チタンおよびタングステンでは、0.400ミクロン未満の一次粒径および5〜430
平方メートル/グラムの範囲の、例えば約10〜250平方メートル/グラム、または約
30〜170平方メートル/グラムの表面積を持つアルミナ粒子が好ましい。一般に、例
えば粒子の少なくとも90重量%が粒子の平均表面積の約20%を持つように、粒子が同
様の表面積を持つことが好ましい。
シリカは好ましい研磨剤である。シリカは、多数のOH基を露出するように処理されて
、活性化剤、例えば鉄を多数の結合によって結合または保持することができる。したがっ
て活性化剤はシリカに被着したときには堅牢であるが、あまりに堅く結合するために、鉄
は、フリーラジカルを生成する化合物との反復した相互作用の過程でシリカの表面を離れ
ない。シリカのBET表面積は通例、約5〜約1000m2/gであるが、ナノメートル
サイズの粒子を使用すると上限を広げることができる。シリカは、沈殿シリカ、ヒューム
ドシリカ、シリカヒュームド、発熱シリカ、1以上のアジュバントでドーピングされたシ
リカ、または他のシリカベースの化合物のどれでもよい。別の実施形態において、シリカ
は例えば、ゾル−ゲルプロセス、熱水プロセス、プラズマプロセス、ヒューミングプロセ
ス、沈殿プロセス、およびその組み合わせから成る群より選択されるプロセスによって作
製することができる。1つの実施形態においてシリカは好ましくは、粒径が約2〜約20
0ナノメートル、例えば約8〜約40ナノメートルである。もちろん、これらは平均粒径
直径であり、かつ狭い粒径分布であり、すなわち粒子の99重量%超が平均粒径の約30
%以内であり、好ましくは約15%以内であることが望ましい。
一般に上述の研磨剤は、単独で、あるいは相互に組み合わせて使用できるが、CMPプ
ロセスを望ましくないことに複雑にする組み合わせはどれも好ましくは避けられる。参照
によりその開示内容が組み込まれる米国特許第5,264,010号は、例えばセリア、
ヒュームドシリカ、および沈殿シリカの研磨剤の組み合わせについて述べている。本発明
において、そのような組み合わせは使用可能であり、活性化剤はスラリー中の1以上の研
磨剤のタイプに結合できる。ある種の酸化鉄、水酸化鉄、および窒化鉄研磨剤は、基板を
汚染したり、または酸化剤および/または(所望のラジカル生成なしに)フリーラジカル
を生成する化合物の触媒分解を引き起こす他の研磨剤と同様に、優先的に避けられる。銅
は鉄と同じ効果を持つことができる。
ポリマー粒子
粒子は、ポリマー、樹脂、アイオノマー、またはその組み合わせより部分的にまたは完
全に構成されうる。粒子は、固体ポリマー型粒子でもよい。ポリマー粒子は、変形可能で
あるかまたは比較的硬く、当業者に既知の所望の特性をそれぞれ持つ。上述したように、
プラスチックまたは樹脂研磨剤は、本発明の組成物の適切な成分である。例えば適切なプ
ラスチック研磨剤粒子は、ポリアクリル酸、ポリメチルアクリル酸、ポリビニルアルコー
ル、またはその組み合わせより構成される。さらに一例として、適切な樹脂研磨剤粒子は
、ポリアクリル酸、ポリメチルアクリル酸、ポリメラミン、またはその組み合わせ、また
は、例えばプラスチックイオン交換樹脂などのイオン交換樹脂の粒子で構成される。
ポリマーまたは樹脂研磨剤は、本発明の組成物の適切な成分である。例えば適切なプラ
スチック研磨剤粒子は、ポリアクリル酸、ポリメチルアクリル酸、ポリビニルアルコール
、またはその組み合わせより構成される。さらに一例として、適切な樹脂研磨剤粒子は、
ポリアクリル酸、ポリメチルアクリル酸、ポリメラミン、またはその組み合わせ、または
、例えばプラスチックイオン交換樹脂などのイオン交換樹脂の粒子で構成される。1つの
実施形態は、研磨剤金属酸化物粒子を含浸させた樹脂またはプラスチックを含むコーティ
ング粒子を含む。200〜400ナノメートルの樹脂またはポリマー粒子は、その上にま
たはその表面に約2〜約50ナノメートルのより小さい金属酸化物粒子を埋め込むことが
できる。上記の埋め込まれた粒子は、活性化剤を保持することができる。あるいは樹脂ま
たはポリマー粒子は、実質的に金属酸化物粒子をカプセル化することができる。
参照により本明細書に組み込まれる公開された米国出願US2002/1093451
は、金属と反応する官能基をその上に持つポリマー粒子について述べている。一部の実施
形態において、活性化剤は基板と相互作用することができるが、活性化剤の非常に有力な
効果は、基板と相互作用することではなく、むしろフリーラジカルを形成するために、フ
リーラジカルを生成する化合物と相互作用することである。一部の実施形態において、粒
子は架橋構造を持つことができる。ポリマーは、分子中に2以上の共重合性二重結合を持
つ化合物、例えばジビニルベンゼンが代表的であるジビニル化合物、またはエチレングリ
コールジアクリレート、エチレングリコールジメタクリレート、トリメチロールプロパン
トリアクリレートおよびトリメチロールプロパントリメタクリレートが代表的である多価
アクリレート化合物でもよい。これらの架橋性モノマーは、単独で、または2以上の組み
合わせで使用できる。
選択された樹脂またはプラスチック粒子は、ある系においては研磨剤と見なされないが
、これらの粒子はそれにもかかわらず活性化剤の有効なキャリアであることができる。し
かしながら、ポリマー粒子がその上に結合した遷移金属含有活性化剤を持つ場合、これら
の活性化剤が液体に接触することと、そしてこれらの活性化剤がフリーラジカルを生成す
る化合物を活性化し、所望のフリーラジカルを形成させる形態であることが必須である。
ポリマー粒子は使用中に摩耗するため、フリーラジカルを生成する化合物を含有する液体
に最終的に接触する粒子マトリクス中に活性化剤を持つことも、好ましい。一般に、液体
と接触し、フリーラジカルが基板と接触する場合にフリーラジカル形成を促進する、粒子
の表面と結合した活性化剤原子の単層は、最大活性を与えるであろう。しかしながらポリ
マー粒子は摩耗するため、ポリマー粒子マトリクス内に0.1〜20%の活性化剤を持つ
ことが好ましいであろう。
本発明の1つの実施形態において、金属酸化物粒子は、その孔スペースの少なくとも一
部の中にポリマー、樹脂、アイオノマー、またはその組み合わせを有する。例えばポリマ
ー、樹脂、アイオノマー、またはその組み合わせは実質的に、すなわち約70%超が、例
えば金属酸化物、例えばアルミナ、シリカ、その組み合わせなどの孔体積を満たしてもよ
い。別の実施形態において、ポリマー、樹脂、アイオノマー、またはその組み合わせは、
金属酸化物、例えばアルミナ、シリカ、その組み合わせなどの孔体積の外側の10〜40
%のみを満たす。あるいはポリマー、樹脂、アイオノマー、またはその組み合わせは実質
的に、すなわち約70%超が粒子の表面を被覆する。ポリマー材料は、マトリクス金属酸
化物材料が実質的に完全にカプセル化または包囲される、すなわちコーティング基板であ
るか、または基板の一部がポリマー材料によってコーティングされるようにするものであ
る。本発明の別の実施形態では、ポリマー、樹脂、アイオノマー、またはその組み合わせ
から作製された粒子は、少なくともその表面にポリマー粒径が約25%未満、好ましくは
約10%未満の金属酸化物粒子を含むことがある。これらのいずれの場合も活性化剤は、
ポリマーの(液体への)露出表面上に、1以上の結合金属酸化物粒子、またはその組み合
わせの(液体への)露出表面上にある。
例えば鉄をポリマー、樹脂、アイオノマー、その組み合わせなどにコーティングする方
法は、例えば参照によりその開示内容が組み込まれる米国特許第5,352,517号に
述べられている。ポリマー、樹脂、アイオノマー、その組み合わせなどは、熱可塑性樹脂
材料でも熱硬化性樹脂材料でもよい。熱可塑性樹脂のうち、本発明で有用なのは、ポリオ
レフィン;ポリビニルポリマー;ポリスチレン、エポキシ、フェノール−ホルムアルデヒ
ドポリマー、ポリエステル、ポリビニルエステル、ポリウレタン、メラミン−ホルムアル
デヒドポリマー、尿素−ホルムアルデヒドポリマー、ポリアクリレート、アイオノマー性
ポリマー、およびその混合物である。同様に、参照によりその開示内容が本明細書に組み
込まれる米国特許第4,642,161号は、例えば銅と樹脂を結合して、樹脂に結合し
た銅の表面に銅層を形成する方法を教示している。これらと同様の方法を、研磨パッドに
活性化剤を含ませるために、わずかな改良によって使用することができる。
結合した活性化剤を含む粒子
多種多様の研磨剤および粒子が述べられてきた。別途特に明記しない限り、本明細書で
使用するように、研磨剤という用語はすべての粒子を含むことを意味し、粒子という用語
はすべての研磨剤を含むことを意味する。このリストは網羅的ではないが、我々はまだ、
その表面に結合した活性化剤が、フリーラジカルを形成する少なくとも一部の酸化化合物
、すなわち超酸素(superoxygen)ラジカル、ヒドロキシルラジカルなどによって活性にな
らない研磨剤基板を発見していない。
活性化剤、特に金属含有活性化剤は好ましくは、粒子の表面と結合する。活性化剤が上
に結合する粒子は、金属酸化物粒子、金属窒化物粒子、セラミック粒子、ポリマー粒子、
本明細書で述べた各種の組み合わせのいずれか、および遊離酸素生成物質を含有する液体
と接触する他の粒子でもよい。もちろん、酸素含有フリーラジカルは、これらのフリーラ
ジカルが非常に大きいが非特異性の酸化電位を持つため、最も好適である。
一般に、最も好ましい実施形態において、活性化剤は、粒子の表面積と結合する。本発
明の1つの実施形態により、研磨剤は、活性化剤によって少なくとも部分的にコーティン
グされる。活性化剤は、CMPプロセスの過程において基板表面上で、組成物の酸化剤と
標的物質、特に金属物質との間の化学反応の速度を向上または増進する。理論に縛られる
ことなく、活性化剤は、研磨剤の反応部位における、活性化酸化種、例えば活性化ペルオ
キシラジカルの形成を助けると考えられる。
活性化剤は好ましくは、実質的に組成物に不溶性であり、大半はCMPプロセスの過程
で研磨剤粒子の表面に結合したままである。もちろん、ナノ技術の出現およびポリマー粒
子によって、「可溶性」活性化剤と粒子の表面に結合した活性化剤との相違は不鮮明にな
ることが認識される。このプロセスで1ナノメートル以下のサイズの粒子が想定されてい
るが、一部ではサブナノメートルの粒子を「溶解した」と呼ぶことがある。1つの相違は
、粒子と結合したアプリケータが通例、液体と物理的に分離可能なことである。別の相違
は、金属汚染が問題でないように、活性化剤またはその一部を表面から少なくとも部分的
に隠す分子の群と結合されることである。第三の相違は、活性化剤が単一分子の単なる一
部でないことである。もちろん、粒子と結合しているための基準を満足するには、これら
の条件の全部ではなく、むしろいずれか1つを満たせば十分である。
活性化剤を粒子と結合させることの利点は、1)基板の金属イオン汚染が防止されるこ
と;2)活性化剤イオンが単に、電子を他の酸化剤から基板に移動させる単なるプロモー
タとして作用しないこと;3)フリーラジカルがその位置で形成され、ユースポイントに
非常に近いこと;4)活性化剤含有粒子がすぐに回収および再使用できること;5)液体
が面倒な金属イオン汚染物質を持たないこと;6)スラリーのポットライフが長く、酸化
剤の著しい(10%)損失なしに、数日間にもなりうること;7)活性化剤が粒子のゼー
タ電位を変化させて、コロイド特性を変化させることができること;8)スラリーに接触
する活性化剤の量が高度に制御できることを含む。複数のこれらの条件を満足し、そして
なお活性である活性化剤/粒子組み合わせのいずれかを、「溶解した」ではなく、「粒子
の表面に結合した」と呼ぶことができる。
これらの実施形態の活性化剤は、粒子の表面と結合される。「結合される」という用語
は、活性化剤が、粒子であるならば表面の表面に吸収、吸着、コーティングされるか、何
らかの方法で結合されることを意味する。より好ましくない実施形態において、活性化剤
は粒子または研磨剤あるいは粒子または研磨剤の一部に、部分的にまたは完全にドーピン
グされる。ドーピングされた部分は処理されて、活性化剤を露出させ、それを活性にする
。しかしながら一般に、結晶性または半結晶性マトリクス構造の一部である金属酸化物は
、そのような(半)結晶性構造の表面に配置されているのとは対照的に、フリーラジカル
を生成する化合物ほど容易に酸化状態を変更するのは不可能であり、そしてフリーラジカ
ルを生成する化合物ほど容易に接触させることが不可能であり、その両方は活性化剤にフ
リーラジカルを生成する化合物を活性化させるために必要とされる。
最も好ましい実施形態において、活性化剤は外部表面積および粒子外部に直接開いた孔
のすぐ内側の面積に結合され、その表面積は本明細書では、外側または外部表面積と呼ば
れる。選択した活性化剤をこの表面により容易に配置されることに加えて、活性化剤とフ
リーラジカルを生成する化合物との相互作用により生成されるフリーラジカルは、研磨剤
の外部表面積から容易に移動して、基板に即座に接触することができる。したがって本発
明大半の実施形態において、活性化剤はこの外部表面積の一部または全部と結合する。
「表面積」という用語には多くの意味がある。粒径Dのpi倍にほぼ等しい「外部表面
積」がある。これらの粒子の多孔性が重要でないと考えられるため、これは多くのセラミ
ック粒子の唯一の表面積である。
しかしながら、非常に大きい表面積のアルミナおよびシリカ粒子も液体、フリーラジカ
ル生成化合物などに、あまり接触できない表面積を持つ。加えて一部の孔内で生成された
フリーラジカルは、フリーラジカルが粒子孔構造から逃げる前に、存在できなくなる。一
般に、粒子について説明する場合、表面積は、数ある技法のうちのいずれか、例えばBE
Tまたはガス吸収によって測定される表面積である。これは例えば0.1ミクロンの粒径
を持つ、例えば200平方メートル/グラムの「総表面積」を与える。活性化剤は、この
総表面積の実質的にすべてのまたはごく一部を被覆することができる。
活性化剤は好ましくはこの総表面積と結合されるが、粒子の中心付近の活性化剤は、外
部表面に結合した活性化剤よりも重量の点でより効果的でないであろう。したがって我々
は、Dの約pi倍に残りの表面積の約10%〜約30%、例えば約15%である表面積を
足した値を「接続表面積」として定義する。より大きな、すなわち0.8ミクロン超の粒
子では、このパーセンテージはより小さくなり、そして非常に小さい粒子、すなわち0.
2ミクロン未満の粒子では、このパーセンテージは大きくなる。これは任意の値であり、
外部表面「付近」の孔構造の表面積であるとされ、ここで付近という用語は、任意に選択
される。大きい表面積を持つ大きい粒子、すなわち約100平方メートル/グラムの表面
積を備えた1ミクロンの粒子では、外部表面積は、「接続」表面積と比較して非常に小さ
くなる可能性がある。この内部表面に位置する活性化剤の1つの利点は、活性化剤粒子が
再使用される場合に、研磨剤粒子の外部表面がある程度摩耗したとしても、研磨剤はなお
活性を持つことである。
粒子に対する活性化剤の量は非常に小さいことがあり、総表面積、接続表面積、または
外部表面積のいずれかの例えば0.01%未満〜90%超を被覆する。一般に、通例発熱
性である、フリーラジカルを生成する反応があまりに高速に進行して、均一な平坦化に必
要な制御が失われるために、100%未満の被覆が好ましい。1つの実施形態において、
活性化剤は、研磨剤または粒子または研磨パッドの利用可能な表面積の約0.0001%
〜約100%と結合し、被覆する。
重量ベースでの、粒子に対する活性化剤の量はなお非常に小さいことがある。1〜数百
の原子厚である層は有効であり、より薄い層は、溶液に対し活性化剤を失う傾向が低い。
粒子に対する活性化剤の量は、粒子の約0.0001重量%〜粒子の約60重量%の範囲
である。より大きい粒子において、活性化剤の量は好ましくは、範囲の下端に向かうが、
非常に小さい粒子においては、活性化剤は、活性化剤でかなりの部分を形成することがで
きる。一般に、サイズが約0.4ミクロンのシリカでは、粒子に対する活性化剤の量は、
約0.01%〜約2%、例えば約0.1%〜約1%の範囲となるであろう。
活性化剤の結晶が使用されるこれらの例では、結晶中の活性化剤の量はもちろん100
%に近づくことができる。
粒子を離れて、溶解するようになる金属活性化剤が、基板をめっきするか、または基板
と結合する可能性があるため、電子を酸化剤から基板に移動する単なるプロモータとして
作用する場合があるので、活性化剤の粒子への付着性は重要である。したがって溶解した
金属含有活性化剤は通例、汚染物質である。さらにそれはしばしば酸化剤を分解する。ス
ラリーは、固体と結合した活性化剤または溶解した活性化剤を含む全活性化剤種に対し、
固体(パッド、物質または粒子)と結合した活性化剤をできるだけ多く、すなわち50%
超、好ましくは90%超、さらに好ましくは99%超を持つべきである。例えば調製スラ
リーは、スラリーの重量に対し計算した場合に合計約200ppmの鉄を持つが、1pp
m未満が溶液中にあり、残りは研磨剤に吸収された。
スラリーに溶解した活性化剤を減少させるために各種の方法を使用できる。OH基を得
るための薬剤で金属酸化物、主にシリカを前処理することは有益であろう。活性化剤含有
粒子を各種の分散剤、不動態化剤などで後処理することは、活性化剤の浸出を減少させる
ことができる。
活性化剤層は、各活性化剤の原子がシリカの複数のOH基によって結合されるように、
単層になるように非常に薄く作製することができる。加えてまたは代わりに、それに結合
された活性化剤含有粒子は、所望の活性について活性化剤の十分な量が残っている限り、
多様な酸、酸化剤、場合により塩基、およびキレート剤で浸漬または洗浄して、粒子から
あまり堅く結合していない活性化剤の部分を除去することができる。
活性化剤の活性は、(フリーラジカルを形成し、酸化状態を容易に変化させることがで
きる化合物に活性化剤を利用できる場合に向上する)粒子特性、粒子に対する活性化剤の
量、およびフリーラジカル生成化合物に対して選択された活性化剤の活性、フリーラジカ
ル生成化合物の濃度、およびスラリー中の活性化剤含有粒子の量の関数である。
遷移金属塩および表面、例えば研磨剤を共にスラリーに添加するだけでは、活性化剤を
表面に結合させられないことに注目すべきである。イオン反発および他の力は、スラリー
が表面にうまく吸収または吸着するのを防止する。
スラリー中の粒子のいずれかまたはすべては、それに結合した活性化剤を持つことがで
きる。研磨剤の一部がそれに結合した活性化剤を持ち、研磨剤の一部が活性化剤を含まな
い場合には、同種の研磨剤を使用できる。代わりに、1種類の研磨剤が活性化剤を持ち、
別の種類が活性化剤を含まない場合は、1以上の研磨剤の混合物を使用できる。一部の実
施形態において、活性化剤は、研磨剤よりも小さい粒子上にあってもよい。例えばシリカ
は、活性化剤原子を付着によって維持しながら同時に、特に、活性化剤が酸化状態を変化
させるFenton型プロセスによってフリーラジカル形成を進行させることができるの
で、その表面に結合した活性化剤のキャリアとして、好ましい研磨剤である。しかしなが
ら一部の金属は、別の研磨剤、例えばアルミナまたはセリアによってより優れた研磨を示
すことができる。スラリーは、活性化剤含有シリカがスラリー中で、非活性化剤であるア
ルミナまたはセリアと共に混合された場合に作製することもできる。本開示の恩恵により
、当業者が発明できるであろう組合せは無数にある。
1つの実施形態において、活性化剤がそこに結合した少なくとも約25%の外部面積を
持つ研磨剤金属酸化物粒子は、スラリーの活性化剤含有研磨剤の0.1〜1重量%の量で
使用される。活性化剤を含有しない研磨剤が、スラリー中の重量によるこの純研磨剤の重
量による例として、残り、例えば最大約5%を構成する。このことに関する1つの問題は
、純研磨剤対そこに結合された活性化剤を持つ研磨剤の異なるゼータ電位が、例えばよど
んだスラリー中での粒子の不均一な沈降、したがって比較的短時間の中断の後でさえの、
組成物の勾配を引き起こす可能性がある。粒子はもちろん、当分野で既知のとおりに、溶
液中に残るように処理できる。
しかしながら上述の場合における研磨剤粒子の5.1%〜6%のすべてが上に活性化剤
を持つが、上述の場合で活性化剤の量が粒子に対する25%のコーティングよりもはるか
に少ない、例えば各粒子の表面のわずか5%を被覆する場合、すべての粒子は溶液中と同
じように挙動し、研磨時の短時間中断に関係する問題を持つ傾向は低くなるであろう。同
時に、スラリー中での活性化剤の活性レベルも保つことができる。
粒子のすべてまたは一部はポリマー性であってもよい。
粒子と結合した添加剤
ポリマー、例えばアイオノマー、ポリカルボン酸、脂肪族アミンなどは、金属酸化物研
磨剤上に、例えばアルミニウムおよび/またはシリカ上に処理することができる。
一部の実施形態において、その表面に結合した活性化剤含有研磨剤は、ポリマー材料に
よってカプセル化されることが可能であるか、または実質的に表面に結合した化合物によ
ってカプセル化されることが可能である。そのような物質は、活性化剤が液体に接触でき
るように、除去可能であるか、または研磨時に無効とするべきである。プラスチック/ポ
リマー/アイオノマーの量は、粒子の多孔性の約5%〜約20%を充填するほどの少量で
もよい。
1%超のコーティングで活性化剤を含む研磨剤は好ましい。
代わりに、研磨剤粒子に添加される他の金属および化合物が有用である。部分的にのみ
活性化剤であるコーティングは、残りが不活性な、または実質的に不活性な金属であり(
すなわち活性化剤でないプロモータ、例えばスズ化合物)、コーティングに有用であるが
、活性化剤の活性化部位を隔設することがある。
研磨剤表面に結合したシランは、有用であり、研磨剤、すなわちシリカの表面を変化さ
せる。
スラリー
本発明の1つの実施形態において、CMP系は、フリーラジカル生成化合物およびスラ
リー内に懸濁された粒子、すなわち研磨剤の表面に結合された有用な活性化剤を持つ研磨
剤を持つスラリーを含み、結合された活性化剤は液体によって接触可能である。
一般に、この説明を通して、組成物ともいうスラリーの成分の言及はどれも、少なくと
も1つのそのような成分、例えば1つのそのような成分または複数のそのような成分を指
す。さらに組成物成分のどの量も、組成物に対する重量パーセント(wt.%)として与
えられる。加えて成分のどの量も、おおよその量、例えば示された正確な数値量を超える
または、それより少ない、あるいはそれと等しい量として与えられる。おおよその量に関
するこの決まりは、組成物に関連して本明細書で示されるどの数値測定、例えば組成物に
ついて示された数値pHレベルまたは組成物を利用するCMPプロセスについて示された
数値プロセスパラメータに適用される。上述の決まりは、別途規定、明示または暗示しな
い限り、本明細書を通じて適用する。
組成物は一般に、本明細書でさらに述べられるように、少なくとも1の酸化剤および活
性化剤によって少なくとも部分的にコーティングされる少なくとも1の研磨剤を含む。通
例、研磨剤成分は、活性化剤にコーティングされた一部の研磨剤(本明細書では場合によ
り「コーティング活性化剤」と呼ぶ)および活性化剤によってコーティングされていない
一部の研磨剤(本明細書では場合により「通常研磨剤」と呼ぶ)を含むが、前者のみが存
在する必要がある。例えば研磨剤は、コーティング研磨剤に対する通常研磨剤が、約1対
約9の比で含む。組成物の各成分、ならびに組成物に対するおおよその重量パーセント(
wt.%)での、代表的な好ましい、およびさらに好ましい量を、以下の表1に与える。
Figure 0005109000
組成物は酸化剤成分に加えて、活性化剤によって少なくとも部分的にコーティングされ
た研磨剤も含む。研磨剤は、基板表面上の標的物質の機械的除去に有効である。活性化剤
コーティング研磨剤の適切な量、例えば組成物に対して約0.01〜約20重量パーセン
トの好ましい範囲を、上の表に示す。適切な通常研磨剤の適切な量も、ある場合は表1に
示す。
約1.5〜約9のpH、さらに好ましくは2〜8のpHで活性化剤を使用することが好
ましい。Fenton反応型のフリーラジカル形成は、歴史的に約3〜6のpHに限定さ
れており、これは本発明での大半の活性化剤/フリーラジカルを生成する化合物の組み合
わせで好ましいpH範囲である。しかしながら活性化剤の表面、特に金属酸化物(水酸化
物)表面への結合は、Fenton反応が驚くべきことに塩基性pH範囲(7以上)内に
拡張されるpHを可能にした。使用される物質により、高すぎるpHは、大半の活性化剤
/フリーラジカルを生成する化合物の組み合わせの有効性を低下させる。この理由で、好
都合には弱い緩衝液をスラリー中に含ませることができる。有機酸およびその塩、無機酸
および塩、あるいはその混合物または組み合わせを含めて、どの緩衝液も作用するであろ
う。複数の有機酸はフリーラジカル失活剤であり、このことは活性化剤活性を決定するた
めに考慮すべきである。
ユーザは、研磨が行われる条件に注意する必要がある。フリーラジカル形成はしばしば
温度依存性であり、最適結果は約40〜約60℃でしばしば見いだされる。
研磨剤粒子または他の粒子のすべてを活性化剤によってコーティングする必要はない。
より少ない活性化剤がより好ましい。スラリー中の活性化剤の量は、スラリー中の金属
イオンの重量として表すと、約5〜5000ppm総活性化剤、好ましくは約10〜約1
000ppm総活性化剤、さらに好ましくは約20〜約200ppm総活性化剤であって
もよい。約5ppm〜約40ppm、例えば約10〜約30ppmの、少量の活性化剤が
有効であることが見出された。
過酸化水素と用いる鉄活性化剤では、活性化剤である鉄の量は好ましくはスラリー中0
.008重量%未満である。アンモニウムペルサルフェートと用いる鉄活性化剤では、活
性化剤である鉄の量は好ましくはスラリー中0.08%未満である。
研磨パッド
参考例では、活性化剤は、例えば研磨パッドに結合させることができる。研磨パッドは、参照によりその開示内容が本明細書に組み込まれる、例えば米国特許第6,435,947号および第6,383,065号で述べられている。研磨パッドは一般に、ポリマー材料からなる。本発明の研磨パッドは、円形またはベルト状または振動性の、どの研磨パッドでもよいが、パッドは実質的に結合し、液体中で不溶性である活性化剤を含む。1つの実施形態において、活性化剤はポリマー表面に結合される。代わりにまたは加えて、活性化剤は、ポリマー材料表面の粒子、例えば研磨剤に結合していてもよい。もちろん、ポリマー粒子と同様に、パッドは摩耗する。したがって、パッドが摩耗するときに、実質的に一定の活性化剤の「活性」、すなわちフリーラジカルの生成が維持できるように、パッドのマトリクス中に活性化剤を含ませることが好ましい。
研磨パッドは一般に、多孔性ポリウレタンである。研磨剤粒子の研磨パッドへの取り込
むについては、参照によりその開示内容が本明細書に組み込まれる、いくつかの米国特許
第5,849,051号および第5,849,052号に開示されている。加えて米国特
許第5,948,697号に述べられているように、固体金属物質は研磨パッド中に取り
込まれ、該物質は半導体への電気バイアスの印加時に、半導体研磨を増進する。集積回路
に結合された金属形状構成を化学機械的に研磨する、酸化剤と併用した、研磨パッド基板
および複数の酸化状態を持つ金属含有可溶性触媒(酸化剤)を含む研磨パッドは、参照に
よりその開示内容が本明細書に組み込まれる、米国特許第6,383,065号に述べら
れている。
研磨パッド基板は、CMPに有用であるどの種類の研磨パッド基板であってもよく、例
えばSUBA IVのハードパッドIC1000(商標、Rodel)が挙げられる。例
えばCMPなどの研磨用途に利用できる代表的な研磨パッド基板は、軟質および/または
硬質材料の両方を使用して製造され、少なくとも4つの群:(1)ポリマー含浸ファブリ
ック;(2)微小孔性フィルム;(3)細胞状ポリマーフォームおよび(4)多孔性焼結
基板に分類される。例えば、ポリエステル不織ファブリックに含浸されたポリウレタン樹
脂を含有するパッド基板は、第一の群の例である。第二の群の研磨パッド基板は、しばし
ば第一の群の含浸ファブリックである基材にコーティングされた微小孔性ウレタン膜より
成る。これらの多孔性膜は、一連の垂直配向された閉鎖端円筒状孔で構成される。第三の
群の研磨パッド基板は、三次元全体にランダムおよび均一に分布したバルク多孔性を持つ
独立気泡ポリマーフォームである。第四の群の研磨パッド基板は、合成樹脂の焼結粒子を
持つ、開放気泡の多孔性基板である。本発明で有用な研磨パッド基板の代表例は、参照に
よりそれぞれの開示内容が本明細書に組み込まれる、米国特許第4,728,552号、
第4,841,680号、第4,927,432号、第4,954,141号、第5,0
20,283号、第5,197,999号、第5,212,910号、第5,297,3
64号、第5,394,655号、第5,489,233号および第6,062,968
号に記載されている。
別の実施形態において、活性化剤はパッド内に含有される研磨剤粒子と結合する。例え
ば非常に小型の、例えば1〜10ナノメートルのサイズの粒子を、研磨パッドの構造に埋
め込むことができる。ナノ技術の出現により、ほぼ同じサイズのサブナノメートルの粒子
を製造することも可能である。これらの研磨剤は、スラリー中で研磨剤として機能するこ
とが可能であり、その上に活性化剤を結合させることができる。
本発明で使用される研磨パッド基板は、上述の基板のうちのどれでもよい。加えて研磨
パッド基板は、ポリマー以外の材料、例えばセルロースファブリックまたは化学機械研磨
に有用であることが当分野で既知である他の材料から作製してもよい。重要なのは、活性
化剤含有研磨パッドを形成するために、選択した研磨基板が少なくとも1の活性化剤と結
合できなければならないことである。
本発明のさらなる形状構成、側面および利点は、以下に述べる好ましい実施形態ならび
に各種の方法および実施例の説明から明らかになるであろう。
方法
本発明の組成物および系は、基板の化学機械研磨(CMP)において効果的に利用され
る。
代表的な化学機械研磨プロセスにおいて、基板は回転研磨パッドと直接接触するように
配置される。キャリアは、基板の裏側に圧力を印加する。研磨プロセスの間、基板の裏側
に対する下向きの力が維持されながら、パッドおよびテーブルが回転する。一般に「スラ
リー」と呼ばれる、研磨性および化学反応性溶液が研磨の間パッドに被着される。本発明
の選択された組成物を用いると、研磨剤なしの研磨も可能である。スラリーは、研磨され
る膜と化学反応することによって、研磨プロセスを開始する。研磨プロセスは、スラリー
がウェハ/パッド界面に供給されるときに、基板に対するパッドの回転運動によって促進
される。研磨は、絶縁体上の所望の膜が除去されるまで、この方法で継続される。
その基本構成要素において、少なくとも1の金属層を含む基板を研磨するための方法は

(a)本発明のCMP液体であって、フリーラジカル生成化合物を含有する液体を混合
するステップと;
(b)液体中にフリーラジカルを形成するために、液体を活性化剤と接触させるステッ
プと;
(c)フリーラジカル含有液体を基板に接触させるステップと;
(d)基板にフリーラジカル含有液体を接触させている基板を機械的に研磨して、それ
によって、少なくとも基板から金属層の少なくとも一部を除去するステップと;
を含む。
スラリー組成物は、CMPステップにおいて重要な要素である。酸化剤、研磨剤、およ
び他の有用な添加剤の選択によって、研磨スラリーは、表面不完全性、欠陥、腐食、およ
び侵食を最小限にしながら、金属層に対する有効な研磨を所望の研磨速度で提供するよう
に調整することができる。さらに研磨スラリーは、現在の集積回路技術で使用される他の
薄膜材料、例えばチタン、チタンニトリドなどに制御された研磨選択性を与えることがで
きる。
本発明の組成物は、CMPプロセスのCMPスラリーで通常見られる濃度を用いて、非
常に望ましい物質速度、例えば最大15,000オングストローム(A)/分を与える。
一般に約4000〜約8000A/mの速度は、より優れた制御のために好ましい。ある
用途、例えば非常に薄い膜のCMP、例えば厚さ3000Aの銅膜に適切なレベルに低下
させるために、組成物またはCMPプロセスを調整することが望ましい。銅では、好まし
いスラリーはpH6〜7、例えば約pH6.7で、1%〜7%、例えば3%〜5%のヒド
ロキシルアミンを持つ。
この組成物は、従来のCMPプロセスはもちろんのこと、比較的低いキャリア圧を持つ
CMPプロセスで使用される場合にも有効である。この組成物を用いて研磨した基板は、
比較的低いウェハ内不均一性パーセンテージに反映されるように、良好な均一性を示す。
例えば本明細書で提供する1つの例において、研磨基板のウェハ内不均一性は、約4.5
7パーセントであった。
使用する活性化剤が多すぎると、CMPプロセスの制御を損なうことがあるため、使用
した活性化剤コーティング研磨剤の量に関して注意すべきである。活性化剤コーティング
研磨剤の量は一般に、組成物の50重量パーセントを超えない。活性化剤の濃度が懸念さ
れる場合、組成物中の活性化剤を希釈し、CMPプロセスの制御を促進するために、活性
化剤コーティングのない通常の研磨剤の量を増加させる方法が使用できる。
任意に、結合した活性化剤を有する粒子は、研磨後にCMPスラリーから回収され、再使用される。活性化剤はこのプロセスで消耗されない。活性化剤をその上に持つ粒子を分離する簡単な手段は、少量の使用済み液体を含有してもよく、または含有しなくてもよく、例えば濾過、遠心分離などによってスラリーから回収することができる。分離を促進するのにスラリーを不安定化するために、各種の添加剤、例えば塩を添加することができるが、そのような物質は続いて、再使用する前に例えば希釈無機酸によって洗浄すべきである。
このような系は、例えば粉砕によって失われた粒子を補充するために、活性化剤コーテ
ィング粒子の量が追加されるであろう。リサイクルされた活性化剤コーティング粒子の少
量は、CMPスラリー中の活性化剤コーティング粒子の量を一定に維持するために廃棄し
てもよい。
活性化剤コーティング粒子がスラリー中で、非活性化剤コーティング研磨剤と異なるゼ
ータ電位を持つ場合、分離は、スラリーを部分的に不安定化し、上に結合した活性化剤を
持つ粒子を回収することによって行われる。
別の実施形態において、スラリーの温度は、約30〜60℃の平均温度に制御されるが
、温度変化は約3℃未満である。フリーラジカル形成は非常に温度依存性であり、エッチ
ング速度は、例えば温度を変更することによって変えることができる。
一部の実施形態において、初期研磨中は増加したフリーラジカルを、そして研磨のより
後の段階ではより少ないフリーラジカルを与えるプロフィールに従って、CMPプロセス
中に温度を変更することができる。同様にフリーラジカル形成の量は、溶液のpHを変更
することによって変えられる。本開示の恩恵により、他の組み合わせも当業者によって考
案されるであろう。
加えて例えば米国特許第6,030,425号で述べられているように、磁気および電
場ポテンシャルが有用であるが、それらはCMP装置を過度に複雑化するため好ましくな
い。
上述したように組成物の研磨剤物質は、活性化剤によって少なくとも部分的にコーティ
ングされる。本明細書で使用するように「コーティング」およびその各種の言語的または
文法的形または同等物は一般に、いずれかの適切な手段または方法によって、例えば研磨
剤の少なくとも一部の上に活性化剤物質の少なくとも部分的な層を形成すること、研磨剤
の少なくとも一部に活性化剤物質を吸収または吸着させること、活性化剤物質と研磨剤の
少なくとも一部との間に付着を形成することなどによって、研磨剤と活性化剤との物理的
接続を形成することを指す。
一例として、酢酸鉄によってコーティングされたシリカゾルを生成する方法は、この参
照によりその全体の内容が本明細書に組み込まれる、Payneの米国特許第4,478
,742号に与えられている。同様にシリカの核に金属を配置する方法を述べた米国特許
第3,007,878号、第3,139,406号および第3,252,917号もまた
、参照により本明細書に組み込まれる。活性化剤は、約0.001%〜約100%、例え
ば約5〜約100パーセントの研磨剤粒子の表面、例えば約5〜約80パーセントの粒子
表面、または好ましくは約25〜約50パーセントの粒子表面をコーティングしてもよい
1つの実施形態において、活性化剤は実質的にすべての外側表面またはすべての接続表
面に配置され、次に、所望のコーティング、例えば約1%〜25%のコーティングされた
表面積を得るために、活性化剤は例えば加熱した酸、酸化剤、および/またはキレート剤
中で洗浄することによって除去される。残りの活性化剤は、表面に非常に粘り強く結合す
るため、溶液への浸出による活性化剤の損失が低減される。
本発明のCMP組成物またはスラリーは、従来の技法を用いて調製できる。通例、水、
添加剤、および研磨剤成分が混合され、次に活性化剤コーティング研磨剤が添加され、次
に酸化剤が添加され、そしてpHが調整される。
代わりに本発明の1つの側面によれば、活性化剤コーティング研磨剤を既存のCMP組
成物、例えば酸化剤を含有する市販のCMP組成物などに添加できる。例えば活性化剤コ
ーティング研磨剤は、前に調合されたペルオキシド組成物に添加することにより本発明の
CMP組成物を供給することができる。
一部のCMPプロセス、特に高度化研磨プロセスの一部において、組成物は、使用時に
おける組成物の再混合の直前に、各組成物成分の量をリアルタイムで調整することによっ
て調製できる。大半のCMPプロセスでは、調製した組成物は使用時に再混合され、研磨
パッド上に注入される。通例、組成物はパッドを移動または回転させながらパッド上に注
入される。CMPプロセスが進行するにつれ、要望または必要に応じて、追加のスラリー
が添加されか、または過剰なスラリーが除去される。
本発明による組成物の実施例が以下に与えられる。研磨剤は、市販の研磨剤シリカ粒子
の水溶液である、Mirasol 3070(商標)、以下Mirasolを使用した。
Mirasolは、ジョージア州カーターズビルのPrecision Colloid
s、LLCから市販され、一般に約70ナノメートルの有効径を持つ、約30重量パーセ
ントのシリカ(SiO2)粒子を含有する。活性化剤でコーティングされたMiraso
l 3070には、上述のMirasolを、例えば、シリカ粒子の表面の少なくとも一
部、すなわち各シリカ粒子の表面積の約70%が酢酸鉄活性化剤でコーティング/吸収さ
れたMirasoleが含まれる。Mirasolが、活性化剤としてすなわちカチオン
性鉄を持つ場合は、以下、Mirasol/Fe−Acであり、または銅が活性化剤とし
て与えられる場合は、以下、Mirasol/Cu−Acである。一般にフリーラジカル
を形成する化合物は、過酸化水素(H2O2)、ペルサルフェート、および/または過酢
酸を含む。別途規定しない限り、水がスラリーの残りを形成する。
第一の実施例は、どちらもpH2において、特にCMPのウェハ、例えばタングステン
の層または形状構成をその表面に持つシリコンウェハのCMPに適した、2つのCMP組
成物、実施例Aおよび実施例Bに関する。2つの組成物の成分およびそのおおよその量を
、組成物のおおよそのpHと同様に、表2に示すとおりである。
Figure 0005109000
実施例Aにおいて、過酸化水素を酸化剤として用い、Mirasol 3070ならび
にシリカ粒子の表面の少なくとも一部に吸収されたカチオン性鉄活性化剤を含むMira
sol 3070をそれぞれ、研磨剤および活性化剤コーティング研磨剤として用い、脱
イオン水が組成物の残りを構成した。実施例Bは、過酸化水素ではなく、過酢酸(CH3
COOOH)を酸化剤として用いた点で、組成物Aと異なっていた。実施例Aおよび実施
例Bの両方で、Mirasol 3070成分は、組成物のpHの決定を主に担うと考え
られた。
実施例AおよびBはそれぞれ、厚さ約8000オングストローム(A)のタングステン
膜を少なくとも部分的に積層したシリコン基板に対して実施される従来のCMPプロセス
で使用した。両方のプロセスパラメータは、約6ポンド/平方インチ(psi)のキャリ
ア圧、約90回転/分(rpm)のキャリア速度、約90rpmのプラテン速度、および
使用されたCMP組成物の流速約175ミリリットル/分(ml/分)を含んでいた。プ
ロセスは、どのCMP組成物を使用したかという点でのみ異なっていた。各CMPプロセ
スの結果は、オングストローム/分(A/mm)でのおおよその物質(タングステン)除
去速度、および、おおよそのウェハ内不均一性パーセンテージ(%WIWNU)を表3に
示す。
Figure 0005109000
前に挙げたように、CMPプロセス、特に最新かつ高度のCMPプロセスにおいて、許
容されるまたは最適な、例えば過度に高くないキャリア圧を用いると同時に、許容される
または最適な、例えば上昇した物質除去速度を得ることが望ましい。タングステン積層ウ
ェハのCMPにおいて、良好なキャリア圧は約9psi以下、例えば約6psiであり、
約6psi圧における良好な成果は、約5000A/mm超の除去速度である。さらに、
約3〜約12%WLWNUパーセントの均一性値を持つ研磨ウェハを得ることが良好な結
果と見なされる。上述の実施例のプロセスパラメータ、成果および結果は多くの場合望ま
しいので、本明細書では他の適切な成果および結果が検討される。
実施例Aおよび実施例Bによって実施されたCMPプロセスにおいて、それぞれ約50
40および5077A/mmの所望のタングステン除去速度が得られた。加えて研磨ウェ
ハの表面は実質的に均一であり、それぞれ10.9および7.42%WIWNUを持つ。
実施例Bは一般に実施例Aよりも好ましく、より高い除去速度およびより良好な均一性値
(より低い%WIWNU)を与える。高い除去速度を提供する組成物に対する一般的な優
先傾向があるが、他の要素、例えば良好な均一性値(例えば低い%WIWNU)、酸化剤
の有効利用、および良好な保管および取扱い特性も、本発明の組成物の評価における重要
な考慮事項であることに注目すべきである。
本発明の組成物の第二の実施例は、その表面に銅の層または形状構成を持つシリコンウ
ェハのCMPに使用された2つのCMP組成物、実施例Cおよび実施例Dに関する。本実
施例において、銅層は約15,000Aの厚さを持つ。1つの酸化剤はヒドロキシルアミ
ン(HDA(登録商標),EKC Technology,Inc.)であった。2つの
組成物の成分およびそのおおよその量は、組成物のおおよそのpHと同様に表4に示す。
Figure 0005109000
2つの組成物もpHの点で異なり、組成物Cは約2のpHを持ち、組成物Dは約6.7
のpHを持っていた。
実施例CおよびDはそれぞれ、少なくとも部分的に銅を積層したシリコンウェハに対し
て実施した従来のCMPプロセスで使用した。実施例Cを使用した場合、プロセスパラメ
ータは、約4psiのキャリア圧、約40rpmのキャリア速度、約40rpmのプラテ
ン速度、および約100ml/分の実施例Cの流速を含んでいた。実施例Dを使用した場
合、プロセスパラメータは、約4psiのキャリア圧、約75rpmのキャリア速度、約
75rpmのプラテン速度、および約175ml/mmの実施例Dの流速を含んでいた。
各CMPプロセスのパラメータを表5に示し、その結果をおおよその物質(銅)除去速度
および、おおよそのウェハ内不均一性パーセンテージに関して表6に示す。
Figure 0005109000
前に挙げたように、CMPプロセス、特に最新かつ高度のCMPプロセスにおいて、許
容されるまたは最適な、例えば過度に高くないキャリア圧を用いると同時に、許容される
または最適な、例えば上昇した物質除去速度を得ることが望ましい。銅積層ウェハのCM
Pにおいて、良好なキャリア圧は約9psi以下、例えば約4psiであり、約4psi
圧における良好な成果は、約7500A/mm超の除去速度である。上述の実施例のプロ
セスパラメータ、成果および結果は多くの場合望ましいので、本明細書では他の適切な成
果および結果が検討される。
実施例Cを用いて実施したCMPプロセスにおいて、銅すべてが除去されるような、異
常に高い銅除去速度が得られた。この結果は、均一性値の測定を妨げた。実施例Dを用い
て実施したCMPプロセスにおいて、所望の銅除去速度が得られた。加えて、実施例Dを
用いて研磨したウェハの表面は実質的に均一であった。実施例Dはそれゆえ、所望の本発
明の組成物である。
わずか1.5%の過酢酸を含む実施例Cも、有用な本発明の組成物であるが、一部の用
途、例えば基板上の非常に薄い銅層の研磨には、除去速度の点でやや攻撃的すぎるかもし
れない。したがって一部の用途では、実施例Cを用いたCMPプロセスは、組成物を希釈
すること、組成物の活性化剤コーティング研磨剤および/または酸化剤成分を希釈するこ
と、組成物の流速を変化させることなどによって変更してもよい。このことは、1%をは
るかに下回る酸化剤濃度が有用であることを示唆している。他方、濃度が低くなりすぎる
と、特にスラリーが安定でない場合に、わずかな中断により不均一性の問題を引き起こす
ことがある。一般にフリーラジカルを生成する化合物、ここでは過酢酸を、0.5%を超
えた濃度に維持することが好ましい。
第三の実施例は、本発明の2つのCMP組成物、上の第一の実施例による実施例B、お
よび実施例Eに関し、そのそれぞれは厚さ約8000Aのタングステン層をその表面に持
つ、シリコンウェハのCMPに使用された。実施例Bは、同様の比較実施例、実施例1と
比較し、および実施例Eは同様の比較実施例、実施例2と比較した。比較実施例1および
2のどちらも、活性化剤コーティング研磨剤を含有していなかった。4つの組成物すべて
のpHは、約2であった。4つの組成物の成分およびそのおおよその量を以下の表7に示
す。
実施例Eおよび比較実施例2はエチレングリコールを含有し、その目的は除去速度を上
昇させることであった。
Figure 0005109000
4つの各組成物は、上述した第一の実施例および表3と同じプロセスパラメータをもつ
従来のCMPプロセスで使用した。各比較実施例1および2は、試験Aおよび試験Bそれ
ぞれで2回試験した。各CMPプロセスの結果は、おおよその物質(タングステン)除去
速度(A/mm)および、おおよその%WIWNUに関して、表8に示す。
Figure 0005109000
タングステン除去速度に関して、実施例Bは、比較実施例1よりも200パーセント超
(最大約229%)優れ、実施例Eは比較実施例2よりも280パーセント超(最大約2
88%)優れていた。実施例Bおよび実施例EのCMP性能は、表面均一性の緩やかな低
下を考慮したとしても目覚しい。これらの結果は、本発明の組成物中の強力な成分でない
としても、活性化剤コーティング研磨剤が有効であることを実証している。
活性化剤コーティング研磨剤による不均一性のわずかな上昇は一部は、高度にコーティ
ングされた研磨剤(活性化剤による約70%の外部表面コーティング)と活性化剤を含ま
ない、より大量の研磨剤との混合物の使用による。活性化剤を含む均質な研磨剤は、活性
化剤が表面積に小さいパーセンテージで存在し、かつ、例えば凝集物の間隙を優先的に実
質的に均一に配置されている場合、不均一性が低下すると考えられている。
実施例Fは、銅活性化剤を含む0.1%のMirasol、5%の過酢酸、および5%
Mirasolを持つ組成物を使用した。この組成物は、活性化剤を含まない同様の組成
物に対し優れたCMPエッチング速度を示した。
実施例Gは、酢酸マンガン活性化剤を含む0.2%のMirasol、5%の過酢酸、
および5%Mirasolを持つ組成物を使用した。この組成物は、活性化剤を含まない
同様の組成物に対し優れたCMPエッチング速度を示した。
実施例Hは、酢酸マンガン活性化剤を含む0.5%のMirasol、3%の過酸化水
素、および5%Mirasolを持つ組成物を使用した。この組成物は、タングステン(
246オングストローム/分)、TEOS(778オングストローム/分)、およびチタ
ン(>2200オングストローム/分)用の活性化剤を含まない同様の組成物よりも優れ
たCMPエッチング速度を示した。マンガンは、鉄または銅よりも効果的でない活性化剤
であるが、有用でありうる。
実施例Iは、酢酸鉄活性化剤を含む0.1%のMirasol、3%0.1%の過酢酸
、および5%のMirasolを持つ組成物を使用した。この組成物は、異なる処理条件
にて2200〜4700オングストローム/分の範囲の、銅用の活性化剤を含まない同様
の組成物よりも優れたCMPエッチング速度を示したが、これらの試験で見られた最良の
不均一性は13.7%であった。マンガンは、鉄または銅よりも効果的でない活性化剤で
あるが、有用でありうる。
実施例Jは、酢酸マンガン活性化剤を含む0.5%Mirasolを、5%の過酸化水
素、および5%Mirasolを持つ組成物を使用した。この組成物は、銅用の、270
〜380オングストローム/分のエッチング速度を持つ、活性化剤を含まない同様の組成
物よりも、優れたCMPエッチング速度、約2380オングストローム/分を示した。さ
らに活性化剤なしで研磨されたこれらのウェハは、8.8〜11.9%の不均一性を示す
、本発明のスラリーを用いて研磨したウェハの約3倍の不均一性を有した。
実施例Jは、pH2において、5%の過酢酸、2.5%のMirasol、および酢酸
鉄を含む0.5%のMirasolを持つスラリー中の混合酸化剤を使用した。タングス
テンのエッチング速度は、4300オングストローム/分であり、不均一性パーセントは
非常に低く2.7%〜5.6%であった。
スラリー安定性
次の実施例は、スラリー安定性を示す。この有効な活性化剤コーティング研磨剤成分は
、実質的にではないが比較的安定であるときに、市販の設定で最適に機能する。スラリー
安定性は、CMPプロセスの制御を促進するため、組成物における所望の特性である。そ
れゆえ、同様の化学組成物の可溶性プロモータの相対安定性と比較した、本発明の組成物
で使用された活性化剤コーティング研磨剤の相対安定性を判断するために試験を実施し、
2つの他の組成物は酸化剤の存在下で実施した。
表面、例えば研磨剤に結合している活性化剤は、可溶性プロモータである同様の成分と
は異なって機能する。また、研磨剤を含有する溶液中に金属塩を添加するだけでは、活性
化剤を研磨剤に結合させない。
これらのスラリー安定性試験においては、活性化剤コーティング研磨剤はMiraso
l/Fe−Acであり、および酸化剤はヒドロキシルアミン(「HDA」)の形態であり
、そして約7のpHを有していた。第一の「遊離プロモータ」組成物は、シリカ粒子の形
態の通常の研磨剤、硝酸鉄の形態の可溶性プロモータ、およびHDAの形態の酸化剤で構
成され、約7のpHを有していた。第二の「遊離プロモータ」組成物は、研磨剤成分を除
く、第一の「遊離プロモータ」組成物の成分すべてで構成された。
3つの試験組成物は、以下に示すように調製した。活性化剤コーティング研磨剤調製物
は、適量の活性化剤コーティング研磨剤を水50ミリリットルに添加することによって得
られたのに対して、第一の「遊離プロモータ」調製物は、シリカ粒子を水50mlに添加
し、次にスラリー中に同一の鉄含有量を与えるために、適量の硝酸鉄を水−研磨剤混合物
に添加することによって得られた。第一の「遊離プロモータ」調製物中の研磨剤の量は、
「コーティング活性化剤」調製物で使用される活性化剤コーティング研磨剤の量と同じで
あった。水50mlに溶解された、硝酸鉄のみを含有する第二の「遊離プロモータ」調製
物(すなわち研磨剤なし)も調製した。
3つの試験組成物を得るために、50%HDAの同じ指定量をこれらの調製物それぞれ
に添加した。6を超えるpHにおいて、HDAは良好な還元剤であり、その安定性は溶液
中の微量金属に極めて影響されやすい。HDAは多くの可溶性遷移金属イオンプロモータ
、例えばコバルト、銅および鉄イオンと容易に反応して、少なくとも1の酸化レベルによ
る金属イオンの還元、およびHDAの濃度に応じた窒素ガス、アンモニア(NH3)、水
、およびおそらく熱を含む副生成物の形成を引き起こす。高レベルの反応性、または非常
に高い反応速度は、相対不安定性の表れである。
「活性化剤コーティング研磨剤」組成物を得るためにHDA成分を添加する場合、ごく
わずかな色変化、ごくわずかなガス放出、およびごくわずかな沈殿が見られるか、または
ガス放出および沈殿は見られなかった。シリカ研磨剤を含有する第一の「遊離プロモータ
」組成物が形成されたとき、即時の色変化(明橙色から褐色)、実質的なガス放出および
沈殿が見られた。研磨剤を含有しない第二の「遊離プロモータ」組成物が形成されたとき
に、第一の「遊離プロモータ」組成物と比較して、さらに即時の色変化(明橙色から非常
に暗い褐色)および同様のガス放出が見られた。
「活性化剤コーティング研磨剤」組成物は、試験を行った2つの比較的不安定性の「遊
離プロモータ」組成物よりも明らかにより安定性である。スラリーは使用に適さないまま
であり、すなわち24時間後には、新しく調製した調合物のCMP速度の少なくとも約半
分の、タングステンおよびTEOSに対するCMP速度を持っていた。
本発明の組成物は、例えば硝酸鉄などの単なる遊離した可溶性プロモータではなく、活
性化剤コーティング研磨剤を含む、「コーティング活性化剤」の種類すべてである。上で
示したように、この比較的安定な活性化剤コーティング研磨剤は、本発明の組成物のきわ
めて有効な成分である。
本発明の組成物は好ましくは、従来のCMPプロセス、さらに詳細には低下したキャリ
ア圧を必要とするCMPプロセスで使用される。一般に約0.5〜約2psiのキャリア
圧が低キャリア圧と見なされるが、この圧力範囲は検討される特定のCMPプロセスに依
存する。低いキャリア圧は、それらがウェハ損傷、例えばウェハ表面での、スクラッチ、
層間剥離、または物質層、特に金属層の破壊の危険を低下させるため、多くの場合望まし
い。本発明の組成物を低キャリア圧プロセスで使用する場合、所望の物質除去速度は、キ
ャリア圧が低くても得ることができる。CMPプロセスでの組成物の適切な使用は、ウェ
ハ損傷の危険を低下させ、ウェハの収量および性能を向上させる。
加えて本発明の組成物は、低い誘電定数を持つ、比較的脆弱な膜、例えば多孔性膜が重
ねられたウェハのCMPに好ましく使用できる。代表的なCMPプロセスで使用される圧
力において、これらの膜は層間剥離、圧砕、または他の損傷に、特に攻撃されやすい。こ
れらのウェハに使用される高度のCMPプロセスにおいて、約2psiのキャリア圧が望
ましく、キャリアおよびプラテン速度は、代表的なCMPプロセスで使用される速度とほ
ぼ同じか、多くの場合それらより大きい。比較的低い誘電定数、例えば約1.5または約
1.7〜約2.3、および厚さ約0.1ミクロンの多孔性材料が重ねられたウェハでは、
約5000A/mmを超える除去速度が望ましい。本明細書で示されるように、これらの
除去可能速度は、本発明の組成物がCMPで使用される場合に、キャリア圧が比較的低い
場合でも得ることができる。本発明の組成物は、より低いキャリア圧、例えば上述の低い
キャリア圧を持つCMPプロセスでの使用においても適切であると考えられる。
本明細書で示すように、本発明の組成物は、所望の物質除去速度およびウェハ内不均一
性値を得るためのCMPプロセスで使用できる。単に例示のために、組成物は、その上に
形状構成、層または膜、例えばアルミニウム、銅、チタン、タングステン、その合金、ま
たはその組み合わせの膜を持つ基板表面のCMPに使用できる。さらに例示のために、組
成物は、膜が隣接または下層の形状構成、層または膜、例えばタンタル、窒化タンタル、
チタン、窒化チタン、チタンタングステン、タングステン、およびその組み合わせの膜を
持つ、そのような基板表面のCMPに使用できる。
したがって本発明の使用は、金属、例えば金属または合金形状構成を含む少なくとも1の形状構成を上に持つ基板表面を研磨する方法を含む。研磨を受ける基板は、どの適切な基板、例えば本明細書で述べたどの基板でもよい。本発明の方法によれば、本発明の組成物が提供され、基板表面上の形状構成が研磨される。研磨は、例えば従来のまたは既知のCMPプロセス、適切な後で開発されたCMPプロセス、または本明細書で述べたCMPプロセスに関連する化学機械研磨である。研磨プロセスパラメータは、例えば本明細書で述べる任意のパラメータを含む、任意の適切なパラメータである。例えば基板表面、またはその上の形状構成に印加されるキャリア圧は、約1〜約6psiであってもよい。
一般に基板表面の研磨は、標的形状構成または層が、基板上の周囲の物質、例えば酸化
物物質と実質的に同一平面になるまで継続する。例えば金属形状構成基板の研磨は、基板
表面にわたって実質的に均一の輪郭を提供するために、金属の過剰物が十分に除去される
まで続けられる。一例として、適切な表面均一性(通例、ウェハプロファイリング技法を
用いて測定)は、約12%未満、好ましくは約4%〜約6%のウェハ内不均一性(WIW
NU)値によって反映され、より低い値は通例、より良好なプロセス制御を反映する。適
切なWIWNU値は、CMPプロセスおよび研磨を受ける基板の特性によって変化する。
該方法は、標的物質、例えば金属または合金を基板表面から約100〜約10,000または約15,000A/mmの速度で除去するために使用される。本方法は、良好な均一性の研磨基板表面、例えば約0〜約40パーセント、好ましくは約0〜約12パーセント、またはさらに好ましくは約0〜約10パーセントのウェハ内不均一性を持つ基板表面を提供するために使用される。さらに本方法は、研磨と関連した表面上のマイクロスクラッチが約20A未満である研磨基板表面を供給するために使用できる。本発明はさらに、本明細書で述べられた基板のいずれか、および品質のいずれか、例えば本明細書で述べられている所望の均一性値および表面特性を持つ基板のいずれかを含む、本方法により作成された基板を含む。
本発明の各種の側面および形状構成は、信念または理論に関連して説明または記述され
てきたが、本発明が特定の信念または理論に縛られていないことが理解されるであろう。
さらに、本発明の各種の側面および形状構成が本明細書の好ましい実施形態および具体的
な実施例に関して述べられているが、本発明は、添付請求項の全範囲内で保護を受ける権
利を有することが理解されるであろう。

Claims (9)

  1. 半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:
    活性化剤と接触させたときにフリーラジカルを生成する少なくとも1の化合物を含む液体であって、液体pHが1〜11である、液体と;
    表面を持ち、該表面と化学的に結合した少なくとも1の活性化剤(但し、金属酸化物を除く。)を持つ複数の粒子であって、少なくとも1の活性化剤が鉄、銅または銀のイオンあるいはそれらの組み合わせを含み、化合物と反応してフリーラジカルを形成する、複数の粒子と;
    を含む組成物。
  2. 半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:
    少なくとも1の活性化剤と接触させたときにフリーラジカルを生成する少なくとも1の化合物を含む液体であって、液体が複数の酸化状態を持つ溶解金属イオン500ppm未満を含み、液体pHが1〜11であり、少なくとも1の化合物が少なくとも1の活性化剤と接触させたときにフリーラジカルを生成する、液体と;
    液体と接触した表面を持ち、該表面と化学的に結合した少なくとも1の活性化剤(但し、金属酸化物を除く。)を持つ複数の粒子であって、表面と結合した活性化剤はフリーラジカルを形成する化合物と反応してフリーラジカルを形成し、金属の解離性塩であって組成物重量の5〜10000ppmの量で存在する、複数の粒子と;
    を含む組成物。
  3. 半導体またはメモリデバイス基板を化学機械研磨するための組成物であって:
    活性化剤と接触させたときに反応性酸素含有フリーラジカルを生成する少なくとも1の化合物を含む液体と;
    表面を持ち、該表面と化学的に結合した鉄および銅のうちの少なくとも1のイオンを含む少なくとも1の活性化剤(但し、金属酸化物を除く。)を持つ複数の粒子であって、表面と結合した活性化剤が組成物中の重量で5ppm〜30,000ppmの範囲の総量で存在する複数の粒子と;
    を含む組成物。
  4. 少なくとも1の化合物が、組成物中に0.01重量%〜30重量%の量で存在するペル化合物を含む、請求項1、2または3のいずれかに記載の組成物。
  5. ペル化合物が少なくとも1のペルオキシドを含む、請求項4に記載の組成物。
  6. ペル化合物が過酸化水素を含み、組成物中に0.01重量%〜10重量%の量で存在する、請求項5に記載の組成物。
  7. ペル化合物が過酢酸を含み、組成物中に0.01重量%〜10重量%の量で存在する、請求項5に記載の組成物。
  8. ペル化合物が少なくとも1のペルサルフェートを含む、請求項4に記載の組成物。
  9. ペル化合物が少なくとも1のペルホスフェートを含む、請求項4に記載の組成物。
JP2009151373A 2002-02-11 2009-06-25 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤 Expired - Lifetime JP5109000B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/074,757 2002-02-11
US10/074,757 US20030162398A1 (en) 2002-02-11 2002-02-11 Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003567998A Division JP4824909B2 (ja) 2002-02-11 2003-02-11 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤

Publications (2)

Publication Number Publication Date
JP2009283951A JP2009283951A (ja) 2009-12-03
JP5109000B2 true JP5109000B2 (ja) 2012-12-26

Family

ID=27732385

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003567998A Expired - Lifetime JP4824909B2 (ja) 2002-02-11 2003-02-11 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤
JP2009151373A Expired - Lifetime JP5109000B2 (ja) 2002-02-11 2009-06-25 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003567998A Expired - Lifetime JP4824909B2 (ja) 2002-02-11 2003-02-11 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤

Country Status (10)

Country Link
US (6) US20030162398A1 (ja)
EP (2) EP1485440B1 (ja)
JP (2) JP4824909B2 (ja)
KR (1) KR100736325B1 (ja)
CN (1) CN1646650B (ja)
AT (1) ATE409212T1 (ja)
AU (1) AU2003219741A1 (ja)
DE (2) DE60323733D1 (ja)
TW (1) TWI278499B (ja)
WO (1) WO2003068882A1 (ja)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20060032836A1 (en) * 2001-11-16 2006-02-16 Ferro Corporation Methods of controlling the properties of abrasive particles for use in chemical-mechanical polishing slurries
WO2003044123A1 (en) * 2001-11-16 2003-05-30 Ferro Corporation Particles for use in cmp slurries and method for producing them
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
KR100560223B1 (ko) * 2002-06-05 2006-03-10 삼성코닝 주식회사 고정도 연마용 금속 산화물 분말 및 이의 제조방법
JP2004128069A (ja) 2002-09-30 2004-04-22 Fujimi Inc 研磨用組成物及びそれを用いた研磨方法
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040154931A1 (en) * 2003-02-12 2004-08-12 Akihisa Hongo Polishing liquid, polishing method and polishing apparatus
JP4075691B2 (ja) * 2003-05-27 2008-04-16 セイコーエプソン株式会社 電気光学装置の製造方法並びに基板装置の製造方法
KR101123210B1 (ko) * 2003-07-09 2012-03-19 다이니아 케미컬스 오이 화학적 기계적 평탄화용 비-중합성 유기 입자
IL157681A0 (en) * 2003-09-01 2004-03-28 J G Systems Inc Improved abrasives for chemical-mechanical polishing applications
JP4336550B2 (ja) * 2003-09-09 2009-09-30 花王株式会社 磁気ディスク用研磨液キット
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7288021B2 (en) * 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
JP2005236275A (ja) * 2004-01-23 2005-09-02 Jsr Corp 化学機械研磨用水系分散体および化学機械研磨方法
KR20050079313A (ko) * 2004-02-05 2005-08-10 매그나칩 반도체 유한회사 구리 연마용 슬러리 및 이를 이용한 연마 방법
JP2005268664A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
WO2005089480A2 (en) 2004-03-19 2005-09-29 Stuart Arthur Bateman Activation method
US20050211950A1 (en) 2004-03-24 2005-09-29 Cabot Microelectronics Corporation Chemical-mechanical polishing composition and method for using the same
US20090120012A1 (en) * 2004-06-18 2009-05-14 Dongjin Semichem Co., Ltd. Method for preparing additive for chemical mechanical polishing slurry composition
KR100850877B1 (ko) * 2004-06-18 2008-08-07 주식회사 동진쎄미켐 철 함유 콜로이달 실리카를 포함하는 화학 기계적 연마슬러리 조성물
KR101072271B1 (ko) * 2005-03-14 2011-10-11 주식회사 동진쎄미켐 화학 기계적 연마 슬러리 조성물용 산화제 및 그 제조방법
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
KR100648264B1 (ko) 2004-08-17 2006-11-23 삼성전자주식회사 루테늄을 위한 화학적기계적 연마 슬러리, 상기 슬러리를이용한 루테늄에 대한 화학적기계적 연마 방법, 그리고상기 화학적기계적 연마 방법을 이용한 루테늄 전극 형성방법
KR20060016498A (ko) * 2004-08-18 2006-02-22 삼성전자주식회사 슬러리 조성물, 이의 제조 방법 및 이를 이용한 가공물의연마방법
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
JPWO2006030595A1 (ja) * 2004-09-14 2008-05-08 日立化成工業株式会社 Cmp用研磨スラリー
US7563383B2 (en) * 2004-10-12 2009-07-21 Cabot Mircroelectronics Corporation CMP composition with a polymer additive for polishing noble metals
US8038752B2 (en) 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP4836441B2 (ja) * 2004-11-30 2011-12-14 花王株式会社 研磨液組成物
KR100497413B1 (ko) * 2004-11-26 2005-06-23 에이스하이텍 주식회사 텅스텐-화학적 기계적 연마에 유용한 슬러리 및 그 제조방법
JP4027929B2 (ja) * 2004-11-30 2007-12-26 花王株式会社 半導体基板用研磨液組成物
US7919391B2 (en) * 2004-12-24 2011-04-05 S.O.I.Tec Silicon On Insulator Technologies Methods for preparing a bonding surface of a semiconductor wafer
KR20060077353A (ko) * 2004-12-30 2006-07-05 삼성전자주식회사 슬러리 조성물, 이를 이용한 가공물의 연마방법 및 반도체장치의 콘택 형성방법
ATE460466T1 (de) 2005-01-21 2010-03-15 Commw Scient Ind Res Org Aktivierungsverfahren mithilfe eines modifikationsmittels
CN101180379B (zh) * 2005-03-25 2013-07-24 气体产品与化学公司 用于含有金属离子氧化剂的化学机械抛光组合物中的二羟基烯醇化合物
TWI421931B (zh) * 2005-04-28 2014-01-01 Advanced Tech Materials 用於銅薄膜平坦化製程中之化學機械研磨組成物之鈍化方法
US20060278614A1 (en) * 2005-06-08 2006-12-14 Cabot Microelectronics Corporation Polishing composition and method for defect improvement by reduced particle stiction on copper surface
KR101124569B1 (ko) * 2005-06-09 2012-03-15 삼성전자주식회사 식각액, 이를 이용하는 배선 형성 방법 및 박막 트랜지스터기판의 제조 방법
US7976646B1 (en) * 2005-08-19 2011-07-12 Nanosys, Inc. Electronic grade metal nanostructures
CN102863943B (zh) 2005-08-30 2015-03-25 花王株式会社 硬盘用基板用研磨液组合物、基板的研磨方法和制造方法
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US20070075042A1 (en) * 2005-10-05 2007-04-05 Siddiqui Junaid A Stabilizer-Fenton's reaction metal-vinyl pyridine polymer-surface-modified chemical mechanical planarization composition and associated method
KR20070041330A (ko) * 2005-10-14 2007-04-18 가오가부시끼가이샤 반도체 기판용 연마액 조성물
US7897061B2 (en) * 2006-02-01 2011-03-01 Cabot Microelectronics Corporation Compositions and methods for CMP of phase change alloys
US7732393B2 (en) * 2006-03-20 2010-06-08 Cabot Microelectronics Corporation Oxidation-stabilized CMP compositions and methods
KR100816651B1 (ko) * 2006-03-31 2008-03-27 테크노세미켐 주식회사 제올라이트를 함유하는 구리 화학 기계적 연마 조성물
US7776228B2 (en) * 2006-04-11 2010-08-17 Ebara Corporation Catalyst-aided chemical processing method
KR100832993B1 (ko) * 2006-04-14 2008-05-27 주식회사 엘지화학 Cmp 슬러리용 보조제
US8163049B2 (en) * 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
WO2007124127A2 (en) 2006-04-21 2007-11-01 Wake Forest University Health Sciences Structurally modified acellular tissue engineering scaffolds and methods of production
US20080283502A1 (en) * 2006-05-26 2008-11-20 Kevin Moeggenborg Compositions, methods and systems for polishing aluminum oxide and aluminum oxynitride substrates
US7294576B1 (en) 2006-06-29 2007-11-13 Cabot Microelectronics Corporation Tunable selectivity slurries in CMP applications
US20080220610A1 (en) * 2006-06-29 2008-09-11 Cabot Microelectronics Corporation Silicon oxide polishing method utilizing colloidal silica
US9074118B2 (en) 2006-07-12 2015-07-07 Cabot Microelectronics Corporation CMP method for metal-containing substrates
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
EP1894900A3 (en) * 2006-08-28 2010-02-24 Osaka University Catalyst-aided chemical processing method and apparatus
JP5448824B2 (ja) * 2006-10-16 2014-03-19 キャボット マイクロエレクトロニクス コーポレイション ガラス研磨組成物および方法
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
JP5072091B2 (ja) * 2006-12-08 2012-11-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080149591A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
TW200916564A (en) * 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
DE102007008232A1 (de) * 2007-02-20 2008-08-21 Evonik Degussa Gmbh Dispersion enthaltend Ceroxid und kolloidales Siliciumdioxid
DE102007008279A1 (de) * 2007-02-20 2008-08-21 Evonik Degussa Gmbh Ceroxid und Schichtsilikat enthaltende Dispersion
JP4372173B2 (ja) * 2007-03-16 2009-11-25 株式会社東芝 化学的機械的研磨方法および半導体装置の製造方法
US8541310B2 (en) * 2007-05-04 2013-09-24 Cabot Microelectronics Corporation CMP compositions containing a soluble peroxometalate complex and methods of use thereof
JP2008307631A (ja) * 2007-06-13 2008-12-25 Asahi Glass Co Ltd ガラス基板研磨方法
JP2009050920A (ja) * 2007-08-23 2009-03-12 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
US20090061630A1 (en) * 2007-08-30 2009-03-05 Dupont Air Products Nanomaterials Llc Method for Chemical Mechanical Planarization of A Metal-containing Substrate
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
CN101451049A (zh) * 2007-11-30 2009-06-10 安集微电子(上海)有限公司 一种化学机械抛光液
DE102007062572A1 (de) * 2007-12-22 2009-06-25 Evonik Degussa Gmbh Ceroxid und kolloidales Siliciumdioxid enthaltende Dispersion
DE102008002321A1 (de) * 2008-06-10 2009-12-17 Evonik Degussa Gmbh Ceroxid und partikuläres Additiv enthaltende Dispersion
US8247327B2 (en) 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
US20100096360A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Compositions and methods for barrier layer polishing
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
US8247328B2 (en) * 2009-05-04 2012-08-21 Cabot Microelectronics Corporation Polishing silicon carbide
KR100928456B1 (ko) 2009-06-01 2009-11-25 주식회사 동진쎄미켐 이온화되지 않는 열활성 나노촉매를 포함하는 화학 기계적 연마 슬러리 조성물 및 이를 이용한 연마방법
US8367594B2 (en) * 2009-06-24 2013-02-05 Lam Research Corporation Damage free, high-efficiency, particle removal cleaner comprising polyvinyl alcohol particles
JP5088453B2 (ja) * 2009-12-10 2012-12-05 日立化成工業株式会社 Cmp研磨液、基板の研磨方法及び電子部品
JP2011142284A (ja) * 2009-12-10 2011-07-21 Hitachi Chem Co Ltd Cmp研磨液、基板の研磨方法及び電子部品
US8530100B2 (en) * 2009-12-10 2013-09-10 Daimler Ag Method of chemical treatment of fuel cell plate surface to modify wettability of flow field channels
KR101396232B1 (ko) * 2010-02-05 2014-05-19 한양대학교 산학협력단 상변화 물질 연마용 슬러리 및 이를 이용한 상변화 소자 제조 방법
US8858819B2 (en) * 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
WO2012052389A1 (en) * 2010-10-19 2012-04-26 Basell Poliolefine Italia S.R.L. Process for the preparation of high purity propylene polymers
CN102560368A (zh) * 2010-12-28 2012-07-11 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8242011B2 (en) * 2011-01-11 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal pillar
US8720770B2 (en) 2011-03-01 2014-05-13 The Golden Box, Inc. Box partition set
CN102181232B (zh) * 2011-03-17 2013-12-11 清华大学 Ulsi多层铜布线铜的低下压力化学机械抛光的组合物
US9598775B2 (en) * 2011-06-13 2017-03-21 Praxair S.T. Technology, Inc. Multilayer overlay system for thermal and corrosion protection of superalloy substrates
US8623766B2 (en) * 2011-09-20 2014-01-07 Cabot Microelectronics Corporation Composition and method for polishing aluminum semiconductor substrates
TWI463002B (zh) * 2011-12-01 2014-12-01 Uwiz Technology Co Ltd 研漿組成物
EP2847785A4 (en) * 2012-05-07 2016-03-16 Basf Se METHOD FOR PRODUCING SEMICONDUCTOR COMPONENTS
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
CN102910725A (zh) * 2012-11-20 2013-02-06 哈尔滨工业大学 改进的芬顿、类芬顿体系去除水中有机污染物的方法
US20140273458A1 (en) 2013-03-12 2014-09-18 Air Products And Chemicals, Inc. Chemical Mechanical Planarization for Tungsten-Containing Substrates
US20140315386A1 (en) * 2013-04-19 2014-10-23 Air Products And Chemicals, Inc. Metal Compound Coated Colloidal Particles Process for Making and Use Therefor
JP6534507B2 (ja) * 2013-07-03 2019-06-26 Hoya株式会社 基板の製造方法、多層反射膜付き基板の製造方法、マスクブランクの製造方法、転写用マスクの製造方法、及び基板加工装置
US9434859B2 (en) * 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US9279067B2 (en) * 2013-10-10 2016-03-08 Cabot Microelectronics Corporation Wet-process ceria compositions for polishing substrates, and methods related thereto
US10358579B2 (en) * 2013-12-03 2019-07-23 Cabot Microelectronics Corporation CMP compositions and methods for polishing nickel phosphorous surfaces
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6285775B2 (ja) * 2014-03-31 2018-02-28 日揮触媒化成株式会社 研磨用金属担持金属酸化物粒子および研磨剤
US9556363B2 (en) 2014-06-25 2017-01-31 Cabot Microelectronics Corporation Copper barrier chemical-mechanical polishing composition
TWI561621B (en) 2014-06-25 2016-12-11 Cabot Microelectronics Corp Tungsten chemical-mechanical polishing composition
KR20230003287A (ko) 2014-06-25 2023-01-05 씨엠씨 머티리얼즈, 인코포레이티드 콜로이드성 실리카 화학적-기계적 연마 조성물
CN104131292B (zh) * 2014-07-01 2015-10-28 蚌埠市高华电子有限公司 一种清洗方便防腐蚀的复合抛光液及其制备方法
DE102014112817A1 (de) * 2014-09-05 2016-03-10 Osram Opto Semiconductors Gmbh Verfahren zum chemisch-mechanischen Polieren eines Substrats
CN104371649B (zh) * 2014-09-28 2017-05-10 顾泉 一种化学机械研磨组合物
US20160122590A1 (en) * 2014-10-31 2016-05-05 Air Products And Chemicals, Inc. Chemical Mechanical Polishing Slurry for Reducing Corrosion and Method of Use Therefor
CN104403571B (zh) * 2014-11-20 2016-08-10 石狮市星光化工科技有限公司 一种通用的新型粉状光饰光亮剂制作方法
CN104513627B (zh) * 2014-12-22 2017-04-05 深圳市力合材料有限公司 一种集成电路铜cmp组合物及其制备方法
JP6437303B2 (ja) * 2014-12-25 2018-12-12 花王株式会社 ガラスハードディスク基板用研磨液組成物
US10570313B2 (en) 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
US10160884B2 (en) 2015-03-23 2018-12-25 Versum Materials Us, Llc Metal compound chemically anchored colloidal particles and methods of production and use thereof
US10077381B2 (en) * 2015-07-20 2018-09-18 Kctech Co., Ltd. Polishing slurry composition
KR101834418B1 (ko) * 2015-10-02 2018-03-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
KR102543606B1 (ko) * 2015-12-29 2023-06-19 솔브레인 주식회사 텅스텐 연마용 슬러리 조성물 및 이를 이용한 연마방법
JP6347907B1 (ja) * 2016-11-23 2018-06-27 Hoya株式会社 ガラス基板の研磨方法、ガラス基板の製造方法、磁気ディスク用ガラス基板の製造方法、磁気ディスクの製造方法、研磨液及び酸化セリウムの還元方法
KR20230134157A (ko) * 2017-09-29 2023-09-20 가부시끼가이샤 레조낙 연마액, 연마액 세트 및 연마 방법
US10002771B1 (en) 2017-10-10 2018-06-19 Applied Materials, Inc. Methods for chemical mechanical polishing (CMP) processing with ozone
CN108161581A (zh) * 2017-12-25 2018-06-15 大连三生科技发展有限公司 一种牙种植体表面抛光的方法
WO2019151145A1 (ja) * 2018-02-05 2019-08-08 Jsr株式会社 化学機械研磨用組成物及び研磨方法
JP6784798B2 (ja) * 2018-06-01 2020-11-11 ケーシーテック カンパニー リミテッド 研磨用スラリー組成物
US11643599B2 (en) 2018-07-20 2023-05-09 Versum Materials Us, Llc Tungsten chemical mechanical polishing for reduced oxide erosion
US11111435B2 (en) 2018-07-31 2021-09-07 Versum Materials Us, Llc Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography
US10968366B2 (en) 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
JP7267795B2 (ja) * 2019-03-22 2023-05-02 株式会社フジミインコーポレーテッド 単体シリコンの研磨速度向上剤
KR20210132204A (ko) * 2019-03-25 2021-11-03 씨엠씨 머티리얼즈, 인코포레이티드 Cmp 슬러리에 대한 입자 분산을 개선하는 첨가제
US11931855B2 (en) * 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN110437744A (zh) * 2019-08-19 2019-11-12 福建华清电子材料科技有限公司 一种用于氮化铝基片抛光的抛光液的制备方法
CN110900322B (zh) * 2019-12-23 2024-04-09 广东工业大学 一种电芬顿集群磁流变复合研磨抛光装置及方法
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
KR102237346B1 (ko) * 2020-08-24 2021-04-07 에스케이씨솔믹스 주식회사 연마패드 및 이를 이용한 반도체 소자의 제조방법
CN111975627B (zh) * 2020-08-27 2022-06-28 中国电子科技集团公司第十一研究所 非规则碲锌镉晶片的研磨方法
CN114433159A (zh) * 2020-10-31 2022-05-06 华为技术有限公司 用于化学机械平坦化的复合催化剂及其制备方法和抛光液
CN114559302B (zh) * 2022-03-01 2023-04-11 广东工业大学 一种抛光液、磷化铟抛光装置及方法
US20240038543A1 (en) * 2022-07-29 2024-02-01 Saint-Gobain Ceramics & Plastics, Inc. Composition and method for conducting a material removing operation

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3007878A (en) 1956-11-01 1961-11-07 Du Pont Aquasols of positively-charged coated silica particles and their production
US3139406A (en) * 1960-10-12 1964-06-30 Nalco Chemical Co Method of producing hydrous metal oxide sols
US3252917A (en) 1962-08-27 1966-05-24 Nalco Chemical Co Method of producing alumina-coated silica in sol form
BE657099A (ja) 1963-12-30
US4252671A (en) * 1979-12-04 1981-02-24 Xerox Corporation Preparation of colloidal iron dispersions by the polymer-catalyzed decomposition of iron carbonyl and iron organocarbonyl compounds
US4478742A (en) * 1981-09-17 1984-10-23 Nalco Chemical Company Preparation of a ferric acetate coated silica sol by selective anion exchange
US4478242A (en) * 1983-08-25 1984-10-23 Liqui-Box Corporation Finger-actuated push-pull slideable dispensing valve
US4595113A (en) * 1983-10-04 1986-06-17 Firma Stangl & Vogt Gmbh & Co. Kg Device for the storage and/or carriage of sensitive materials
JPS61176192A (ja) 1985-01-31 1986-08-07 株式会社日立製作所 銅と樹脂との接着方法
US5352517A (en) 1986-03-24 1994-10-04 Ensci, Inc. Iron oxide coated substrates
FR2604443A1 (fr) 1986-09-26 1988-04-01 Rhone Poulenc Chimie Composition de polissage a base de cerium destinee au polissage des verres organiques
US4841680A (en) 1987-08-25 1989-06-27 Rodel, Inc. Inverted cell pad material for grinding, lapping, shaping and polishing
JPH01193166A (ja) 1988-01-28 1989-08-03 Showa Denko Kk 半導体ウェハ鏡面研磨用パッド
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
DE3840194A1 (de) 1988-11-29 1990-05-31 Bayer Ag Kupfer-traegerkatalysator, verfahren zu seiner herstellung und verfahren zur herstellung von n-alkylierten aromatischen aminen unter einsatz dieses kupfer-katalysators
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959113C1 (en) 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5128081A (en) 1989-12-05 1992-07-07 Arch Development Corporation Method of making nanocrystalline alpha alumina
US5020283A (en) 1990-01-22 1991-06-04 Micron Technology, Inc. Polishing pad with uniform abrasion
DE69116002T2 (de) * 1990-06-05 1996-05-15 Huntsman Spec Chem Corp Verfahren zur Dehydrierung von Paraffinen
US5234880A (en) * 1990-10-11 1993-08-10 Paxon Polymer Company, L.P. Polyolefin catalysts and method of preparing an olefin polymer
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5345490A (en) 1991-06-28 1994-09-06 General Electric Company Method and apparatus for converting computed tomography (CT) data into finite element models
US5197999A (en) 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US5302356A (en) * 1992-03-04 1994-04-12 Arizona Board Of Reagents Acting On Behalf Of University Of Arizona Ultrapure water treatment system
US5264010A (en) 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5445996A (en) 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5626715A (en) 1993-02-05 1997-05-06 Lsi Logic Corporation Methods of polishing semiconductor substrates
US5427423A (en) * 1993-09-27 1995-06-27 E. J. Brooks Company Padlock security seal with internal bar code
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
IL115053A (en) 1994-09-01 1999-11-30 Cabot Corp Ceramic slip compositions and method for making the same
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
WO1996038262A1 (en) 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
DE19530339A1 (de) * 1995-08-18 1997-02-20 Degussa Pyrogene Kieselsäure, Verfahren zu ihrer Herstellung und Verwendung
US5958228A (en) * 1995-08-24 1999-09-28 Nippondenso Co., Ltd. Method of and an apparatus for producing a mineral water
US5785868A (en) * 1995-09-11 1998-07-28 Board Of Regents, Univ. Of Texas System Method for selective separation of products at hydrothermal conditions
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
FR2745260B1 (fr) * 1996-02-28 1998-04-03 Airbus Ind Systeme et procede de conversion rapide et reversible d'un avion entre une configuration passagers et une configuration fret
US6468428B1 (en) * 1996-02-28 2002-10-22 Hoya Corporation Glass material for carrying a photocatalyst, filter device using the same and light irradiating method
US5948697A (en) 1996-05-23 1999-09-07 Lsi Logic Corporation Catalytic acceleration and electrical bias control of CMP processing
US5863838A (en) 1996-07-22 1999-01-26 Motorola, Inc. Method for chemically-mechanically polishing a metal layer
EP0852615B1 (en) 1996-07-25 2005-12-14 DuPont Air Products NanoMaterials L.L.C. Chemical mechanical polishing composition and process
US5783489A (en) 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US5773364A (en) 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
JPH10128667A (ja) 1996-10-30 1998-05-19 Chiyouri Kk 研磨方法及び装置及びその研磨材
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
DE19650500A1 (de) 1996-12-05 1998-06-10 Degussa Dotierte, pyrogen hergestellte Oxide
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
JPH10204416A (ja) * 1997-01-21 1998-08-04 Fujimi Inkooporeetetsudo:Kk 研磨用組成物
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US5891205A (en) 1997-08-14 1999-04-06 Ekc Technology, Inc. Chemical mechanical polishing composition
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US5849051A (en) 1997-11-12 1998-12-15 Minnesota Mining And Manufacturing Company Abrasive foam article and method of making same
JPH11151321A (ja) 1997-11-20 1999-06-08 Bridgestone Sports Co Ltd マルチピースソリッドゴルフボール
KR20010042616A (ko) 1998-04-10 2001-05-25 페로 코포레이션 금속 표면의 화학적-기계적 연마용 슬러리
US6077601A (en) * 1998-05-01 2000-06-20 3M Innovative Properties Company Coated abrasive article
AU3898199A (en) * 1998-05-14 1999-11-29 U.S. Environmental Protection Agency Contaminant adsorption and oxidation via the fenton reaction
US6435947B2 (en) 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6159076A (en) * 1998-05-28 2000-12-12 Komag, Inc. Slurry comprising a ligand or chelating agent for polishing a surface
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
JP4090589B2 (ja) * 1998-09-01 2008-05-28 株式会社フジミインコーポレーテッド 研磨用組成物
US6439709B1 (en) 1998-09-04 2002-08-27 Trident International, Inc. Method for reducing cavitation in impulse ink jet printing device
US6270395B1 (en) * 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
JP3986181B2 (ja) * 1998-10-19 2007-10-03 三井化学株式会社 タングステン含有部材研磨用スラリー及び研磨方法
US6140239A (en) * 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6077337A (en) * 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
KR100447551B1 (ko) 1999-01-18 2004-09-08 가부시끼가이샤 도시바 복합 입자 및 그의 제조 방법, 수계 분산체, 화학 기계연마용 수계 분산체 조성물 및 반도체 장치의 제조 방법
KR100472882B1 (ko) 1999-01-18 2005-03-07 가부시끼가이샤 도시바 수계 분산체, 이를 이용한 화학 기계 연마용 수계 분산체조성물, 웨이퍼 표면의 연마 방법 및 반도체 장치의 제조방법
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
DE19919635A1 (de) 1999-04-30 2000-11-23 Degussa Silicium-Aluminium-Mischoxid
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6376645B1 (en) * 1999-07-09 2002-04-23 The Dow Chemical Company Complexing agent-modified hexacyanometallate hexanitrometallate catalysts
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
CN1107097C (zh) 1999-07-28 2003-04-30 长兴化学工业股份有限公司 化学机械研磨组合物及方法
TWI254070B (en) 1999-08-18 2006-05-01 Jsr Corp Aqueous dispersion for chemical mechanical polishing
AU1457101A (en) 1999-10-28 2001-05-08 Cabot Microelectronics Corporation Chemical mechanical polishing compositions and systems
JP2001133053A (ja) * 1999-11-01 2001-05-18 Toyota Autom Loom Works Ltd 空調装置
US6503418B2 (en) 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
US6293848B1 (en) * 1999-11-15 2001-09-25 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US6638143B2 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6242351B1 (en) * 1999-12-27 2001-06-05 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
US6299795B1 (en) * 2000-01-18 2001-10-09 Praxair S.T. Technology, Inc. Polishing slurry
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
CN1422200A (zh) * 2000-04-07 2003-06-04 卡伯特微电子公司 整合型化学机械式研磨
DE10024874A1 (de) * 2000-05-16 2001-11-29 Siemens Ag Polierflüssigkeit und Verfahren zur Strukturierung von Metallen und Metalloxiden
JP3632071B2 (ja) * 2000-07-04 2005-03-23 国立大学法人東北大学 硫化物触媒を用いた一酸化炭素の水素化法
JP3993369B2 (ja) * 2000-07-14 2007-10-17 株式会社東芝 半導体装置の製造方法
JP2002043258A (ja) * 2000-07-24 2002-02-08 Asahi Kasei Corp 金属膜用研磨組成物
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6702954B1 (en) 2000-10-19 2004-03-09 Ferro Corporation Chemical-mechanical polishing slurry and method
US6383065B1 (en) * 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
JP4083397B2 (ja) * 2001-06-18 2008-04-30 株式会社ルネサステクノロジ 半導体集積回路装置
JP4954398B2 (ja) * 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6589100B2 (en) * 2001-09-24 2003-07-08 Cabot Microelectronics Corporation Rare earth salt/oxidizer-based CMP method
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
IL157681A0 (en) * 2003-09-01 2004-03-28 J G Systems Inc Improved abrasives for chemical-mechanical polishing applications
WO2005066325A2 (en) * 2003-12-31 2005-07-21 Ekc Technology, Inc. Cleaner compositions containing free radical quenchers
CN101180379B (zh) * 2005-03-25 2013-07-24 气体产品与化学公司 用于含有金属离子氧化剂的化学机械抛光组合物中的二羟基烯醇化合物

Also Published As

Publication number Publication date
KR20040093716A (ko) 2004-11-08
AU2003219741A1 (en) 2003-09-04
US20030162398A1 (en) 2003-08-28
US7014669B2 (en) 2006-03-21
EP2048208A3 (en) 2009-04-29
JP4824909B2 (ja) 2011-11-30
WO2003068882A1 (en) 2003-08-21
CN1646650B (zh) 2010-05-05
US20040025444A1 (en) 2004-02-12
TW200304944A (en) 2003-10-16
EP1485440A1 (en) 2004-12-15
EP2048208A2 (en) 2009-04-15
JP2009283951A (ja) 2009-12-03
TWI278499B (en) 2007-04-11
CN1646650A (zh) 2005-07-27
DE03716012T1 (de) 2006-07-13
US7427305B2 (en) 2008-09-23
US20040029495A1 (en) 2004-02-12
US7029508B2 (en) 2006-04-18
ATE409212T1 (de) 2008-10-15
JP2005518090A (ja) 2005-06-16
EP1485440A4 (en) 2005-11-09
US20060180788A1 (en) 2006-08-17
DE60323733D1 (de) 2008-11-06
US20040006924A1 (en) 2004-01-15
US20090029553A1 (en) 2009-01-29
KR100736325B1 (ko) 2007-07-06
EP1485440B1 (en) 2008-09-24

Similar Documents

Publication Publication Date Title
JP5109000B2 (ja) 固体に結合され、cmp処方を向上させるために使用されるフリーラジカル形成活性化剤
KR102083819B1 (ko) 텅스텐 화학적 기계적 연마에서 디싱 감소
KR102320653B1 (ko) 감소된 산화물 침식을 위한 텅스텐 화학적 기계적 연마 슬러리
US7513920B2 (en) Free radical-forming activator attached to solid and used to enhance CMP formulations
JP6530303B2 (ja) 腐食を低減するための化学機械研磨スラリー及びその使用方法
US6435947B2 (en) CMP polishing pad including a solid catalyst
WO1998023408A1 (en) A composition and slurry useful for metal cmp
WO2004101222A2 (en) Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
WO2008151918A1 (en) A process for polishing patterned and unstructured surfaces of materials and an aqueous polishing agent to be used in the said process

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120614

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120918

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151019

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5109000

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term