JP3989888B2 - 垂直mosfetsramセルおよびその製造方法 - Google Patents

垂直mosfetsramセルおよびその製造方法 Download PDF

Info

Publication number
JP3989888B2
JP3989888B2 JP2003389984A JP2003389984A JP3989888B2 JP 3989888 B2 JP3989888 B2 JP 3989888B2 JP 2003389984 A JP2003389984 A JP 2003389984A JP 2003389984 A JP2003389984 A JP 2003389984A JP 3989888 B2 JP3989888 B2 JP 3989888B2
Authority
JP
Japan
Prior art keywords
pull
vertical
fet
layer
common
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003389984A
Other languages
English (en)
Other versions
JP2004193588A (ja
Inventor
ルイス・エル・スー
オレグ・グルシェネコフ
ジャック・エー・マンデルマン
カール・ジェイ・レイデンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004193588A publication Critical patent/JP2004193588A/ja
Application granted granted Critical
Publication of JP3989888B2 publication Critical patent/JP3989888B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Description

本発明は、MOSFET SRAMセルに関し、より詳細には、垂直MOSFET SRAMセルを製造する方法およびこの方法で実現される構造に関する。
垂直チャネルMOSFETを使用することで、高性能用途のために、チャネル長の精密な制御が可能になる。ここで垂直チャネルMOSFETとは、チャネルの方向が半導体基板の平面方向に対して垂直なMOSFETをいう。
「Circuits and Methods for a Static RandomAccess Memory Using Vertical Transistors」のNobleの米国特許第6,477,080号には、SRAM回路のFETデバイスのボディがフローティングになっている垂直SRAMデバイスが記載されている。また、この特許には次のように記載されている。「メモリ・セルのnチャネル・トランジスタおよびpチャネル・トランジスタは、...それぞれn+ポリシリコンおよびp+ポリシリコンで形成されたゲートを有する。インバータ中のポリシリコン・ゲートは、高融点金属で形成されたゲート・コンタクトと一緒に結合されて、インバータの各トランジスタの所望の表面チャネル特性のために二仕事関数の特徴を実現するようになっている。留意すべきことであるが、メモリ・セルのトランジスタのデバイス・ボディは、...相互におよび基板から分離されているので、トランジスタがセミコンダクタ・オン・インシュレータ特性を示すようになる。したがって、トランジスタを完全に空乏化してボディ・デバイスをフローティングにすることができ、さらに、CMOSウェルは分離を必要としない。」
しかし、ボディ・コンタクトに関する技術が開示されている(「Memory Cellwith Vertical Transistor and Buried Word and Body Lines」という名称のForbes等の米国特許第5,909,618号)。
SRAM回路の交差結合インバータの部品である個々のフローティング・ボディ・デバイスを有する構造には問題があると考えている。問題は、個々のフローティング・ボディ・デバイスに関して、デバイスにはVt不整合の問題があることである。このことは、整合プルアップ・トランジスタ対および整合プルダウン・トランジスタ対の値が、ボディ帯電のために異なったVt値に浮動することを意味する。ひどく異なったVt値によって生じるエラーのためにデータが失われる可能性があることに加えて、Vt不整合の余り深刻でない場合には、結果として、セルの感度の犠牲ということになる。整合トランジスタ対のVt値が違ってくるとき、データが失われる重大な危険性がある。したがって、FETデバイスのボディに外部接続を行うという考えが、Vt不整合の問題を克服するかもしれない選択可能な方法である。
外部ボディ・コンタクトを設けるという選択肢は、SRAM構造の貴重な表面積がコンタクトを設けるために必要になるという点で望ましくない。したがって、Nobleが行った提案のどちらかに対する代案を見出す必要があると考えられる。
Noble特許は、FETデバイスのフローティング・ボディが問題であることを認めているが、ボディが特定の電位に固定されるようなボディへのコンタクトを記述する上述の出願の引用文では、余分なセル面積およびプロセスの複雑さの点で代価を支払わなければならないことになるだろう。プルダウンのボディを互いに結び付け、かつプルアップのボディを互いに結び付けることで、Vt整合が達成されることを、本発明者は認める。
産業の一般的な方向は、最小リソグラフィ寸法F(これはフォトリソグラフィで印刷することができるものである)に積極的に比例縮小することである。現在、代表的な集積回路(IC)のFは0.18μm(1800Å)であるが、高性能回路は0.13μm(1300Å)の最先端のFを有し、一方で、0.1μm(1000Å)F技術のためのプロセスおよび構造が開発中である。半導体産業の別の一般的な傾向は、様々なメモリ・セルの相対的な面積を小さくすることである。すなわち、0.18μm技術のプレーナSRAMセルは120〜140Fと見積もられ、一方で、0.13技術ではもっと小さな100〜120Fと見積もられている、相対的な面積を小さくすることである。相対的な面積の実質的な不利益なしにF<0.1μmの技術まで比例縮小することができるメモリ・セルを取得することが非常に望ましい。
Nobleの好ましい実施形態は、F=0.3μmに関している(5欄、15行)。リソグラフィ基準以下の(sublithographic)寸法を有する構造にはいくつかの特徴があるかもしれない。堆積およびエッチングの技術によって、そのようなリソグラフィ基準以下の特徴の製作が可能になる。たとえば、極端な場合、1原子単層の精度で、堆積とエッチングの両方を制御することができる(特定の化学結合に依存して約1〜5Åである)。実際には、これらの特徴の制御は、目標とした堆積および/または除去の10%以下である。すなわち、厚さ10〜50Åの層を日常的に正確に生成/除去することができる堆積およびエッチング技術が使用可能である。そのような堆積技術を組み合せて使用して、より精密なリソグラフィ基準以下の構造を作ることができる。たとえば、リソグラフィで画定された1F幅の狭いトレンチの壁に形成されたリソグラフィ基準以内の2つ(または、それより多い)スペーサで、ボーダーレス・コンタクト(borderlesscontact)は画定される。スペーサ間の材料をスペーサの材料まで選択的にエッチングして、1Fから2×スペーサ厚さを引いた幅の開口が実現される。
Nobleの特許では、スペーサ厚さは1/4Fであり、開口厚さは1/2Fである。一般に、ボーダーレス・コンタクトのようなリソグラフィ基準以下の精密な構造を作るために、堆積およびエッチングの技術の精密さを有用な3次元構造に変換する、材料とプロセス・ステップの少しも明らかでない組合せを使用しなければならない。多くの場合、その材料とプロセス・ステップの少しも明らかでない組合せは、不利な点および/または他の構造との不整合性をもたらすかもしれない。
Noble特許は、導体間のボーダーレス(リソグラフィ基準以下の)構造を教示し、この構造では、(a)リソグラフィ基準以下のオーバーハングSiNスペーサの形成、(b)フォトリソグラフィ・マスクを利用してスペーサの1つを除去すること、(c)一側面リソグラフィ基準以下のトレンチを作ること、(d)トレンチに真性ポリシリコンを充填すること、および(e)真性ポリシリコンに対して選択的に酸化物キャップをエッチングして導体のためのスペースをつくること、が使用される。留意されたいことであるが、導体は、真性ポリシリコンのリソグラフィ基準以下(〜1/4F)の層で隔てられている。それから、真性シリコンは、層において部分的に除去され、回路の他の部分では530として残される。真性ポリシリコンは、優れた絶縁材料ではない。導体を有効に分離するためには、ポリシリコンは非常に厚くなければならない。F=0.3μmでも、750Åのポリシリコン層では、高濃度にドープされたソース/ドレインからの残留ドーピングおよびドーパント外方拡散のために、金属導体を殆ど分離することはできない。F=0.1μmのとき、ポリシリコン・スペーサは、たったの250Åになり、結果的に確実に短絡した構造になる。
本発明の場合、構造には、ドーパント外方拡散または残留ドーピング・レベルあるいはその両方による制限がない。実際、F=0.03μmの技術分岐点でも、様々な導体層は分離される。0.3μmと0.03μmの間には、2桁がある。
「Memory Cell with Vertical Transistor andBuried Word and Body Lines」という名称のForbes等の米国特許第5,909,618号では、非常に複雑なボディ・コンタクト構造を形成する一対のボディ領域(214)と近接してボディ線(208)が並べられたDRAMデバイスが記述され、また図示されている(図4)。ボディ領域(130)の垂直な側壁(223)に対して機械的電気的ボディ・コンタクトを形成するボディ線(208)は、埋め込み分離層(400)のように見えるものの上に形成されている。2つの隣り合うデバイスのボディ領域(214)へのコンタクトを簡単に作るために、Forbesは、ソース領域(212)からボディ線(208)を分離するために設けられた分離層に加えて、くぼんだスペーサ(218)を形成し、それからボディ線(208)を形成している。Forbesの他の複雑なことは、ドレイン層(216)に接触しないようにボディ線(208)がくぼんでいることである。
垂直トランジスタに関係しない「SOI-Body Selective LinkMethod and Apparatus」のFlaker等の米国特許第6,133,608号には、元の厚さ寸法のシリコン層が分離酸化層の上に形成されているSOIウェーハを含むプレーナFET SRAMシリコン・オン・インシュレータ(SOI)構造およびこれの製法が記載されている。少なくとも2つのSOI電界効果トランジスタ(PFET)の少なくとも2つのp型ボディがシリコン層に形成される。少なくとも2つのSOI電界効果トランジスタ(NFET)の少なくとも2つのn型ボディもまたシリコン層に形成される。酸化シリコン分離層の上のSOIウェーハのシリコン層に導電性ボディ連結が形成されて、p型SOIFETかn型SOIFETかどちらかの所望のボディを選択的に接続し、接続されたボディをフローティングにすることができる。
Flaker等の特許は、次のように述べている。「SOIデバイスでは、全てのボディはフローティングであるから、(その電源が必ずしも接地されていないかもしれないし、またはVddに接続されていないかもしれないNFETとPFETの両方を考えるとき)初期のボディ電位は接地近くからVdd近くまでの範囲に及ぶことができる。上で言及したように、これによって大きなVt不整合が生じる。この不整合によって、感知が遅くなるか(すなわち、より遅い設定パルスが必要になる)、または結果としてラッチを適切な方向に設定することが完全にできなくなる。Flaker等の特許にはまた、次のように記載されている。「対のデバイスのボディを連結することで、バルクCMOSに対するSOIの性能上の利点の大部分は維持される(たとえば、動的なVt低下)。他方で、全てのボディが一緒に接続された場合、どれか1つのデバイスの電荷状態はボディ電位に大きく影響しないかもしれない。さらに、全てのボディが一緒に接続された例では、性能はバルク・デバイスの性能に近づくかもしれない(接合キャパシタンスの減少を除いて)。」Flaker等の特許に関する問題は、その特許は、垂直SRAMデバイスではなくプレーナSRAMデバイスに関しているので、そのようなデバイスを形成するプロセスを示していないことである。さらに、Flaker等の特許は、垂直SRAMデバイスの製造または垂直SRAMデバイスの構造で使用するプロセスの種類についてどのような手引きも与えていない。
Vt不整合の信頼できないフローティング・ボディばらつきの問題のない垂直SRAMデバイスを提供するという問題がある。というのは、一方ではフローティング・ボディばらつきでデータが破壊されることがあり、または、Vt不整合の問題を克服するようにFETデバイスのボディにコンタクトを設けるデバイスでは、表面積が消費されるからである。
DRAM用途に関して、Forbes等が教示するようなものは、12欄58〜62行で、Vtは、サブスレッショルド洩れを起こさないように十分に高くなければならないことを教示している。負のワード線(WL)ロー(LOW)が検討されていない。Forbes等でボディ・コンタクトを取るために使用される方法には問題がある。第1に、ソース/ドレイン拡散とボディ・コンタクトの間で洩れが起きないようにするために、ボディ・コンタクトをチャネルと正確に垂直方向で位置合せする必要がある。第2に、ゲート制御されるチャネルおよび拡散にそのように近接したボディ・コンタクトの存在で、トランジスタ動作に重大な洩れ電流を課す、界面欠陥による極端に高いキャリア再結合速度が、導入されるかもしれない。
米国特許第6477080号 米国特許出願番号08/889396(米国特許第5909618号) 米国特許第6133608号
本発明によれば、利点は、ボディにコンタクトがとられる構成と比較して、面積の不利益がないことである。
さらに、本発明によれば、垂直MOSFETの対の共通ボディは、Vt整合を実現するように、互いに接続される。
他の目的は、ボディ線を形成する厄介な問題なしにボディ層を相互接続することであり、本発明のプロセスでは、ボディ層の下に入るまでドレイン領域にくぼみを作ることだけが必要となる。上記のForbes等の埋め込み分離層および埋め込みくぼみスペーサがなく、プロセスは簡単ですっきりしている。
本発明に従ったパス・ゲートFETすなわち伝達デバイスでは、従来の単一側面ゲートが囲繞ゲートに取り替えられる。この囲繞ゲートは、3次元(3D)チャネル体積空乏による遥かに高い相互コンダクタンス、いっそうの駆動性および効率を実現する。結果として、SRAMセルは外乱を受けることが少なく、すなわち、セル安定性がより優れている。
本発明に従って、垂直MOSFETの形の反対の交差結合FETのボディは、実際にコンタクトを形成する必要なしに(単結晶シリコンの連続する領域を使用して)相互接続される。目的は、Vtの絶対値と関係するようにしてではなく、Vt整合を達成することである。接続されたFETの対の少なくとも1つがいつでもボディ充電モードになっているために、Vtの値は常に合理的に低くなっている。したがって、ボディ電荷は、本発明のSRAMセルの交差結合FETに共有される。このように、本発明に従ったSRAMセルの交差結合FETでは、低いVtの値はクリティカルな問題ではない。
本発明で提供される構造では、Forbes等の実施形態では存在する、ソース/ドレイン拡散とボディ・コンタクトの間の漏れが起きないようにボディ・コンタクトをチャネルと正確に垂直方向で位置合せすることについての問題は、完全に回避される。また、Forbes等の実施形態では存在する、ゲート制御チャネルおよび拡散の近傍にあるボディ・コンタクト(これは、トランジスタ動作に重大な漏れ電流を課すかもしれない、界面欠陥による極端に高いキャリア再結合速度を導入しやすい)の存在に関する問題は、本発明で提供される構造では完全に回避される。反対の垂直MOSFETデバイス間の相互接続は、これらのMOSFETデバイスに共通のボディを形成する外乱をうけない単結晶シリコン・ブリッジで作られるので、上記の問題は解決される。
本発明に従って、垂直MOS FETスタティック・ランダム・アクセス・メモリ(SRAM)セルは、以下のステップで形成される。平面絶縁材に形成され互いに平行なアイランドにパターン形成されたシリコン層に、パス・ゲートFETを形成し、さらに第1の共通ボディおよび第1の共通ソース領域を有する、チャネル方向が前記平面絶縁材の平面方向(従って、半導体基板の面方向)に垂直な、垂直プルダウンFETの対を形成するステップ。
好ましくは、第1の共通ソース、第1の共通ボディおよび第1の共通ドレインを有す垂直るプルダウンFETを形成し、次に、この第1の共通ドレインを第1の誘電体分離領域で二分し、
第2の共通ソース、第2の共通ボディおよび第2の共通ドレインを有する垂直プルアップFETを形成し、次に、この第2の共通ドレインを第2の誘電体分離領域で二分するステップ。パス・ゲートFETは、平面FETおよび垂直FETから成るグループから選ばれる。好ましくは、垂直MOSFET SRAMセル、対のパス・ゲートFETを含む。FETは、非平面FETの上部拡散域とゼロ(M0)メタライゼーション層の間のリソグラフィ基準以下のボーダーレス・コンタクト構造で形成される。FETは、非平面FETのゲート電極とゼロ(M0)メタライゼーション層の間に形成されたリソグラフィ基準以下のボーダーレス・コンタクト構造と接続される。
好ましくは、FETトランジスタは、非平面トランジスタのゲート電極と第2のメタライゼーション層の間に形成されたリソグラフィ基準以下のボーダーレス・コンタクト構造と接続される。共通ゲート電極は、プルダウン垂直FETとプルアップ垂直FETを相互接続する。ゲート電極は、Si、SiGe、SiGeCから成るグループから選ばれた高濃度ドープ多結晶半導体材料で作られる。
好ましくは、半導体基板はシリコン・オン・インシュレータであり、垂直MOSFET SRAMセルは、シリコン・オン・インシュレータに形成される。すなわち、平面絶縁材の上にシリコン層を形成するステップと、シリコン層を互いに平行なアイランドにパターン形成するステップと、平行アイランドの第1のアイランドに、上部プルダウン層、プルダウン・ボディ層および下部プルダウン層を備えたドープ垂直プルダウン層を有するプルダウン・アイランドを形成するステップであって、上部プルダウン層および下部プルダウン層がプルダウン・ボディ層と反対の型のドーパントを有するものであるステップと、平行アイランドの第2のアイランドに、上部プルアップ層、プルアップ・ボディ層および下部プルアップ層を備えたドープ垂直プルアップ層を有するプルアップ・アイランドを形成するステップであって、上部プルアップ層および下部プルアップ層がプルアップ・ボディ層と反対の型のドーパントを有するものであるステップと、で形成される。
好ましくは、交差結合FET間の上部の共通拡散部を貫通してエッチングして、対の垂直プルダウンFETおよび垂直プルアップFETのドレイン領域を二分するプルダウン分離スペースおよびプルアップ分離スペースを形成し、これら分離スペースが共通ボディ層に達するものであるステップ。次に、SRAMセルを形成するように、パス・ゲートFET、垂直プルダウンFET、および、垂直プルアップFETを接続するステップ。
好ましくは、プルダウン分離スペースおよびプルアップ分離スペースを分離誘電体で満たすステップ。
垂直プルダウンFETおよび垂直プルアップFETの各々の最上部拡散のために共通拡散を形成し、次に、この共通拡散をエッチングしてくぼみを形成し、それによって、垂直プルダウンFETおよび垂直プルアップFETの各々の共通ボディの上に分離された分離拡散を形成するステップ。各くぼみを誘電体材料で満たすステップ。交差結合垂直プルダウンFETおよび交差結合垂直プルアップFETを相互接続する共通ゲート電極を形成するステップ。Si、SiGe、SiGeCから成るグループから選ばれた高濃度ドープ多結晶半導体材料のゲート電極を形成するステップ。全体的にまたは部分的に金属導体で作られたゲート電極を形成するステップ。パス・ゲート・トランジスタのソース、ドレイン、および、チャネルを完全にまたは部分的に囲繞するゲート電極を形成するステップ。垂直プルダウンFETおよび垂直プルアップFETに相互接続された共通ゲート電極を形成するステップ。
さらに、本発明に従って、垂直スタティック・ランダム・アクセス・メモリ(SRAM)セル・デバイスは下記のものを備える。このSRAMは、tパス・ゲートFTの対と、第1の共通ボディおよび第1の共通ソースを有する垂直プルダウンFETの対と、第2の共通ボディおよび第2の共通ソースを有する垂直プルアップFETの対とを備え、前記パス・ゲートFETの対、前記垂直プルダウンFETの対、および、前記垂直プルアップFETがSRAMセルを構成するように接続されている。
本発明の前述および他のMOSFET SRAMの態様および利点を、以下で添付の図面を参照して説明し、また記述する。
図1は、本発明の方法に従って作られた構造を示し、この構造は、チャネル方向が半導体基板の平面方向に対して垂直な、垂直チャネルMOSFET(金属酸化膜半導体電界効果トランジスタ)だけで構成された交差結合ラッチ・デバイスを含むSRAMセル10を備える。SRAMセル10全体は、パス・ゲートFETPG1/PG2および4個のFTPD1、PD2、PU1およびPU2で形成された交差結合FETを含み、112Fの面積(分離を含む)を占めている。SRAMセルのFTPD1、PD2、PU1およびPU2の交差結合対のドレインD2/D5およびD3/D4の相互接続は、下層レベルの配線M0(図30、31、32)と、ゲート電極に対してボーダーレスであるスタッドとで実現されている。下層レベル配線M0に対してボーダーレスである次のレベルの配線M1を使用して、FETPD1、PD2、PU1およびPU2を交差結合し、かつそれらの出力をSRAMセル10のパス・ゲートFETPG1およびPG2に接続している。
しかし、側壁スペーサ・ゲートの形成のようなリソグラフィ基準以下の技術を使用して垂直ゲートを製造すれば、SRAMデバイスのサイズは100F以下まで小さくすることができる。
さらに、本発明に従って、交差結合FETの垂直FETは、対向する側面でゲート制御され、単一側面ゲート制御デバイスに比べて、さらに多くのオン電流をもたらす。
本発明のパス・ゲートFETは、ここでは「囲繞ゲート・デバイス」と呼ぶ。その理由は、パス・ゲート電極のゲート電極構造がパス・ゲートFETのソース、ドレインおよびチャネルを囲繞しているからである。
本発明のSRAMセルの重要な利点は、垂直FETおよび囲繞ゲート構造のため
に従来のSRAMセルよりも低い電圧で動作することができ、低電力をもたらすことである。
図1に見られるように、ビット線導体BL/BL’は埋め込み拡散線で形成され、この拡散線は、抵抗低減のために、上に横たわる金属層または上に横たわるシリサイド層と周期的に結び付けることができる。同様に、Vdd線およびVss線は埋め込み拡散で構成される。両方ともボーダーレス・コンタクトを使用して、面積減少を実現することができる。
図1は、垂直FETだけで形成されたSRAMセル10を備える本発明に従模式的な説明図であり、酸化シリコンで形成することができる背面酸化物層BOXの外面に形成された6個の垂直FETの組であるSOI(シリコン・オン・インスレータ)SRAM構造10を有する。用語「BOX」(これは、背面酸化物層を意味するように使用されることが多く、また埋め込み酸化物層としても知られている)は、ここでは、図面の背面酸化物層を示すのに都合のよい参照文字として使用する。
背面酸化物層BOXの上に形成された6個のFETは、2個の垂直パス・ゲートFETPG1/PG2、2個の垂直プルアップFETPU1/PU2、および2個の垂直プルダウンFETPD1/PD2を含む。垂直プルアップFETPU1/PU2および垂直プルダウンFETPD1/PD2は、上で説明したように、図15およびその後の図面に示すような共通ゲート電極構造G2およびG3で交差結合されている。
共通ゲート構造G2は、垂直プルダウンFETPD1と垂直プルアップFETPU1とで共有され、これらのFETは、それぞれゲート電極G2’およびゲート電極G2”を含む。共通ゲート電極G2の一部を、垂直プルダウン・FETPD1のゲート電極G2’および垂直プルアップFETPU1のゲート電極G2”として、図1に示す。
同様な共通ゲート構造G3は、垂直プルダウンFETPD2と垂直プルアップFETPU2とで共有され、これらのFETは、それぞれゲート電極G3’およびゲート電極G3”を含む。共通ゲート電極G3の一部を、垂直プルダウン・FETPD2のゲート電極G3’および垂直プルアップ・FETPU2のゲート電極G3”として、図1に示す。
2個の垂直パス・ゲート・FETPG1/PG2の各々は、ドープ領域のN+/P/N+垂直スタックを含み、これらのドープ領域は、それぞれのスタックの上部にN+ドープ・ドレイン領域D1/D6を、およびそれぞれのスタックの下部にソース領域S1/S4を含み、その間にPドープ・チャネル領域を有する。さらに、垂直パス・ゲートFETPG1/PG2は、垂直スタックST1/ST4がゲート電極G1/G4で水平方向を囲繞された構成を有し(図21等一連のものを参照されたい)、P+ドープ・チャネルおよびN+ドープされたソースおよびドレイン領域と並置されたかなり大きなゲート電極表面を実現している。
垂直パス・ゲートFETPG1は、それの左および右側に示すゲート電極部G1’およびG1”を有するゲート電極G1を含み、このFETの上および下にあるN+ドープ・ドレイン/ソース領域D1/S1の間にサンドイッチ状に挟まれたPドープ中央チャネル領域を有するFETの垂直能動域を有する。実際、ゲートG1のゲート電極部G1’/G1”は、図27/29および同様な平面図に見られるように、パス・ゲートFETPG1の垂直能動域を囲繞している。同様に、ゲート電極G4のゲート電極部G4’/G4”は、図27/29および同様な平面図に見られるように、パス・ゲートFETPG2の垂直能動域を囲繞している。
垂直パス・ゲートFETPG2は、それの左および右側に示すゲート電極部G4’およびG4”を有するゲート電極G4を含み、このFETの上および下にあるN+ドープ・ドレイン/ソース領域D6/S4の間にサンドイッチ状に挟まれたPドープ中央チャネル領域を有するFETの垂直スタック能動域を有する。実際、ゲート電極G4’/G4”は、図27/29および同様な平面図に見られるようにパス・ゲートFETPG2の垂直能動域を囲繞している。
2個の垂直プルアップ・FETPU1/PU2は、共通ボディ領域CBPを共有し、この共通ボディ領域が本発明に従ってその2個のFETに共通のVt値を与えている。2個の垂直プルアップ・FETPU1/PU2は、共通N+ドープ・ソース領域S3の上の共通のPドープ・チャネル・ボディ領域CBPで形成されている。2個の垂直プルアップ・FETPU1/PU2のチャネル・ボディ領域CBPの上に、別個のN+ドープ・ドレイン領域D1/D2があり、このドレイン領域D1/D2は、その間にある誘電体領域STIで分離されている。この誘電体領域STIは、好ましくは浅いトレンチ分離領域である。したがって、2個の垂直プルアップ・FETPU1/PU2は、共通のVt値および共通のボディCBPを有し、さらに、共通のソースS3が、誘電体領域STIで分離された分割ドレインD4/D5の下に位置している。
同様に、2個の垂直プルダウン・FETPD1/PD2は、共通ボディ領域CBNを共有し、これによって本発明に従って2個の垂直プルダウンFETに共通のVt値を有する。2個の垂直プルダウンFETPD1/PD2は、共通P+ドープ・ソース領域S2の上の共通Nドープ・チャネル・ボディ領域CBNで形成されている。2個の垂直プルダウン・FETPD1/PD2のP+ドープ・ドレイン領域D2/D3は、その間にある誘電体領域STIで分離されている。この誘電体領域STIは、好ましくは浅いトレンチ分離領域で構成される。したがって、2個の垂直プルダウン・FETPD1/PD2は共通のVt値を有し、共通のボディCBNが、誘電体領域STIで分離された分割ドレインD2/D3の下に位置している。
図2は、図1のデバイスの回路図を示し、垂直パス・ゲート・FETPG1のゲートG1は、ノードN5によってワード線WLに接続され、またパス・ゲート・FETPG2のゲートG4は、ノードN6によってワード線WLに接続されている。垂直パス・ゲートFETPG1、PG2はSRAMセル10のデータの書き込み、読み出し用のFETである。
垂直パス・ゲート・FETPG1のソースS1は、ノードN3によってビット線BLに接続され、垂直パス・ゲート・FETPG1のドレインD1は、ノードN2によって、FETPU1およびPD1のドレインD2/D5およびFETPU2およびPD2のゲート電極に接続されている。
垂直パス・ゲート・FETPG2のソースS4は、ノードN4によってビット線BL’に接続され、垂直パス・ゲート・FETPG2のドレインD6は、ノードN1によって、FETPU2およびPD2のドレインD3/D4およびFETPU1およびPD1のゲート電極に接続されている。
垂直プルダウン・FETPD1/PD2は、P+ドープ・ソース/ドレイン領域の間にサンドイッチ状に挟まれたNドープ・チャネル領域を有し、また、それぞれゲート電極G2/G3を有する。垂直プルダウン・FETPD1/PD2の共通ソースS2は、背面酸化物層BOXの表面に位置し、ノードN8によって電圧Vssに接続している。上で示したように、垂直プルダウン・FETPD1のドレインD2はノードN2に接続され、垂直プルダウン・FETPD2のドレインD4はノードN1に接続されている。
垂直プルアップ・FETPU1/PU2は、P+ドープ・ソース/ドレイン領域の間にサンドイッチ状に挟まれたNドープ・チャネル領域を有し、また、それぞれゲート電極G2’/G3’を有する。垂直プルアップ・FETPU1/PU2の共通ソースS3は、背面酸化物層BOXの表面に位置し、ノードN7によって電圧源Vddに接続している。上で示したように、垂直プルアップ・FETPU1のドレインD5はノードN2に接続され、垂直プルアップ・FETPU2のドレインD4はノードN1に接続されている。
留意されたいことであるが、下の方の点線CBNは、垂直プルダウン・FETPD1/PD2のチャネルが共通ボディCBNの中に含まれることを示し、他の点線CBPは、垂直プルアップ・FETPU1/PU2のチャネルが共通ボディCBPの中に含まれることを示している。
ノードN1は、相互接続線I1を介して、垂直プルダウン・FETPD1のゲート電極G2’および垂直プルアップ・FETPU1のゲート電極G2”に交差接続されている。
ノードN2は、相互接続線I2を介して、垂直プルダウン・FETPD2のゲート電極G3’および垂直プルアップ・FETPU2のゲート電極G3”に交差接続されている。
実際の物理的な構造(以下の図で示すような)は、N型垂直プルダウンFETPD1/PD2とP型垂直プルアップFETPU1/PU2の交差結合対を折り重ねるので、共通ゲートG2(G2’/G2”)およびG3(G3’/G3”)の各対は同一平面上にある。
プロセス
本発明に従って図1および図36〜38の構造を形成する方法を、図3〜5から図36〜38を用いて説明する。
SOIセルのシリコン・アイランドの形成
図3〜5を参照して、本発明の例示的な実施形態の製造における初期段階を示す。出発材料は、窒化シリコンで覆われたシリコン層で覆われている背面酸化物層BOX(酸化シリコンで形成された)を備える標準的なシリコン・オン・インシュレータ(SOI)・ウェーハすなわち半導体基板10であり、パッド層SNは、互いに平行なアイランドL1〜L4(水平で平行なストライプと見ることもできる)を形成するために使用されたフォトレジスト・マスクPRで被覆されており、この互いに平行なアイランドは、シリコンSiで構成され、分離スペースIS(これはまた、図3〜5に見られるように、水平で平行なトレンチと見ることもできる)で隔てられている。
図3は、出発材料としてのウェーハの表面にある図1/2のSRAMセル10の単一セルの平面図を示す。このウェーハの表面に、シリコンで構成された平行なアイランドL1〜L4のパターンが形成されている。アイランドL1〜L4は、背面酸化物層BOXの上のトレンチ状分離スペースISで隔てられている。上で示したように、分離スペースISは水平に、すなわち図面上で左から右に延びている。
図4は、アイランドL2を二分する断面線Y−Y’に沿った図3のSRAMセル10の垂直正面図を示し、背面酸化物層BOX(図面の下部)はシリコン層Sを支持し、このシリコン層Siの上に薄い窒化シリコンすなわちパッド層SNが形成されている。パッド層SNは、フォトレジスト・マスクPRを形成するようにパターン形成され使用されたフォトレジスト層で覆われている。フォトレジスト・マスクPRは、パッド層SNおよびシリコン層Sの不要な部分を除去して、アイランドL1〜L4を形成するエッチング(好ましくは、RIE(反応性イオン・エッチング)プロセスで)を行うために使用された。
図3の線X−X’に沿った左側の正面図である図5において、アイランドL1〜L4の間の分離スペースISは、フォトレジスト・マスクPR、窒化シリコンすなわちパッド層SNおよびシリコンSiを貫通して背面酸化物層BOXの外面までエッチングされたものとして、示されている。
上で示したように、エッチング・プロセスを使用して、SOIデバイスのシリコン層Siを貫通してエッチングして背面酸化物層BOXの表面にアイランドL1〜L4の組を形成する。パッド層SNの堆積、フォトレジスト・マスクPRの堆積、および従来のフォトリソグラフィ技術に従ったフォトレジスト・マスクPRのパターン形成のような標準的なパターン形成技術を使用して、アイランドL1〜L4をパターン形成することができる。パターン形成されたフォトレジスト・マスクPRをマスクとして使用してパッド層SNをパターン形成してパッド層SNを中間マスク層に変換した後で、RIE反応種でシリコン層Siをエッチングする。このRIE反応種は、マスク材料を保護するように選択的であり、また背面酸化物BOXの酸化シリコンに対して選択的であるが、一方で、フォトレジスト・マスクPRを通して露出された窒化シリコンSNおよびシリコンSiを除去する。RIEエッチング・プロセスは、シリコンSiを通して継続し、遂には、当業者はよく理解するように、背面酸化物BOXの外側(一番上の)表面に達し、そこでエッチングは停止する。
垂直方向ソース/ドレインおよびチャネル領域をアイランドにイオン打ち込み
図6〜8は、阻止マスク(図示しない)を使用してマスク・イオン打ち込みを行った後の図3〜5の構造を示す。アイランドL1〜L4の側壁は、犠牲酸化シリコン層SOの薄い層で一時的に覆われている。NおよびP型ドーパントのイオン打ち込みステップで、平行なシリコン・アイランドL1〜L4に垂直方向に選択的に打ち込みが行われるのが図示されている。
アイランドL3の場合には、当業者は理解するように、一連のイオン打ち込みステップによって、P+/N/P+ドープ・シリコン領域の垂直スタックが生成され、このスタックは、図9〜11から図36〜38で示されるようにその後の処理ステップで使用されて、垂直プルダウンFETPD1/PD2のソース、チャネル、およびドレインの領域を形成する。
アイランドL1、L2およびL4の場合には、当業者は理解するように、一連のイオン打ち込みステップによって、N+/P/N+ドープ・シリコン領域の垂直スタックが生成され、このスタックは、図9〜11から図36〜38で示されるようなその後の処理ステップで使用されて、垂直プルアップFETPU1/PU2のソース、チャネル、およびドレインの領域を形成する。
以下で詳細に説明する図24〜26、図27〜29、および図30〜32を参照していくらか詳細に示されるように、特に、ドーピング・プロセスは、残りのFETPG
1、PU2/PU1およびPG2それぞれに最後に形成されるソース/ドレインおよびチャネル領域を形成するように行われる。2個の外側のアイランドL1/L4は、垂直パス・ゲートFETPG1/PG2のための垂直NMOSFET、埋め込みビット線拡散および図1の補完物を形成するために準備されている。2個の内側アイランドL2〜L3は、図1に示す4個の垂直MOSFETPU1/PU2/PD1/PD2および埋め込みVdd線と埋め込みVss線を備える交差結合FETを作るために準備されている。イオン打ち込みステップの完了後、犠牲酸化シリコン層SOを除去する。
FETスタックをパターン形成するためのハード・マスクの形成
図9〜11は、薄い窒化シリコン・ライナSLが共形的に堆積された後の図6〜8の構造を示し、この薄い窒化シリコン・ライナSLは、パッド層SN、アイランドL1〜L4の側壁および背面酸化物層BOXを含んだデバイスの表面を覆っている。薄い窒化シリコン・ライナSLは、次のRIEエッチング・ステップのためのエッチング停止層にパターン形成するために設けた。次のステップは、ハード・マスクOX1を形成することであった。最初に、酸化シリコンの一面被覆層を堆積し、平坦化し、ハード・マスクOX1にパターン形成した。ハード・マスクOX1は、CVD酸化物堆積のようなプロセスで形成される。それから、ハード・マスク層を平坦化し、その厚さがパッド層SNの上面を覆うのに十分である状態で止めて、4個のアイランドL1〜L4を除いて4個のアイランドL1〜L4の間のスペースを含んでデバイスの上に平坦な表面を形成した。このように、分離スペースISをハード・マスクOX1で埋めた。次に、ハード・マスク層OX1の上に、当業者なら理解するように、直交して走るストライプ(図9の上部近くから下部近くまで延びる)から成るパターン形成マスク(図示しない)を形成した。ハード・マスクOX1のパターンは、図面で上下方向にシリコンの元のアイランドL1〜L4の中央部を覆い、RIEエッチング・プロセスを使用して、ハード・マスクOX1のパターンを酸化物に形成した。このRIEエッチングは、パッド層SNおよび窒化シリコン・ライナSLを含んで窒化シリコンに対して選択的である。ハード・マスクOX1の酸化シリコンのパターン形成は、エッチング停止層として作用する窒化シリコン・ライナSLで停止し、これによって、背面酸化物BOX中へのエッチングが起こらないようになる。
アイランドで垂直デバイス・スタックを形成
図12〜14は、ハード・マスクOX1(既に除去されている)のパターンの4個の垂直スタックST1〜ST4を備える能動域を形成するようにアイランドL1〜L4をエッチングした後の図9〜11の構造を示す。好ましい方法は、RIEによる等方性垂直エッチングを行って、ハード・マスクOX1で保護されていないものとして図9〜11に示されるアイランドL1〜L4の部分から4個の垂直スタックST1〜ST4を形成することである。垂直スタックST1〜ST4を除いて、RIEエッチング・プロセスは完成まで進まないが、アイランドL1〜L4のレベルを部分的に下げるまで継続する。RIEエッチング・プロセスは、4個のシリコン・アイランドL1〜L4の窒化シリコン・パッドSN(ハード・マスク層OX1で保護されていない)の露出部分およびその下にある部分を通って、背面酸化物層BOXの表面より上の、図13に示すようなN+/P/N+層の一番下のN+のレベルの一部だけおよびP+/N/P+層の一番下のP+のレベルの一部だけが残るレベルに達し、スタックST1〜ST4を除いたアイランドL1〜L4から形成された埋め込み導体BC1、BC2、BC3、およびBC4の組が実現されるようになる。
埋め込み導体/ビット線の形成
垂直スタックST2を除いて、図12のスタックST2の断面図を示す図13で示すように、窒化シリコン・パッド層SNおよび上の2つのN+ドープおよびPドープ領域は完全にエッチング除去され、また、下のN+ドープ領域の一部は元のSiアイランドL2のベースの露出部分の場所でも除去されて、高密度プラズマ(HDP)酸化物層HDの下にある埋め込み導体BC2の形の薄い層だけが残っている。
図12に示す他の3つのスタックST1、ST3およびST4を参照して、垂直スタックST2の場合のように、スタックST1の下部N+ドープ層を残し、かつスタックST3の下部P+ドープ層を残し、かつスタックST4の下部N+ドープ層を残す深さまで、パターン形成されたアイランドL1、L3およびL4がRIEでエッチングされたときに、RIEプロセスは終了する。
4つのスタックST1〜ST4の4つの下部層の残っている厚さは、その下部層が各アイランドL1〜L4の最下部拡散で埋め込み導体BC1、BC2、BC3およびBC4として作用するのに十分であり、これらの埋め込み導体から、図36に示すように、埋め込みビット線BL、埋め込みビット線BL’、埋め込み接続線Vdd、および埋め込み接続線Vssが形成される。以上をまとめると、以下でより詳細に説明するように、パターン形成されたアイランドL1/L4の最下部拡散は、それぞれビット線BL’/BLを形成するために使用され、ビット線L2/L3の最下部拡散は、図36に示すようにVss線およびVdd線を実現するために使用される。
第2犠牲酸化物の形成および除去
露出表面を酸化して犠牲層を形成し、次に、この犠牲層を除去して、表面の不純物を除去する。これによって、好ましくは、下部接合の縁部を露出させる。
ビット線の上に高密度プラズマ酸化物層を形成
図13および14は、高密度プラズマ(HDP)酸化物層HDを示し、この高密度酸化物層HDは、図13の埋め込み導体BC2の表面およびスタックST2の上面の犠牲酸化物を含んで残っている側壁酸化物を全て除去した後で形成される。図14において、HDP酸化シリコン層HDは、スタックST1〜ST4の上面および背面酸化物BOXの露出表面を覆う。HDP酸化シリコン層HDの形成プロセスは、次の処理でエッチング停止層を実現するのに十分な厚さまで行われる。これによって、次のステップで形成される垂直MOSFETの縁部で、下部ソース/ドレイン(S/D)とゲートの部分的重なりが確実に得られるようになる。
ゲート誘電体の形成
それから、図13および14に見られるように、垂直FETの垂直ゲート誘電体GD層が、スタックST1〜ST4の露出シリコン側壁表面に形成される。たとえば、垂直ゲート誘電体GDは、熱SiO2および/または窒化SiO2のような誘電体材料で構成することができる。
留意されたいことであるが、図13に見られるように、スタックST2は、共有Pドープ共通ボディCBであるPドープ領域CBPを含み、このPドープ領域CBPは、図27および28に示す垂直プルダウンFETPD1/PD2の中に形成される。同様に、スタックST3は、垂直プルアップFETPU1/PU2で共有される共通ボディCBNを含む。
ドープまたはアンドープ・ゲート・ポリシリコンの堆積
図15〜17は、ゲート・ポリシリコン層GP(ゲート導体にパターン形成される)の一面被覆堆積を堆積し平坦化した後の図12〜14の構造を示す。図15〜17に示すように、ゲート・ポリシリコン層GPは、図16および17に見られるように、パッド層SNの上面まで、すなわち4個のスタックST1〜ST4の上端まで平坦化される。パッド層SNの上面に残っているHDP酸化物HDは全て平坦化プロセスで除去されて、図15に示すように、スタックST1〜ST4の上に窒化シリコン・パッドSNが露出したままになっている。
ゲート・ポリシリコン層GPは、ドープされているかもしれないし、またはアンドープであるかもしれない。層GPがドープされる場合、N+ドーピングを使用してN+ゲート制御NFETおよびN+ゲート制御PFETを形成することができる。プロセスのもっと後でゲート導体にドープしたい場合には、図18〜20に示す後のゲート・ポリシリコン・エッチングのプロセスに続いて、好ましくは図21〜23および24〜26に見られるハード・マスクHM除去の後で、ゲート・ポリシリコン層GPに打ち込むことができる。
インバータのためのゲート電極および分離領域の事前画定
図18〜20は、ハード・マスク材料HM(すなわち、PR、酸化シリコン、Al2O3)が堆積され図18に見られる4つのパターンでパターン形成されるパターン形成の後の図15〜17の構造を示す。ここで、図18は、図19の断面線7A−7A’に沿った断面であり、ハード・マスク材料HMのレベルの下の構造を示している。留意されたいことであるが、狭いスロットNSを通して垂直スタックST2およびST3の中央部分に窒化シリコンSNを露出させるマスクHMの2つの断面がある。ハード・マスクHMを除いて、露出されたゲート・ポリシリコンGPの横部分は、ハード・マスクHMの材料、窒化シリコン・パッド層SNおよび酸化シリコンに対して選択的なRIEエッチング・プロセスによってほぼ下部接合の深さまでエッチング除去される。その結果、スタックを除いて、図20に示すような実質的な厚さでゲート・ポリシリコンGP’の厚さが残り、さらにHDP酸化物HDおよび埋め込み導体BC2の余分な厚さの上に図19に見られるような非常に薄い層GP’残るようになる。これは、4つのゲート電極G1〜G4、および交差結合FETの隣り合う出力ノード拡散を分離する分離領域を画定するプロセスの事前ステップである。
さらに、ゲート・ポリシリコンGPのエッチングで、この時点で薄く残っているゲート・ポリシリコン層GP’で接続されている交差結合FETのゲート電極を分離するプロセスが始まっている。このように、ゲート・ポリシリコンGPのエッチングで、プルアップFETPU1のゲート電極G2”垂直プルアップFETPU2のゲート電極G3”から分離するプロセスが始まっている。同様に、ゲート・ポリシリコンGPのエッチングで、垂直プルダウンFETPD1のゲート電極G2’垂直プルダウンFETPDのゲート電極G3’から分離するプロセスが始まっている。
パッド窒化物からHDP酸化物HDまで通したエッチング
図21〜23は、マスク材料に対して選択的で、好ましくは酸化シリコンおよびシリコンに対しても選択的なRIEエッチングによって、パッド層SNの露出部分が除去された後の図18〜20の構造を示す。図21は、図22の線8A−8A’に沿った、マスクHMのレベルの下の断面図である。図23は、ハード・マスクHMで覆われたスタックST1およびST4を示す。図22を参照して、露出Si、およびゲート・ポリシリコンGP’の残っている部分は、マスク材料および酸化シリコンに対して選択的なRIEによってエッチングされる。この最終的なRIEによって、RIEの第1の部(図18〜20)の後で残っていた残留ゲート・ポリシリコン層GP’が、エッチング停止層として作用するHDP酸化物HDの表面まで除去される。これによって、垂直プルアップFETPU1のゲート導体G2’を垂直プルアップFETPU2のゲートG3’から分離するプロセスと同時に垂直プルダウンFETPD1のゲート電極G2”垂直プルダウンFETPDのゲート電極G3”から分離するプロセスも完成する。ここで、ゲート電極G2’およびG2”から共通ゲート電極G2が構成され、ゲート電極G3’およびG3”から共通ゲート電極G3が構成される。
交差結合FETスタックの分離
RIEエッチングは、狭いスロットNSを通して、窒化シリコン・パッドSNの露出部分の除去によって残された狭いスロット開口NS’を完全に通して継続して、スタックST2およびST3の上部ソース領域拡散を貫通してエッチングして、インバータMOSFETデバイスのチャネル領域CBP(図1および22に示される)およびCBN(図1に示される)の中に、上部ソース拡散が二分されたことが保証される深さまで入る。図22は、狭いスロット開口NS’を通したRIEエッチングの結果として、垂直プルアップFETPU1のソース領域が垂直プルアップFETPU2のソース領域から分離されていることを示す。同様に、狭いスロット開口NS’を通したRIEエッチングによって、垂直プルダウンFETPD1のソース領域が垂直プルダウンFETPD2のソース領域から分離される。言い換えれば、スタックST2およびST3の上部ソース拡散を貫通するエッチングによって、交差結合FETの反対の出力ノードN1/N2は、狭いスロット開口NS’の底で互いに分離されている。
FETのSTI分離を形成する平坦化酸化シリコンの堆積
図24〜26は、当業者にはよく知られている浅いトレンチ分離(STI)形成方法を使用して、ハード・マスクHMを除去し、CVDTEOSまたはHDPで一面被覆酸化シリコン平坦化層OX2を堆積し、さらに、残っているパッド層SNの上面を露出させるように平坦化した後の図21〜23の構造を示す。狭いスロット開口NS’、狭いスロットNSに堆積された酸化シリコン平坦化層OX2の部分は、誘電体STI分離構造STIを形成し、隣り合うFETPD1/PD2およびPU1/PU2それぞれの間でFETのソース領域を分離し、同時に、共通ボディ構造を図1に示すように維持する
コンタクト形成に備えるためのくぼみのゲート電極
図27〜29は、よく知られている方法を使用して、図28のポリシリコン・ゲート電極G2’/G3’および図27のゲート電極G1(G1’/G1”)、G2(G2’/G2”)、G3(G3’/G3”)、およびG4(G4’/G4”)の露出表面を、酸化シリコン層OX2の平坦化表面の中に引っ込ませた後の図24〜26の構造を示す。以下で説明するように、くぼみを犠牲酸化物キャップCOで埋める。プロセスのこの点で、窒化シリコン・パッド層SNの残っている部分は、スタックST1〜ST4の6個の垂直FEG1、PG2、PU1、PU2、PD1、およびPD2のドレインの場所の上にある。くぼみは、残っている窒化シリコン・パッド層SNを除いて、ドレイン・コンタクトの形成の準備をする初期のステップ(図33〜35で示すステップ)で形成される。くぼみは、既に形成されているスタックST1〜ST4の垂直FETPG1、PG2、PU1、PU2、PD1、およびPD2のドレインの場所の上のレベルに達する。
くぼみに酸化シリコン・キャップを充填
犠牲酸化物キャップCOは、残っている窒化シリコン・パッド層SNの上面まですでに平坦化されている一面被覆CVD酸化物層の堆積だけで、くぼみの中に形成されている。ゲート導体の上に形成された酸化物キャップCOは、垂直MOSFETの上部S/D拡散へのボーダーレス・コンタクトを後で形成するのを容易にするために設けられる。ボーダーレス・コンタクト(すなわち、図33に示すコンタクトBS1〜BS4および図34に断面で示すボーダーレス・コンタクトBS2)を設けることで、重大な密度の利点を実現することができる。
ゼロ・レベル配線メタライゼーションの形成
図30〜32は、残っているパッド層SNが他の材料(すなわち、平坦化酸化シリコン層OX2,シリコン)に対して選択的にエッチング除去され、FETPG1、P
G2、PU1、PU2、PD1、およびPD2の能動域のパッド層の下にあるドープ・ドレイン領域を露出させた後の図27〜29の構造を示す。パッド層SNの残っている窒化シリコンを除去するために、様々なエッチングのどれでも使用することができる。上述の構造からのパッド層SNの除去は、フッ化エチレングリコール(HFEG)を使用して行うことができる。パッド層SNを除去する他の方法は、RIEによるエッチングである。/kk
85
それから、下位レベルの導電性メタライゼーション層M0(ゼロ層として産業界で知られ、タングステン(W)のような金属で構成される)、および上で示したように下位すなわちゼロ・レベルの導電性層M0の上に横たわる窒化シリコン・キャップCNを含んで、堆積が形成され、パターン形成される。ゼロ・レベル・メタライゼーション層M0は、FETPG1、PG2、PU1、PU2、PD1およびPD2の能動域のドープ・ドレイン領域へのコンタクトを形成する。当技術分野で慣例であるように、ゼロ・レベル・メタライゼーション層M0の堆積前にライナを使用することができる。このゼロ・レベル・メタライゼーション層M0は、各インバータとしてののNFET/PFETPU1、PU2、PD1およびPD2のドレイン間の相互接続を形成し、また、パス・ゲート・トランジスタPG1/PG2のより上の(ドレイン)領域へのその後のコンタクトのための導電性ビアを形成する。
M0メタライゼーションと平行してスペーサを形成
次に、窒化シリコン層を堆積し、RIEでエッチングして、図33および34に示すように、ゼロ・レベル・メタライゼーション層M0と金属コンタクト・スタッドBS1〜BS4の間の電気的な分離を実現する誘電体として作用するように示されるような窒化シリコン側壁スペーサSPおよび窒化シリコン・キャップCNを形成する。
ゲート電極へのコンタクトとしてのボーダーレス・スタッドの形成
図33〜35は、第3の酸化シリコン層OX3が堆積され、ゼロ・レベル・メタライゼーション層M0の上に形成された窒化シリコン・キャップCNの上面まで平坦化された後の図30〜32の構造を示す。窒化シリコンSiN側壁スペーサSPおよび窒化シリコン・キャップCNを所定の位置に残すように選択的に、また同時に、4つのゲート電極G1、G2、G3およびG4のゲート・ポリシリコンの上面を露出させながら、第3の酸化シリコン層OX3にビアをあける。上のメタライゼーション層M1を堆積し、ゼロ・レベル・メタライゼーション層M0の窒化シリコン・キャップCNの上面まで平坦化して、4つのゲート・電極G1、G2、G3およびG4に達する金属コンタクト・スタッドBS1〜BS4を形成する。スタッドBS1〜BS4は、ゼロ配線レベル・メタライゼーション層M0に対してボーダーレスであり、ここで上のメタライゼーション層M1と呼ぶ「第1」の配線レベル層に接触するように後で使用される。
第1レベル配線メタライゼーションの形成
図36〜38は、第1レベル配線である上のメタライゼーション層M1を堆積し、「第1」レベルの配線を形成するように画定した後の図33〜35の構造を示す。上のメタライゼーション層M1は、交差結合FETPU1/PD1およびPU2/PD2の対の間の相互接続線I1/I2、交差結合出力ノードN1とパス・ゲートFETPG2の間の接続、ノードN2のパス・ゲートFETPG1への接続、およびパス・ゲートFETのゲートG1およびG2のワード線WLへの接続、を形成する。
バス抵抗を減らすために、配列を中断して埋め込み拡散線(ビット線、ビット線バー、Vdd、Vss)をより上の配線レベルと周期的に結び付けることができる。チップの完成に向けての標準的な処理では、この後に、より上の層間誘電体、ビアおよび配線レベルの形成が続く。
他の特徴
上述の実施形態のパス・ゲート・トランジスタは垂直トランジスタであるとして示されているが、当業者はよく理解するように、パス・ゲート・トランジスタは平面か垂直かどちらかであることができる。さらに、よく知られている二ポートSRAMデバイスを形成する2対のパス・ゲート・トランジスタであるかもしれない。
ゲート電極は、高濃度ドープ多結晶半導体で形成することができるし、または代わりに、ゲート電極は、全体的にまたは部分的に金属導体で形成することができる。
半導体は、シリコン(Si)、シリコン・ゲルマニウム(SiGe)またはシリコン・ゲルマニウム・カーバイド(SiGeC)から成るグループから選ぶことができる。
概要
周辺(伝達)ゲートを含めて全て垂直MOSFETを使用して、高性能、低電力および小チップ・サイズを同時に達成するSRAMセル設計を教示した。ボーダーレス・コンタクトを電源線、ワード線、およびビット線に適用する。リソグラフィ基準以下の側壁スペーサ・ゲートを使用して、一層のサイズ縮小を達成することができる。感知増幅器、差動増幅器などのような従来の交差結合デバイスを通常使用するかもしれない回路に
も、高密度垂直交差配置を適用することができる。インバータの垂直プルアップFETの対および垂直プルダウンFETの対は、共通Vt値を実現する共通ボディ領域CBPおよびCBNを共有して、Vt不整合の問題を克服する。
本発明は上記の特定の実施形態の見地から説明したが、当業者は認めるであろうが、本発明は、添付の特許請求の範囲の精神および範囲内の修正で実施することができる。すなわち、本発明の精神および範囲から逸脱することなしに形および細部で変更することができる。したがって、そのような変更全ては本発明の範囲に入り、また、本発明は、次の特許請求の範囲の内容を包含する。
このように本発明を説明したので、新規なものとして請求され、また特許証で保証されることが望ましいものは次の通りである。
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)垂直スタティック・ランダム・アクセス・メモリ(SRAM)セル・デバイスを形成する方法であって、
パス・ゲートFETトランジスタを形成するステップと、
第1の共通ボディおよび第1の共通ソース領域を有する垂直プルダウンFETトランジスタの対を形成するステップと、
第2の共通ボディおよび第2の共通ソース領域を有する垂直プルアップFETトランジスタの対を形成するステップと、
前記FETトランジスタをSRAMセル回路に接続するステップとを備える方法。
(2)FETの最上部拡散のために共通拡散を形成し、次に、前記拡散をエッチングしてくぼみを形成し、それによって共通ボディの上に分離された拡散を形成するステップを含む、上記(1)に記載の方法。
(3)FETの最上部拡散のために共通拡散を形成するステップと、
前記共通拡散をエッチングして、前記第1の共通ボディおよび前記第2の共通ボディの各々の上にくぼみを形成し、それによって、前記第1の共通ボディおよび前記第2の共通ボディの各々の上に分離された拡散を形成するステップと、
各くぼみを誘電体材料で満たすステップとを含む、上記(1)に記載の方法。
(4)第1の共通ソース、第1の共通ボディおよび第1の共通ドレインを有するプルアップFETトランジスタを形成し、次に、前記第1の共通ドレインを第1の誘電体分離領域で二分するステップと、
第2の共通ソース、第2の共通ボディおよび第2の共通ドレインを有するプルダウンFETトランジスタを形成し、次に、前記第2の共通ドレインを第2の誘電体分離領域で二分するステップとを含む、上記(1)に記載の方法。
(5)前記パス・ゲートFETトランジスタが、平面トランジスタおよび垂直トランジスタから成るグループから選ばれる、上記(1)に記載の方法。
(6)前記SRAMデバイスが、二ポートSRAMセルを形成する二対のパス・ゲートFETトランジスタを含む、上記(1)に記載の方法。
(7)前記FETトランジスタを、非平面トランジスタの上部拡散域とゼロ(M0)メタライゼーション層の間に形成されたリソグラフィ基準以下のボーダーレス・コンタクト構造と接続するステップを含む、上記(1)に記載の方法。
(8)前記FETトランジスタを、非平面トランジスタのゲート電極とゼロ(M0)メタライゼーション層の間に形成されたリソグラフィ基準以下のボーダーレス・コンタクト構造と接続するステップを含む、上記(1)に記載の方法。
(9)前記FETトランジスタを、非平面トランジスタのゲート電極と第2のメタライゼーション層の間に形成されたリソグラフィ基準以下のボーダーレス・コンタクト構造と接続するステップを含む、上記(1)に記載の方法。
(10)共通ゲート電極が、プルダウン垂直FETとプルアップ垂直FETを相互接続する、上記(1)に記載の方法。
(11)前記ゲート電極が、Si、SiGe、SiGeCから成るグループから選ばれた高濃度ドープ多結晶半導体材料で作られる、上記(1)に記載の方法。
(12)前記デバイスがシリコン・オン・インシュレータ・デバイスであって、前記シリコン・オン・インシュレータ・デバイスが、
平面絶縁材の上にシリコン層を形成するステップと、
前記シリコン層を平行なアイランドにパターン形成するステップと、
前記平行アイランドの第1のアイランドに、上部プルアップ層、プルアップ・ボディ層および下部プルアップ層を備えたドープ垂直プルアップ層を有するプルアップ・アイランドを形成するステップであって、前記上部プルアップ層および前記下部プルアップ層が前記プルアップ・ボディ層と反対の型のドーパントを有するステップと、
前記平行アイランドの第2のアイランドに、上部プルダウン層、プルダウン・ボディ層および下部プルダウン層を備えたドープ垂直プルダウン層を有するプルダウン・アイランドを形成するステップであって、前記上部プルダウン層および前記下部プルダウン層が前記プルダウン・ボディ層と反対の型のドーパントを有するステップと、
前記上部プルダウン層を二分するプルダウン分離スペースを形成するようにエッチングして、前記対の垂直プルダウンFETトランジスタのプルダウン・ドレイン領域を形成するステップであって、前記プルダウン分離スペースが前記プルダウン・ボディ層に達するステップと、
前記上部プルアップ層を二分するプルアップ分離スペースを形成するようにエッチングして、前記対の垂直プルアップFETトランジスタのプルアップ・ドレイン領域を形成するステップであって、前記プルアップ分離スペースが前記プルアップ・ボディ層に達するステップと、
前記プルダウン分離スペースおよび前記プルアップ分離スペースを分離誘電体で満たすステップと、で形成される上記(1)に記載の方法。
(13)FETの最上部拡散のために共通拡散を形成し、次に、前記拡散をエッチングしてくぼみを形成し、それによって共通ボディの上に分離された拡散を形成するステップを含む、上記(12)に記載の方法。
(14)FETの最上部拡散のために共通拡散を形成するステップと、
前記共通拡散をエッチングして、前記第1の共通ボディおよび前記第2の共通ボディの各々の上にくぼみを形成し、それによって、前記第1の共通ボディおよび前記第2の共通ボディの各々の上に分離された拡散を形成するステップと、
各くぼみを誘電体材料で満たすステップとを含む、上記(12)に記載の方法。
(15)共通ゲート電極が、プルダウン垂直FETとプルアップ垂直FETを相互接続する、上記(12)に記載の方法。
(16)前記ゲート電極が、Si、SiGe、SiGeCから成るグループから選ばれた高濃度ドープ多結晶半導体材料で作られる、上記(12)に記載の方法。
(17)前記ゲート電極が、全体的にまたは部分的に金属導体で作られる、上記(12)に記載の方法。
(18)前記ゲート電極が、完全にまたは部分的に前記トランジスタ・ボディを囲繞する、上記(12)に記載の方法。
(19)共通ゲート電極が、プルダウンおよびプルアップ垂直を相互接続する、上記(12)に記載の方法。
(20)パス・ゲート垂直FETトランジスタの対と、
第1の共通ボディおよび第1の共通ソースを有する垂直プルダウンFETトランジスタの対と、
第2の共通ボディおよび第2の共通ソースを有する垂直プルアップFETトランジスタの対とを備え、
前記FETトランジスタがSRAMセル回路に接続されている垂直スタティック・ランダム・アクセス・メモリ(SRAM)セル・デバイス。
本発明の方法に従って作られた構造を示す図であり、この構造は、共通ボデ ィを持つプルアップFETと共通ボディを持つプルダウンFETとを有 する垂直チャネルMOSFET(金属酸化膜半導体電界効果トランジスタ)だけで構 成される交差結合ラッチ・デバイスを含むSRAMセルを備える。 図1のデバイスの回路図を示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従っ た処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。 本発明の方法に従った垂直SRAMセルを形成するための本発明に従 った処理ステップを示す図である。
符号の説明
10 交差結合FETを含むSRAMセ
PG1/PG2 垂直パス・ゲートFET、
PU1/PU2 垂直プルアップFET、
PD1/PD2 垂直プルダウンFET、
CBP、CBN 共通ボディ、
S2、S3 共通ソース
D1、D2、D3、D4、D5、D6 ドレイン
STI 誘電体分離領域
G1(G1’/G1”)、G4(G4’/G4”) ゲート電極
G2(G2’/G2”)、G3(G3’/G3”) 共通ゲート電極
BOX 背面酸化物層
Si シリコン
SN 窒化シリコン(パッド層)
BS1、BS2、BS3、BS4 ボーダーレス・コンタクト
M0 ゼロ・メタライゼーション層
BC1、BC2、BC3、BC4 埋め込み導体
M1 上のメタライゼーション層
CN 窒化シリコン・キャップ
L1、L2、L3、L4 アイランド
SL 窒化シリコン・ライナ
ST1、ST2、ST3、ST4 垂直スタック
NS、NS’ スロット
I1、I2 相互接続線

Claims (8)

  1. 垂直MOS FETスタティック・ランダム・アクセス・メモリ(SRAM)セルを半導体基板上に形成する方法であって、
    前記SRAMセルのデータの書き込み、読み出し用のFETである、パス・ゲートFETの対を形成するステップと、
    第1の共通ボディおよび第1の共通ソース領域を有する、チャネルの方向が半導体基板の平面方向に対して垂直な、垂直プルダウンFETの対を形成するステップと、
    第2の共通ボディおよび第2の共通ソース領域を有する、チャネルの方向が半導体基板の平面方向に対して垂直な、垂直プルアップFETの対を形成するステップと、
    前記垂直プルダウンFETの最上部拡散および前記垂直プルアップFETの最上部拡散の各々のために共通拡散部を形成し、次に、前記各共通拡散部をエッチングしてくぼみを形成し、それによって第1の共通ボディの前記共通拡散部および前記第2の共通ボディの共通拡散部の各々に、互いに分離された分離拡散部を形成するステップと、
    前記バス・ゲートFETの対、垂直プルダウンFETの対、および、垂直プルアップFETの対がSRAMセルを構成するように接続するステップとを備える方法。
  2. 前記各くぼみを誘電体材料で満たすステップとを含む、請求項1に記載の方法。
  3. 前記パス・ゲートFETがチャネル方向が半導体基板の平面方向に平行な、平面パス・ゲートFET、および、チャネル方向が半導体基板の平面方向に垂直な、垂直パス・ゲートFETから成るグループから選ばれる、請求項1に記載の方法。
  4. パス・ゲートFETが前記垂直パス・ゲートFETであり、前記垂直パス・ゲートFETのゲート電極は、前記垂直パス・ゲートFETのソース、ドレインおよびチャネルを囲繞する囲繞ゲートを含む、請求項1に記載の方法。
  5. 垂直MOS FETスタティック・ランダム・アクセス・メモリ(SRAM)セルを半導体基板上に形成する方法であって、
    前記垂直MOS FETSRAMセルのデータの書き込み、読み出し用のFETである、パス・ゲートFETの対を形成するステップと、
    前記半導体基板が、平面絶縁材の上にシリコン層を有する、シリコン・オン・インシュレータであ
    前記シリコン層を互いに平行なアイランドにパターン形成するステップと、
    前記平行アイランドの第のアイランドに、上部プルダウン層、プルダウン・ボディ層および下部プルダウン層を備えた、前記シリコン・オン・インシュレータの平面方向に対して垂直な、プルダウン・アイランドを形成するステップであって、前記上部プルダウン層および前記下部プルダウン層が前記プルダウン・ボディ層と反対の型のドーパントを有するステップと、
    前記平行アイランドの第のアイランドに、上部プルアップ層、プルアップ・ボディ層および下部プルアップ層を備えた、前記シリコン・オン・インシュレータの平面方向に対して垂直な、プルアップ・アイランドを形成するステップであって、前記上部プルアップ層および前記下部プルアップ層が前記プルアップ・ボディ層と反対の型のドーパントを有するステップと、
    前記上部プルダウン層を二分するプルダウン分離スペースを形成するようにエッチングして、対の垂直プルダウンFETのプルダウン・ドレイン領域を形成するステップであって、前記プルダウン分離スペースが前記プルダウン・ボディ層に達するステップと、
    前記上部プルアップ層を二分するプルアップ分離スペースを形成するようにエッチングして、対の垂直プルアップFETのプルアップ・ドレイン領域を形成するステップであって、前記プルアップ分離スペースが前記プルアップ・ボディ層に達するステップと、
    前記プルダウン分離スペースおよび前記プルアップ分離スペースを分離誘電体で満たすステップと、
    前記パス・ゲートFETの対、前記垂直プルダウンFETの対、および、前記垂直プルアップFETの対がSRAMセルを構成するように接続するステップとを備える方法。
  6. 共通ゲート電極が、前記垂直プルダウンFETと前記垂直プルアップFETとを相互接続する、請求項5に記載の方法。
  7. 共通ゲート電極が、前記垂直プルダウンFETと前記垂直プルアップFETのソース、ドレインおよびチャネルを部分的にまたは完全に囲繞する、請求項6に記載の方法。
  8. 半導体基板上の垂直MOS FETスタティック・ランダム・アクセス・メモリ(SRAM)セルであって、
    前記垂直MOS FETSRAMセルのデータの書き込み、読み出し用のFETである、パス・ゲートFETの対と、
    第1の共通ボディおよび第1の共通ソース領域を有する、チャネルの方向が半導体基板の平面方向に対して垂直な、垂直プルダウンFETの対と、
    第2の共通ボディおよび第2の共通ソース領域を有する、チャネルの方向が半導体基板の平面方向に対して垂直な、垂直プルアップFETの対と、
    前記垂直プルダウンFETの前記第1の共通ボディおよび前記垂直プルアップFETの前記第2の共通ボディの各々の最上部に共通拡散部が形成され、前記各共通拡散部がエッチングされてくぼみが形成され、それによって前記第1の共通ボディの最上部および前記第2の共通ボディの最上部の各々に形成された、互いに分離された分離拡散部と、
    前記パス・ゲートFETの対、前記垂直プルダウンFETの対、および、前記垂直プルアップFETの対がSRAMセルを構成するように接続されている、
    垂直MOS FETSRAMセル。
JP2003389984A 2002-12-11 2003-11-19 垂直mosfetsramセルおよびその製造方法 Expired - Fee Related JP3989888B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/318,495 US7138685B2 (en) 2002-12-11 2002-12-11 Vertical MOSFET SRAM cell

Publications (2)

Publication Number Publication Date
JP2004193588A JP2004193588A (ja) 2004-07-08
JP3989888B2 true JP3989888B2 (ja) 2007-10-10

Family

ID=32506363

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003389984A Expired - Fee Related JP3989888B2 (ja) 2002-12-11 2003-11-19 垂直mosfetsramセルおよびその製造方法

Country Status (4)

Country Link
US (2) US7138685B2 (ja)
JP (1) JP3989888B2 (ja)
KR (1) KR100530305B1 (ja)
CN (1) CN1310315C (ja)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US8058142B2 (en) * 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US7138685B2 (en) * 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US7799675B2 (en) * 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US7863748B2 (en) 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7064050B2 (en) * 2003-11-28 2006-06-20 International Business Machines Corporation Metal carbide gate structure and method of fabrication
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
KR100613287B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 수직 게이트를 갖는 반도체 소자 및 그 제조 방법
US7372720B1 (en) * 2005-02-16 2008-05-13 Altera Corporation Methods and apparatus for decreasing soft errors and cell leakage in integrated circuit structures
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US8367524B2 (en) * 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
DE602005023125D1 (de) * 2005-04-27 2010-10-07 St Microelectronics Srl Vertikaler MOSFET Transistor als Auswahltransistor für nichtflüchtige Speichereinrichtung betrieben
US7364997B2 (en) * 2005-07-07 2008-04-29 Micron Technology, Inc. Methods of forming integrated circuitry and methods of forming local interconnects
US7754560B2 (en) * 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
US7709303B2 (en) * 2006-01-10 2010-05-04 Freescale Semiconductor, Inc. Process for forming an electronic device including a fin-type structure
US7723805B2 (en) * 2006-01-10 2010-05-25 Freescale Semiconductor, Inc. Electronic device including a fin-type transistor structure and a process for forming the electronic device
JP2007189008A (ja) * 2006-01-12 2007-07-26 Elpida Memory Inc 半導体記憶装置およびその製造方法
JP2008042090A (ja) * 2006-08-09 2008-02-21 Toshiba Corp 磁気ランダムアクセスメモリ
US20080124870A1 (en) * 2006-09-20 2008-05-29 Chanho Park Trench Gate FET with Self-Aligned Features
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
KR100881392B1 (ko) * 2006-10-31 2009-02-05 주식회사 하이닉스반도체 수직형 트랜지스터를 구비한 반도체 소자 및 그의 제조방법
JP5114968B2 (ja) * 2007-02-20 2013-01-09 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5130596B2 (ja) * 2007-05-30 2013-01-30 国立大学法人東北大学 半導体装置
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5460950B2 (ja) * 2007-06-06 2014-04-02 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US7678658B2 (en) * 2008-01-23 2010-03-16 International Business Machines Corporation Structure and method for improved SRAM interconnect
JP5317343B2 (ja) * 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
WO2009095998A1 (ja) * 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. 半導体記憶装置
JP5489272B2 (ja) * 2008-01-29 2014-05-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体記憶装置
US8598650B2 (en) * 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
JP5566697B2 (ja) * 2008-01-29 2014-08-06 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体記憶装置
WO2009095999A1 (ja) 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. 半導体記憶装置
JP5382939B2 (ja) * 2008-01-29 2014-01-08 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体記憶装置
US8154086B2 (en) * 2008-01-29 2012-04-10 Unisantis Electronics Singapore Pte Ltd. Semiconductor surround gate SRAM storage device
WO2009096000A1 (ja) * 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. 半導体記憶装置
US8378425B2 (en) * 2008-01-29 2013-02-19 Unisantis Electronics Singapore Pte Ltd. Semiconductor storage device
JP2014099664A (ja) * 2008-01-29 2014-05-29 Unisantis Electronics Singapore Pte Ltd 半導体記憶装置
US8053842B2 (en) * 2008-01-29 2011-11-08 Unisantis Electronics (Japan) Ltd. Semiconductor storage device
WO2009101704A1 (ja) * 2008-02-15 2009-08-20 Unisantis Electronics (Japan) Ltd. 半導体装置の製造方法
WO2009128337A1 (ja) * 2008-04-16 2009-10-22 日本電気株式会社 半導体装置およびその製造方法
WO2009128450A1 (ja) * 2008-04-16 2009-10-22 日本電気株式会社 半導体記憶装置
US8211809B2 (en) * 2008-09-02 2012-07-03 Unisantis Electronics Singapore Pte Ltd. Method of producing semiconductor device
JP5701477B2 (ja) * 2008-09-18 2015-04-15 マイクロンメモリジャパン株式会社 電界効果トランジスタ、メモリセル、および電界効果トランジスタの製造方法
US20100200918A1 (en) * 2009-02-10 2010-08-12 Honeywell International Inc. Heavy Ion Upset Hardened Floating Body SRAM Cells
KR101585615B1 (ko) * 2009-02-26 2016-01-14 삼성전자주식회사 반도체 장치
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
EP2254149B1 (en) * 2009-05-22 2014-08-06 Unisantis Electronics Singapore Pte. Ltd. SRAM using vertical transistors with a diffusion layer for reducing leakage currents
JP5032532B2 (ja) * 2009-06-05 2012-09-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
JP5524547B2 (ja) 2009-09-14 2014-06-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体記憶装置
JP4987926B2 (ja) * 2009-09-16 2012-08-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP2011066109A (ja) 2009-09-16 2011-03-31 Unisantis Electronics Japan Ltd 半導体記憶装置
JP5356970B2 (ja) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
KR101211442B1 (ko) 2010-03-08 2012-12-12 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 고체 촬상 장치
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
JP2011216657A (ja) * 2010-03-31 2011-10-27 Unisantis Electronics Japan Ltd 半導体装置
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
JP2013530527A (ja) 2010-05-25 2013-07-25 エスエス エスシー アイピー、エルエルシー 逆方向バイアス下においてゲート−ソース漏れが低減された自己整合半導体デバイスおよび作製方法
JP5066590B2 (ja) 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8582359B2 (en) 2010-11-16 2013-11-12 Zeno Semiconductor, Inc. Dual-port semiconductor memory and first-in first-out (FIFO) memory having electrically floating body transistor
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
CN102176455B (zh) * 2011-03-15 2016-08-10 上海华虹宏力半导体制造有限公司 绝缘体上硅衬底上的静态随机存取存储器及制作方法
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8750011B2 (en) * 2012-03-19 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for ROM cells
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
JP6100071B2 (ja) * 2012-04-30 2017-03-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9006816B2 (en) 2013-03-28 2015-04-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks and related methods
US8860123B1 (en) 2013-03-28 2014-10-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks with first and second dielectric layers and related methods
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
KR102191215B1 (ko) 2013-12-20 2020-12-16 삼성전자주식회사 에스램 셀 및 그 제조 방법
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9653563B2 (en) * 2014-04-18 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate
JP5869079B2 (ja) * 2014-09-02 2016-02-24 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
US9251888B1 (en) * 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9691471B2 (en) * 2014-09-15 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
JP2016184676A (ja) * 2015-03-26 2016-10-20 力晶科技股▲ふん▼有限公司 半導体記憶装置
US9646973B2 (en) * 2015-03-27 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port SRAM cell structure with vertical devices
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
CN107924943B (zh) 2015-06-17 2021-04-13 英特尔公司 用于半导体器件的面积缩放的竖直集成方案和电路元件架构
US9659941B2 (en) 2015-06-30 2017-05-23 Globalfoundries Inc. Integrated circuit structure with methods of electrically connecting same
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9484349B1 (en) 2015-10-28 2016-11-01 Powerchip Technology Corporation Static random access memory
US9620509B1 (en) 2015-10-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory device with vertical FET devices
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9754660B2 (en) 2015-11-19 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device
US9680473B1 (en) * 2016-02-18 2017-06-13 International Business Machines Corporation Ultra dense vertical transport FET circuits
US9831250B2 (en) * 2016-03-02 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US9825032B1 (en) * 2016-11-23 2017-11-21 Globalfoundries Inc. Metal layer routing level for vertical FET SRAM and logic cell scaling
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US10083971B1 (en) 2017-07-19 2018-09-25 Globalfoundries Inc. Vertical SRAM structure with cross-coupling contacts penetrating through common gates to bottom S/D metal contacts
EP3435413A1 (en) 2017-07-28 2019-01-30 IMEC vzw A semiconductor device and a method for forming a semiconductor device
US10714400B2 (en) * 2017-08-30 2020-07-14 Micron Technology, Inc. Methods of forming semiconductor structures comprising thin film transistors including oxide semiconductors
US10290639B2 (en) 2017-09-12 2019-05-14 Globalfoundries Inc. VNW SRAM with trinity cross-couple PD/PU contact and method for producing the same
US10211206B1 (en) * 2017-11-01 2019-02-19 Globalfoundries Inc. Two-port vertical SRAM circuit structure and method for producing the same
US10403629B2 (en) 2017-11-06 2019-09-03 Globalfoundries Inc. Six-transistor (6T) SRAM cell structure
US10096606B1 (en) 2017-11-15 2018-10-09 Globalfoundries Inc. Methods of forming a gate structure-to-source/drain conductive contact on vertical transistor devices and the resulting transistor devices
US10090193B1 (en) 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10192819B1 (en) 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10109637B1 (en) * 2017-12-28 2018-10-23 Globalfoundries Inc. Cross couple structure for vertical transistors
US10283411B1 (en) 2018-01-02 2019-05-07 International Business Machines Corporation Stacked vertical transistor device for three-dimensional monolithic integration
US10381354B2 (en) 2018-01-03 2019-08-13 Globalfoundaries Inc. Contact structures and methods of making the contact structures
US10236296B1 (en) 2018-01-03 2019-03-19 Globalfoundries Inc. Cross-coupled contact structure on IC products and methods of making such contact structures
US10381355B2 (en) 2018-01-11 2019-08-13 International Business Machines Corporation Dense vertical field effect transistor structure
US10580779B2 (en) 2018-02-23 2020-03-03 Globalfoundries Inc. Vertical transistor static random access memory cell
US10734372B2 (en) 2018-03-16 2020-08-04 International Business Machines Corporation Vertical transport static random-access memory cells with transistors of active regions arranged in linear rows
US10943911B2 (en) 2018-04-17 2021-03-09 International Business Machines Corporation Vertical transport devices with greater density through modified well shapes
US10439064B1 (en) 2018-05-29 2019-10-08 Globalfoundries Inc. Dual port vertical transistor memory cell
US10832975B2 (en) 2018-06-12 2020-11-10 International Business Machines Corporation Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement
US10566453B2 (en) 2018-06-29 2020-02-18 International Business Machines Corporation Vertical transistor contact for cross-coupling in a memory cell
US10559572B2 (en) 2018-06-29 2020-02-11 International Business Machines Corporation Vertical transistor contact for a memory cell with increased density
KR20200011367A (ko) * 2018-07-24 2020-02-03 삼성전자주식회사 크로스-커플(cross-couple) 구조를 갖는 래치를 포함하는 수직 전계 효과 트랜지스터(vfet) 장치
US10593681B1 (en) 2018-08-21 2020-03-17 International Business Machines Corporation Three-dimensional monolithic vertical transistor memory cell with unified inter-tier cross-couple
US10756096B2 (en) 2018-10-05 2020-08-25 Globalfoundries Inc. Integrated circuit structure with complementary field effect transistor and buried metal interconnect and method
US10957599B2 (en) 2018-11-07 2021-03-23 International Business Machines Corporation Integrating extra gate VFET with single gate VFET
US11152307B2 (en) 2018-12-18 2021-10-19 International Business Machines Corporation Buried local interconnect
CN109904162A (zh) * 2019-03-08 2019-06-18 成都豆萁集成电路设计有限公司 一种铁电存储器单元及其制造方法
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11581338B2 (en) 2019-10-04 2023-02-14 Samsung Electronics Co., Ltd. Optimization of semiconductor cell of vertical field effect transistor (VFET)
US11355633B2 (en) 2020-01-03 2022-06-07 International Business Machines Corporation Vertical field effect transistor with bottom source-drain region
US11245027B2 (en) 2020-03-10 2022-02-08 International Business Machines Corporation Bottom source/drain etch with fin-cut-last-VTFET
CN113644064B (zh) * 2020-04-27 2023-09-12 长鑫存储技术有限公司 半导体结构及其形成方法、存储器及其形成方法
WO2022234655A1 (ja) * 2021-05-07 2022-11-10 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体の製造方法
CN116033741A (zh) * 2021-10-25 2023-04-28 长鑫存储技术有限公司 半导体结构及其制造方法
US20230180467A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Vertical access line in a folded digitline sense amplifier
KR102440205B1 (ko) 2022-02-22 2022-09-05 최태현 트렌치 구조를 갖는 씨모스 에스램 셀
US11895818B2 (en) * 2022-04-26 2024-02-06 International Business Machines Corporation Stacked FET SRAM
US20240155822A1 (en) * 2022-11-08 2024-05-09 International Business Machines Corporation High density static random-access memory

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130777A (en) * 1991-01-04 1992-07-14 Actel Corporation Apparatus for improving antifuse programming yield and reducing antifuse programming time
US5689127A (en) * 1996-03-05 1997-11-18 International Business Machines Corporation Vertical double-gate field effect transistor
US6133608A (en) 1997-04-23 2000-10-17 International Business Machines Corporation SOI-body selective link method and apparatus
US6140684A (en) * 1997-06-24 2000-10-31 Stmicroelectronic, Inc. SRAM cell structure with dielectric sidewall spacers and drain and channel regions defined along sidewall spacers
TW429620B (en) * 1997-06-27 2001-04-11 Siemens Ag SRAM cell arrangement and method for its fabrication
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
TW424326B (en) * 1997-11-27 2001-03-01 Siemens Ag SRAM-cells arrangement and its production method
US6304483B1 (en) 1998-02-24 2001-10-16 Micron Technology, Inc. Circuits and methods for a static random access memory using vertical transistors
US6040991A (en) * 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6201428B1 (en) * 1999-03-18 2001-03-13 Intel Corporation 5-volt tolerant 3-volt drive push-pull buffer/driver
US6417032B1 (en) * 2000-04-11 2002-07-09 Taiwan Semiconductor Manufacturing Company Method of forming cross strapped Vss layout for full CMOS SRAM cell
US6713791B2 (en) * 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6492662B2 (en) * 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) * 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6624526B2 (en) * 2001-06-01 2003-09-23 International Business Machines Corporation Compact SRAM cell incorporating refractory metal-silicon-nitrogen resistive elements and method for fabricating
US7138685B2 (en) * 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
JP2005175415A (ja) * 2003-12-05 2005-06-30 Taiwan Semiconductor Manufacturing Co Ltd 集積回路デバイスとその製造方法
US7505345B2 (en) * 2006-11-03 2009-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit and method for an SRAM with two phase word line pulse
US7466581B2 (en) * 2007-03-02 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM design with separated VSS

Also Published As

Publication number Publication date
CN1310315C (zh) 2007-04-11
US20040113207A1 (en) 2004-06-17
US7138685B2 (en) 2006-11-21
CN1507035A (zh) 2004-06-23
JP2004193588A (ja) 2004-07-08
US20070007601A1 (en) 2007-01-11
KR20040051506A (ko) 2004-06-18
KR100530305B1 (ko) 2005-11-22

Similar Documents

Publication Publication Date Title
JP3989888B2 (ja) 垂直mosfetsramセルおよびその製造方法
US9870815B2 (en) Structure and method for a SRAM circuit
US8766376B2 (en) Static random access memory (SRAM) cell and method for forming same
US7205227B2 (en) Methods of forming CMOS constructions
CN105702568B (zh) 静态随机存取存储器的制造方法与半导体装置的制造方法
US7915691B2 (en) High density SRAM cell with hybrid devices
KR101831496B1 (ko) 메모리 디바이스 레이아웃, 반도체 디바이스, 및 메모리 디바이스의 제조 방법
KR20140080475A (ko) FinFET를 위한 구조
US8946821B2 (en) SRAM integrated circuits and methods for their fabrication
TWI538104B (zh) 具有埋藏鞍形鰭式場效電晶體之靜態隨機存取記憶體及其製造方法
US20090236685A1 (en) Embedded interconnects, and methods for forming same
US20010030372A1 (en) Semiconductor memory device and method of fabricating the same
EP1586108A1 (en) Finfet sram cell using inverted finfet thin film transistors
US7078774B2 (en) Semiconductor memory device having a shallow trench isolation structure
JP3544126B2 (ja) 半導体装置の製造方法及び半導体装置
US10147802B2 (en) FINFET circuit structures with vertically spaced transistors and fabrication methods
KR100654535B1 (ko) 역방향 FinFET 박막트랜지스터를 이용한FinFET 정적 메모리 셀
CN115083472A (zh) Sram单元
CN112563205A (zh) 半导体装置的形成方法
JP2010073914A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070717

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070718

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees