JP3786976B2 - サセプタ駆動及びウエハ変位機構を備える熱反応チャンバ - Google Patents

サセプタ駆動及びウエハ変位機構を備える熱反応チャンバ Download PDF

Info

Publication number
JP3786976B2
JP3786976B2 JP2532794A JP2532794A JP3786976B2 JP 3786976 B2 JP3786976 B2 JP 3786976B2 JP 2532794 A JP2532794 A JP 2532794A JP 2532794 A JP2532794 A JP 2532794A JP 3786976 B2 JP3786976 B2 JP 3786976B2
Authority
JP
Japan
Prior art keywords
susceptor
symbol
wafer
cradle
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2532794A
Other languages
English (en)
Other versions
JPH06318630A (ja
Inventor
パーロヴ イルヤ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06318630A publication Critical patent/JPH06318630A/ja
Application granted granted Critical
Publication of JP3786976B2 publication Critical patent/JP3786976B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【産業上の利用分野】
本発明は、半導体ウエハ処理操作に使用される熱反応炉に関し、特に、サセプタを回転、垂直変位させ、熱反応炉からのウエハ除去を支援する機構に関する。
【0002】
【従来の技術】
半導体ウエハは、通常、熱反応炉内で処理される。これを実現する為に、ウエハはロボットアームにより反応炉内に挿入され、グラファイト製サセプタ上に置かれる。ウエハはそれから処理され、一旦プロセス操作が完了すると、ロボットアームは当該ウエハをサセプタ及び反応炉から除去する。
【0003】
上記ウエハが上記サセプタに、あるいは上記サセプタから移送される従来の方式は、図8に図示されている。この図は、サセプタ14上に置かれるように示されたウエハ12を備えた半導体熱反応炉10の一部に至る概略断面図である。明確にする為に、熱反応炉10の下部、すなわち、サセプタ駆動機構及びドーム形上部石英窓は図示していない。
【0004】
ウエハ移送操作を始める為に、2ステップ工程で、サセプタ14は下方に移動する。これらのステップの第1ステップの間、サセプタの下方に配置されているウエハ支持用クレードル16と共にサセプタ14は、破線16’で示されたクレードルにより表示された低位置まで下降する。それから、クレードルが静止状態になっている、これらのステップの第2ステップの間、サセプタは破線14’で表示された低位置へとさらに下降する。その結果、サセプタ14を貫通して形成された孔20の中に配置されているウエハ支持用ピン18は、サセプタを貫通し、位置12’にてウエハ12を支持する。この位置12’はサセプタの低位置14’より幾分高いが、移送操作がなされる前はウエハの位置よりは低い。この下げられた外形におけるウエハ12とサセプタ14間の間隔は、ロボットアームがチャンバにアクセスし、ウエハの下方位置に移動することを許容するのに充分である。
【0005】
その後、サセプタ14及びクレードル16の両方は、ウエハ12が全体的にロボットアームで支持されウエハ支持用ピン18から離れるまで、さらに一緒に下降する。ここで、ロボットアームはウエハを熱反応炉から取り出す。
【0006】
ウエハ12の表面を横切る反応ガスの均一な加熱及び分配を確実にするため、サセプタ14は処理操作の間、回転する。
【0007】
【発明が解決しようとする課題】
残念ながら、図8に表示されている装置、特に、サセプタ14の回転について、一定の問題がある。
【0008】
この図に示されているように、ウエハ支持用ピン18はサセプタ14の本体を貫いて形成された孔20の中に配置されている。これは、クレードル16がサセプタと同一速度で回転しなければならないことを意味する。もし、そのように回転しなければ、支持用ピン18は孔20の内壁を支え、摩滅が生じるか、熱反応炉10内の処理環境を汚染し得る粒子が生じる。半導体処理反応炉10内の汚染が処理済み半導体ウエハの品質にとって非常に有害である点は周知なので、この摩滅により発生される汚染粒子が一定のウエハ処理操作において許容できないことは明白であろう。
【0009】
汚染粒子の問題は、さらに、サセプタ14がグラファイトで形成されており、クレードル16が通常はステンレス鋼あるいは石英で形成されていることから、一層、増大する。また、構成が異なる材料でなされているという理由から、サセプタ14およびクレードル16に対する異なる熱膨張率が存在する。熱反応炉10内での処理温度は1000℃を越え得るとした場合、膨張におけるこれらの差異は無視できるものではない。そのため、ピン18を正確に孔20内に位置決めすることは不可能になる。その結果、一定温度で、ピン18は強く孔20の内壁と当たり(bear)、これが、上述した摩滅に寄与し、もって生成される粒子数を増加する。
【0010】
図示された装置に伴う更なる問題は、支持用ピン18の直径と孔20との間のかなり大きな許容誤差が結果として生じる点である。この大きな許容誤差はピン18と孔20との間の整列を維持する為、また、上述した摩滅の影響を可能な限り減少させる為に必要である。しかし、これらの許容誤差の結果、ピン18と孔20の内壁間に隙間が存在する。熱反応炉10内の処理ガスは、その為、ピンと孔20の内壁との間から通過することができ、ウエハ12の裏面に傷跡を付ける(mark)か”焼き付け”(burn)を起こす。このウエハ12の焼き付けは、一定状況の下では、品質管理という観点から許容できない。よって、非常に好ましくない。
【0011】
そのため、上述したことから、サセプタを回転でき、ウエハをそこから変位できる機構に対する要求が存在する。これは、熱反応炉内の最小限の汚染、同時に、ウエハの裏面における焼き付け量を減少する結果を生じる。
【0012】
【課題を解決するための手段および作用】
従って、本発明は半導体ウエハ処理操作の為の熱反応チャンバであって、(i) チャンバ内で半導体ウエハを支持し、垂直に形成された複数の貫通孔を有するサセプタと、(ii)少なくとも第1位置から第2位置の間で垂直に当該サセプタを変位させる変位手段と、(iii) 複数のウエハ支持要素であって、各々が前記孔内で垂直に移動自在に吊り下げられており、各々が当該サセプタの下部を越えて伸びているもの、(iv)当該ウエハ支持要素の下方移動を規制する規制手段(means for restricting) とを備える。
【0013】
サセプタはその第1の位置から第2の位置に至る前の中間位置を通って変位するので、規制手段はウエハ支持要素の連続した下方移動を停止させるように作動し、もって、上記要素を下方移動サセプタに対し垂直上方に移動させ、ウエハをサセプタから離間させる。
【0014】
装置は、サセプタを回転させる駆動手段をも有する。
【0015】
変位手段は、クレードルを垂直下方に変位するように作動する一方、同時にサセプタを第2の位置から、より低い位置である第3の位置へと変位させる。各ウエハ支持用ピンは円錐台形(frusto-conical)頭部を有し、これはサセプタの中の孔の補助形状部(complementally shaped portion) 内で受容され、サセプタが当該第1の位置にあるときには孔の拡張部の内側を封止し、孔を通過する半導体ウエハ処理ガスの移動を実質的に防止する。
【0016】
【実施例】
図1には、符号30で全般的に示す、本発明を具体化した熱反応炉が示されている。反応炉30は反応チャンバ32、及び34で全般的に示される駆動機構を含んで示されている。この駆動機構は、サセプタを上下に動かすデイスプレーサ(displacer) 35、及びサセプタを回転させる回転駆動装置37を含んでいる。これらは両方とも、図6及び7を参照して、以下に説明される。
【0017】
反応炉30は、上下の石英窓40及び42、ステンレス鋼のベースリング44並びにベースリング44を通して形成されたロボットアーム用のアクセスポート46のような標準的要素をさらに含んでいる。半導体処理ガスは反応チャンバ32に注入され、そこには通常の方法により熱が供給される。
【0018】
反応チャンバ32は、ウエハ38を上部に支持するサセプタ36を収納する。前述のように、サセプタ36は半導体処理操作の間、回転する。これは、サセプタを順番に回転させるサセプタ支持用クレードル50を駆動するための中空駆動シャフト52を回転させる、駆動機構34の回転駆動装置37によってなされる。クレードル50は心出し用ピン54、3個の支持アーム56(2個だけが示されている)、及び3個の支持ピン57を含む。支持ピン57の各々は、各アーム56の端部に配置されている。クレードル及びドライブシャフトの全ての部品は、透明な石英(clear quartz) から形成されている。これらの部品のサセプタに対する配列は、図3を参照して以下に説明される。
【0019】
この図には、中空シャフト60を含むウエハ支持用クレードル58も示されている。この中空シャフト60は、ドライブシャフト52を収容するために十分大きな内径を有している。ウエハ支持用クレードル58は(2個のみが示されているが)3個のアーム62も備えている。各アーム62の自由端には、平坦パッド64が配置されている。ウエハ移送処理の間、各パッド64はウエハ支持用ピン66の真下に配置される。
【0020】
ウエハ支持用ピン66は、サセプタ内の穴68及びサセプタ支持用クレードル50の支持アーム56の穴70を通過している。図示のウエハ処理用の配置において、ピン66はパッド64から離れて吊り下げられ、この位置においてサセプタ36によって保持される。
【0021】
この図からは明らかではないが、サセプタ支持用クレードル50のみが回転することができる。他方、サセプタ支持用クレードル50及び支持クレードル58の両方とも、垂直に上下に移動することができる。以下に説明されるように、これはデイスプレーサ35の異なる部品の選択的操作によって達成される。
【0022】
サセプタ36によりピン66が支持される方式は、図2により詳細に図示されており、この図によれば、円錐台形(frusto-conical)頭部72によって、ピン66が受け部から吊り下がっていることが分る。頭部72は、サセプタ36の上部から形成された、相補的な(complemental)さら状の穴74にぴったりと適合する。この配列の結果、頭部72はさら状の穴74の内壁に対し、かなり優れたシールを与え、これにより、処理ガスがピン66と孔68の内壁との間を通って漏れること、およびウエハ38の下側が焼けること(burning )が防止される。さらに、頭部72は、その上面が、サセプタ36の上面であるウエハ受け面と同一平面となるような寸法になっており、これにより、均一なウエハ支持面が得られる。
【0023】
図3には、サセプタ36の下側が、これに重ねて破線でサセプタ支持部及びサセプタ支持用クレードル50と共に示されている。ここで分るように、サセプタ36は中心に形成されたキャビテイ78を有し、これがサセプタ支持部とサセプタ支持用クレードル50の心出し用ピン54を受容するのに役立つ。さらに、サセプタ36の下側には一対のポケット80、およびその中に形成された楕円形キャビテイ82を有する。一対のポケットはサセプタ支持用クレードル50の支持アーム56の端部に配置されるピンの内の2本を受容し、楕円形キャビテイ82はそのような第3のピンを受容する。このポケット80は個々に直径がピンの外径よりかなり大きくなっており、サセプタ36に対する水平支持(level support) を与えることだけに役立つ。しかし、サセプタの方向付け(orientation) は、キャビテイ78内で配置された心出し用ピン54、および楕円形キャビテイ82の中で比較的に小さな許容誤差で配置された第3のピン55との組合せによって与えられる。駆動シャフトが回転するとき、サセプタに対し回転運動を伝えるのは、この第3のピンである。サセプタ36は、単にピン55の上に置かれ、ピン55及び心出し用ピン54と共に回転するので、サセプタの摩滅(abrasion)は生じない。その結果、不要な粒子は発生しない。
【0024】
一旦、ウエハが反応チャンバ内で処理されると、ロボットアームアクセスポート46を通って反応チャンバ32の中に入ってくるロボットアームにより、ウエハはそこから除去される。
【0025】
このウエハの除去は、2つの工程から成る。第1ステップは、図3に表示されている。このサセプタ支持アームおよびサセプタ支持用クレードル50は、図1で表示された位置から図4で表示されたところまで、垂直方向に下方に移動される。これがなされると、サセプタから吊り下げられているピン66は、支持用パッド64上にそれらの自由端が置かれるまで、下方に移動する。ピンの下方移動は停止する、この位置では、これらがウエハ38の下方に位置するので、ウエハはピンにより支持され、下方移動も停止する。しかし、サセプタ36は、それとウエハが充分に離れて間隔が開きロボットアーム76がアクセスポートに入ってウエハ38の下方に直接位置決めされるように、下方に移動し続ける。
【0026】
この時点で、図5に表示された次のステップが起こる。一旦、ロボットアーム76が所定の場所にくると、サセプタ支持用クレードル50とウエハ支持用クレードル58の両方は、共に下方に移動する。ウエハ38は、それがロボットアーム76上に置かれるようになるまで、この下方移動に従う。しかし、2つのサセプタ支持用クレードル50、ウエハ支持用クレードル58は下方に移動し続け、ピン66の頭部72はウエハ38から離れて移動する。これにより、ロボットアーム76はウエハ38を反応チャンバ32から取り出すことができる。
【0027】
その後で、ロボットアーム46は未処理ウエハをチャンバ内に戻し、上記プロセスは逆となり、図1R>1で表示された処理位置にウエハが戻される。
【0028】
図6に戻って、垂直デイスプレーサ35の詳細はより充分に説明される。この図では、いかにサセプタ支持クレードル50およびウエハ支持クレードル58が上下方向に垂直移動するか、を説明するのに必要な部品だけが示されている。残りの部品は、図7に示されるか、明確さの為に省略されている。
【0029】
デイスプレーサ35は上方および下方空気圧シリンダー90、92をそれぞれ有し、これらはブラケット94により互いに固定されている。上方シリンダー90のピストン96は(関連部品だけが示されている)剛体固定式支持フレーム(rigid immobile support frame)98に保持され、下部シリンダー92のピストン100はコネクター101によって、直接、ベース102に接続されている。シリンダー90、92をブラケット止め(bracketing)するのに加えて、ブラケット94は、(ウエハ支持用クレードル58の)シャフト60と外側のステンレス鋼のシース106の両方を支持するステンレス鋼の支持リング104に接続されている。
【0030】
ベース102と支持リング104は、それぞれ、スライド110上に取り付けられたランナー108に保持されている。スライドは、順番に同一の剛体支持フレーム98上に取り付けられている。この支持フレーム98にはピストン96が保持されている。この配列により、全体の駆動機構はその個々の部品と同様に、剛体フレーム98に対し垂直方向に上下にスライドすることができる。
【0031】
(図4に図示された)ウエハ除去工程の第1ステップは、主にサセプタ支持用クレードル50の垂直下方移動からなる。これは下方空気圧シリンダーにそのピストン100を延ばさせ、もって、ベース102を下方に移動させることにより実現される。ベース102は駆動シャフト52を支持するので、駆動シャフト52も下方に移動し、サセプタ支持用クレードル50を図4に示された位置に持ち込む。上方シリンダー9は剛体支持フレーム98に固定されているので、ブラケット94は下方に移動しない。そのため、ウエハ支持用クレードルのシャフト60は静止した状態になっている。
【0032】
一旦、ロボットアーム46がウエハの下方に挿入されたら、(図5で表示された)第2ステップを開始することができる。これを行なうに、上方空気圧シリンダー90はそのピストン96を延ばす。このピストン96は剛体フレーム98に保持されているので、これにより、上方シリンダー90およびブラケット94が下方に移動する。その結果、支持リング104および同時にシャフト60が下方に移動する。さらに、下方シリンダー92が上方シリンダー90にブラケット止めされているので、下方シリンダーも下方に移動し、これにより、これにより支持された駆動シャフト52と同様に、駆動機構のベース102が下方に移動する。
【0033】
この図から明らかなように、このシリンダー及びブラケット装置の効果は、駆動シャフト52がシャフト60に対し独立して上下動する点にある。
【0034】
一方、シャフト60は駆動シャフト52に対し独立して移動しない。それが下方に移動するときには駆動シャフトも下方に移動する。
【0035】
前に示したように、シャフト60のベース部はステンレス鋼のシース106に収容されている。同様な形式で、駆動シャフト52はそれ自身のステンレス鋼のシース112の中に収容されている。両方のシース106、112は、それらが支持するシャフト60、52の各端部に安定性を与える。この支持を強化し、シャフト60、52がシース106、112と擦りあうことを防止する為に、シャフトとそれらの各ステンレス鋼シースとの間で、シャフトに形成された溝内に多数のOリング114が配置されている。これらのOリング114は、シースとシャフト間のキャビテイに対し気密シールを提供する。
【0036】
回転運動は駆動シャフト60、そして、それによって、図7に示された機構によりサセプタ36へと伝えられる。この図は、空気圧駆動モータ120を示し、これは駆動ギア122を回転させ、もって、回転運動をベース102に取り付けられたリングギア124に伝える。ベース102は、上方開口形カップ(upwardly open cup) の形状をなし、その内壁に沿って永久磁石126のリングが配置されている。ベース102は、2種類のボールベアリング130によって内側ペデスタル128に装着されている。この図からは明示されていないが、内側ペデスタルは図6に表示されたランナ108に装着されている。そのため、垂直方向のみの動きに限定されている。この装置は、ペデスタル128がベース102が自由に回転する間、静止していることを意味する。
【0037】
ペデスタル128は、順番に、中空円柱132を支持し、中空円柱の内側には駆動シャフト52、およびその包容(encasing)ステンレス鋼シース112が吊り下げられている。これは他の2種類のボールベアリング134によりなされている。2種類のボールベアリングの各々の一つは中空円柱132の反対側の端部に配置されている。これらの2種類のボールベアリング134がシース112を中空円柱132の内側で回転させる。
【0038】
駆動シャフト52はシース112の内側に配置され、テフロン素地(teflon-based)の材料から作製されたベース136により支持されている。ベース136はシースの底部に固定され、その上部に形成された多くの歯138を有する。これらの歯138は駆動シャフト52の底に形成された補助スロット140と係合し、ベース136から駆動シャフト52にトルクが伝達させる。
【0039】
シース112の底には、磁石から成る細長い要素の冠状部(crown) 142が配置されている。上述した装置およびベース102内に配置された要素の寸法であることから、冠状部142は冠状部の外面及びペデスタル128の内面との間でおよそ2.3mm(0.09インチ)の間隔を開けて中空ペデスタル128内に自由に吊り下がっている。同様に、ペデスタル128の内面は永久磁石126の内面から間隔が開けられている。この外形は、ベース102と冠状部142が固定されたペデスタル128に対し、相互に接触する、どんな金属部品を有することなく、自由に回転することを意味する。
【0040】
操作において、トルクはギア122によってモータ120からリングギア124に伝達される。リングギアはベース102に保持されているので、ベースが回転する。ベース102が回転すると、永久磁石のリングが回転し、磁力を伝達することにより、順次、冠状部142(さらにベース136及びシャフト52)を回転させる。この方法によると、金属接触する最小量の金属をもって回転運動はサセプタ36に伝達され、よって、汚染金属粒子の発生は最小量になる。
【0041】
この図は、また、本発明の多数の特徴をも示している:リングギア124は、そこから下方に延びる円周状スカート144を有する。このスカート144は、固定されたペデスタル128に保持された位置センサー146に形成された溝の中を回転する。位置センサー146は溝の一方の側から他方の側に照射された光を検知することにより作動する。単一のスロット148はスカート144に形成されており、このスロットが位置センサー146の溝内で整列したとき、光は一方の側から他方の側へと通過することができる。スカート144の他の全ての位置では、この光信号は妨げられる。
【0042】
処理サイクルの終端では、信号が与えられ、位置センサー146が起動される。スカート144のスロット148が位置センサー146と整列状態になると、位置検出器がこれを(上述したように)検知し第2の信号が生成されてモータが停止し、もって、駆動シャフトおよびサセプタ36の回転が終了する。スカート144の中には単一のスロットのみが形成されているので、サセプタは常に正確に同一の位置で停止する。ウエハ支持用クレードルは回転せず、処理操作が終了する度にパッド64とピン66の底部との間の整列がなされる必要があることから、このことは重要である。
【0043】
この図は、また、一対の種類のボールベアリング134の上部の種類は、シール150が被せられている。このシールは気密ではないが、シース112の外壁とステンレス鋼のべロー(bellows) との間の容積内で生成され得る粒子がシースと中空円柱132との間の空間に入り込むことを防止する。
【0044】
べロー152は、この図および図6に説明された要素に対する保護を与えると同時に生じる、図6を参照して説明された垂直上下動を許容する。
【0045】
最後に、連続した中空キャビテイが駆動シャフト52、ベース136及びペデスタル128の中心に至るまで存在する点に注目すべきである。このキャビテイはペデスタル128の出口でプラグ154により終端している。
【0046】
この配列により、反応チャンバ32に引かれた真空は、この場所の他、ペデスタル128及び中空円柱132とべロー152及びシース112との間の、他の全てのキャビテイの中にも真空を生じさせる。この真空が破られるのを防止するために、様々な部品間の界面封止に対し、特別の注意が払われるべきであり、これはOリングにより達成される。Oリングの多くは図6にも図7にも示されていないが、これらの配置は当業者にとって明白である。
【0047】
これらのキャビテイの全ては、ウエハ処理が完了した後、窒素により洗浄される。この窒素は図1に示された供給ポート156を通じて発生源(図示せず)から供給される。この窒素の通路は、当該ポート156から、べロー152とシール150及び一対の種類のボールベアリング134を貫通したシャフト60との間の空間、さらに、シース112及びペデスタル、中空円柱128、132間の空間に至る。そこから、窒素を除去できる加熱反応炉へと導く連続した窪んだキャビテイに沿って、窒素は通過することができる。あるいは、図1に示されている窒素除去用ポート158から除去される。
【0048】
【発明の効果】
本発明の一つの効果は、サセプタの摩滅によって生じる汚染粒子の量を減少するサセプタ駆動およびウエハ変位機構を提供することにある。
【0049】
さらに、本発明の効果は、サセプタを通る穴の全てをウエハ変位機構が遮断し、もって、処理中のウエハ裏面の焼き付き(burning) を減少する点にある。
【0050】
また、本発明の他の効果はウエハ支持用ピンがサセプタから緩く吊り下がっており、しっかりとクレードルに装着されていない点である。そのようにすることにより、機構の構成要素による異なる膨張を原因とする摩滅の程度が減少される。
【図面の簡単な説明】
【図1】 本発明を示す加熱炉の概要部の断面図。
【図2】 図1のA部の詳細図。
【図3】 図1に示されたサセプタ底部の平面図。
【図4】 ウエハ移送処理を表示する図1に示された熱反応炉の上部全体の断面図。
【図5】 ウエハ移送処理を表示する図1に示された熱反応炉の上部全体の断面図。
【図6】 図1の熱反応炉の中でサセプタ及びウエハを垂直に移動する、駆動及び昇降機構を表示する一部断面図。
【図7】 熱反応炉の中でサセプタを回転させる機構を表示する、図6の平面での縦断面図。
【図8】 従来技術の問題点を図示する加熱炉の一部の概要を示す断面図。
【符号の説明】
10…熱反応炉、12…ウエハ、14…サセプタ、16…ウエハ支持用クレードル、18…ウエハ支持用ピン、20…孔、30…反応炉、32…反応チャンバ、34…駆動機構、35…デイスプレーサ、36…サセプタ、37…回転駆動装置、40、42…石英窓、44…ベースリング、46…アクセスポート、50…サセプタ支持用クレードル、52…中空駆動シャフト、54…心出し用ピン、55…ピン、56…支持アーム、57…支持ピン、58…ウエハ支持用クレードル、60…中空シャフト、62…アーム、64…平坦パッド、66…ウエハ支持用ピン、68…孔、72…頭部、74…さら状の穴、76…ロボットアーム、78…キャビテイ、80…ポケット、82…楕円形キャビテイ、90、92…空気圧シリンダー、94…ブラケット、96…ピストン、98…剛体フレーム、100…ピストン、101…コネクタ、102…ベース、104…支持リング、106…シース、108…ランナ、110…スライド、112…シース、114…Oリング、120…空気圧駆動モータ、122…駆動ギア、124…リングギア、126…永久磁石、128…ペデスタル、130…ボールベアリング、132…中空円柱、134…ボールベアリング、136…ベース、140…スロット、142…冠状部、144…スカート、146…位置センサー、148…スロット、152…べロー、154…プラグ、156…供給ポート、158…窒素除去用ポート。

Claims (9)

  1. 半導体ウエハ処理操作の為の熱反応チャンバであって、
    (i) 前記チャンバ内で前記半導体ウエハを支持し、垂直に形成された複数の貫通孔を有するサセプタと、
    (ii)少なくとも第1の位置から第2の位置の間で垂直に前記サセプタを変位させる変位手段(displacer) と、
    (iii) 複数のウエハ支持要素であって、各々が前記孔内で垂直に移動自在に吊り下げられており(is suspended)、各々が前記サセプタの下部を越えて伸びているもの、
    (iv)前記ウエハ支持要素の下方移動を規制する規制手段(means for restricting)と
    を備え、記サセプタが第1の位置から前記第2の位置の前にある中間位置を通って変位するとき、記ウエハ支持要素の連続した下方移動を停止させる為に記規制手段が作動し、もって、記要素が下方に移動するサセプタに対し垂直上方に移動し、記ウエハを記サセプタから離間させ
    記下方移動を規制する手段は、記サセプタの下方に配置されたウエハ支持用クレードルを含み、記サセプタがその第1の位置にあるとき、ウエハ支持要素は前記クレードルから離れて吊り下げられ、記サセプタが記中間位置を通って移動するにつれて記ウエハ支持要素が記クレードルによって係合され連続した下方移動が妨げられ、
    前記変位手段は、記クレードルを垂直下方に変位するようにも作動する、
    前記熱反応チャンバ。
  2. 記サセプタに回転運動を伝達する手段をさらに備える、請求項1記載の熱反応チャンバ。
  3. 記ウエハ支持要素は記サセプタに形成された貫通孔内で吊り下げられている、請求項2記載の熱反応チャンバ。
  4. 前記変位手段は、記クレードルを垂直下方に変位するように作動し、同時に記サセプタを第2の位置から、より下方の第3の位置へと変位させる、請求項3記載の熱反応チャンバ。
  5. 前記ウエハ支持要素の各々は、拡大された頭部(enlarged head)と胴体部(body)を有するピンで構成され、記頭部は記サセプタの第1の位置で当該孔の拡大された部分に配置され、記ピンの記胴体部が前記拡大された部分の下方に吊り下げられており、
    各ピンの頭部は円錐台形(frusto-conical)になっており、記孔の拡大された部分は、ピンが記サセプタから吊り下げられるとき、記円錐台形ヘッドが記孔の拡された部分の内側を封止し実質的に記孔を通る半導体処理ガスの移動が妨げられるように、相補的な形状になっている(iscomplementallyshaped)、請求項記載の熱反応チャンバ。
  6. 記サセプタに回転運動を伝達する手段は、(i) 記サセプタを支持し回転運動を伝達する駆動シャフト、(ii)モータ、及び(iii) 記モータから記駆動シャフトにトルクを伝達するトルク伝達手段を含む請求項4記載の熱反応チャンバ。
  7. 記トルク伝達手段は、
    (i) 回転自在に固定され窪んだ(hollow)ペデスタル;
    (ii)記ペデスタルの周りに装着され、記モータにより係合され、さらに、その内面に沿って配置された磁気要素(magnetic elements) 第1リングを含む回転カップ(cup rotationally);および
    (iii) 記窪んだペデスタルの内側に回転自在に装着され、記駆動シャフトを支持し、記磁気要素第1リングと同一中心となるように、その外周付近に配置された磁気要素第2リングを含むベース;
    を含み、記カップが記モータにより回転させられるとき、磁気要素第1リングは回転運動を磁気要素第2リングに伝達し、もって、記駆動シャフトを回転させる請求項6記載の熱反応チャンバ。
  8. 記磁気要素第1リングは永久磁石で構成され、記磁気要素第2リングは永久磁石で構成されている請求項7記載の熱反応チャンバ。
  9. 記変位手段は、(i) 記クレードルを垂直に変位させる第1空気圧シリンダー、および(ii)記駆動シャフトを垂直に変位させる第2空気圧シリンダーを含み、記第1シリンダーは固定されたマウンテイング(mounting)に取り付けられ、記第2のシリンダーは記第1のシリンダーに取り付けられ、前記第1のシリンダーの操作により記クレードル及び記第2シリンダーの垂直変位が生じて記サセプタを変位させ、同時に、記第2シリンダーにより記サセプタの変位のみが生じる、請求項8記載の熱反応チャンバ。
JP2532794A 1993-02-26 1994-02-23 サセプタ駆動及びウエハ変位機構を備える熱反応チャンバ Expired - Fee Related JP3786976B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2384493A 1993-02-26 1993-02-26
US08/023844 1993-02-26

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004326911A Division JP3737505B2 (ja) 1993-02-26 2004-11-10 サセプタ駆動及びウエハ変位機構

Publications (2)

Publication Number Publication Date
JPH06318630A JPH06318630A (ja) 1994-11-15
JP3786976B2 true JP3786976B2 (ja) 2006-06-21

Family

ID=21817531

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2532794A Expired - Fee Related JP3786976B2 (ja) 1993-02-26 1994-02-23 サセプタ駆動及びウエハ変位機構を備える熱反応チャンバ
JP2004326911A Expired - Fee Related JP3737505B2 (ja) 1993-02-26 2004-11-10 サセプタ駆動及びウエハ変位機構

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2004326911A Expired - Fee Related JP3737505B2 (ja) 1993-02-26 2004-11-10 サセプタ駆動及びウエハ変位機構

Country Status (5)

Country Link
US (1) US5421893A (ja)
EP (3) EP0852393A3 (ja)
JP (2) JP3786976B2 (ja)
KR (1) KR100280575B1 (ja)
DE (1) DE69428618T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101281403B1 (ko) * 2011-11-07 2013-07-02 주식회사 엘지실트론 에피텍셜 반응기 및 에피텍셜 반응기의 리프트 핀

Families Citing this family (449)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
KR970005686B1 (ko) * 1994-04-28 1997-04-18 한국베리안 주식회사 박막열처리 장치
US5982986A (en) * 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6746565B1 (en) * 1995-08-17 2004-06-08 Semitool, Inc. Semiconductor processor with wafer face protection
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5735960A (en) 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6350319B1 (en) 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US6413436B1 (en) * 1999-01-27 2002-07-02 Semitool, Inc. Selective treatment of the surface of a microelectronic workpiece
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US6190113B1 (en) 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
WO1999023691A2 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US20050217707A1 (en) * 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
US6318385B1 (en) 1998-03-13 2001-11-20 Semitool, Inc. Micro-environment chamber and system for rinsing and drying a semiconductor workpiece
US6423642B1 (en) * 1998-03-13 2002-07-23 Semitool, Inc. Reactor for processing a semiconductor wafer
US6632292B1 (en) * 1998-03-13 2003-10-14 Semitool, Inc. Selective treatment of microelectronic workpiece surfaces
JP3076791B2 (ja) * 1998-10-19 2000-08-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
JP2000174094A (ja) 1998-12-08 2000-06-23 Hitachi Ltd 半導体製造装置
US6511914B2 (en) 1999-01-22 2003-01-28 Semitool, Inc. Reactor for processing a workpiece using sonic energy
US6492284B2 (en) 1999-01-22 2002-12-10 Semitool, Inc. Reactor for processing a workpiece using sonic energy
US6680253B2 (en) 1999-01-22 2004-01-20 Semitool, Inc. Apparatus for processing a workpiece
US6548411B2 (en) 1999-01-22 2003-04-15 Semitool, Inc. Apparatus and methods for processing a workpiece
US7217325B2 (en) * 1999-01-22 2007-05-15 Semitool, Inc. System for processing a workpiece
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
WO2001006031A1 (en) * 1999-07-14 2001-01-25 Seh America, Inc. Susceptorless semiconductor wafer support and reactor system for epitaxial layer growth
US6454852B2 (en) 1999-07-14 2002-09-24 Seh America, Inc. High efficiency silicon wafer optimized for advanced semiconductor devices
US6375749B1 (en) 1999-07-14 2002-04-23 Seh America, Inc. Susceptorless semiconductor wafer support and reactor system for epitaxial layer growth
US6395085B2 (en) 1999-07-14 2002-05-28 Seh America, Inc. Purity silicon wafer for use in advanced semiconductor devices
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
US6632277B2 (en) 1999-07-14 2003-10-14 Seh America, Inc. Optimized silicon wafer gettering for advanced semiconductor devices
US6383330B1 (en) 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US6149365A (en) 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
DE19951991C2 (de) 1999-10-28 2001-10-25 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Beladen eines Suszeptors
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
US7166165B2 (en) 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
JP3931578B2 (ja) * 2001-03-30 2007-06-20 信越半導体株式会社 気相成長装置
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
EP1481114A4 (en) * 2001-08-31 2005-06-22 Semitool Inc DEVICE AND METHOD FOR ELECTROCHEMICAL PROCESSING OF MICROELECTRONIC WORKPIECES
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4655935B2 (ja) 2003-10-01 2011-03-23 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
JP2005223142A (ja) * 2004-02-05 2005-08-18 Tokyo Electron Ltd 基板保持具、成膜処理装置及び処理装置
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JPWO2006126258A1 (ja) * 2005-05-25 2008-12-25 富士通株式会社 剛性調節機構
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
KR100698404B1 (ko) * 2005-06-24 2007-03-23 주식회사 유진테크 회전식 히터구조를 가지는 화학기상증착장치 및 그제어방법
KR100678867B1 (ko) * 2005-12-12 2007-02-05 주식회사 뉴파워 프라즈마 이중 히팅 플레이트를 구비한 반도체 제조용 히터 및 이를이용한 기판 처리 방법
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
CN102308381B (zh) * 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101239163B1 (ko) * 2011-08-26 2013-03-05 (주) 라미나 반응기용 실린더
CN103930985B (zh) * 2011-10-13 2017-03-29 株式会社爱发科 真空处理装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5704461B2 (ja) * 2012-02-24 2015-04-22 信越半導体株式会社 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
KR101977376B1 (ko) 2012-08-07 2019-05-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5996409B2 (ja) * 2012-12-12 2016-09-21 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9532401B2 (en) * 2013-03-15 2016-12-27 Applied Materials, Inc. Susceptor support shaft with uniformity tuning lenses for EPI process
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2016007251A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Apparatus and methods for alignment of a susceptor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN109155272A (zh) * 2016-05-24 2019-01-04 三益半导体工业株式会社 旋转台用晶片保持机构及方法和晶片旋转保持装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114695234A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 保护机构及保护晶圆和销的方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
EP0250064A2 (en) * 1986-06-20 1987-12-23 Varian Associates, Inc. Wafer processing chuck using multiple thin clamps
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5117769A (en) * 1987-03-31 1992-06-02 Epsilon Technology, Inc. Drive shaft apparatus for a susceptor
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
DE69108079T2 (de) * 1990-03-30 1995-11-02 Sony Corp Sputteranlage.
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101281403B1 (ko) * 2011-11-07 2013-07-02 주식회사 엘지실트론 에피텍셜 반응기 및 에피텍셜 반응기의 리프트 핀

Also Published As

Publication number Publication date
EP1067588A3 (en) 2006-05-31
EP0613173B1 (en) 2001-10-17
DE69428618T2 (de) 2002-08-08
EP1067588A2 (en) 2001-01-10
EP0852393A2 (en) 1998-07-08
JPH06318630A (ja) 1994-11-15
JP3737505B2 (ja) 2006-01-18
DE69428618D1 (de) 2001-11-22
EP0852393A3 (en) 1999-08-04
EP0613173A1 (en) 1994-08-31
KR940020471A (ko) 1994-09-16
KR100280575B1 (ko) 2001-11-30
JP2005150725A (ja) 2005-06-09
US5421893A (en) 1995-06-06

Similar Documents

Publication Publication Date Title
JP3786976B2 (ja) サセプタ駆動及びウエハ変位機構を備える熱反応チャンバ
JP3507795B2 (ja) 回転する基板を備えた急速熱処理(rtp)システム
JP4352233B2 (ja) ロードロック室アセンブリ及びウエハ移送装置
CN100358098C (zh) 半导体工艺件处理装置
JPH01252770A (ja) 工作物を保持する装置
US20060075972A1 (en) Substrate processing apparatus and substrate processing method
US5929456A (en) Ion implantation system and method adapted for serial wafer processing
US4767251A (en) Cantilever apparatus and method for loading wafer boats into cantilever diffusion tubes
JP2003124287A (ja) エピタキシャルウェハ製造装置及びウェハ製造方法
JP2004022805A (ja) 熱的処理装置および熱的処理方法
JP2002237464A (ja) Cvd処理チャンバ用切離し式ウェハリフト及び5軸可調整ヒーターリフトシステム
JP3762275B2 (ja) 基板処理装置および基板処理方法
JPH10270307A (ja) 基板処理装置
KR100919661B1 (ko) 반도체 제조 장치
JP2003017430A (ja) 基板の熱処理装置
US6283273B1 (en) Substrate processing apparatus
JPH06168904A (ja) 縦型反応炉
KR20020030091A (ko) 결함없는 고속 열처리를 제공하기 위한 시스템과 방법
KR200161684Y1 (ko) 반도체소자 제조용 웨이퍼 냉각장치
JPH113929A (ja) ウェーハ姿勢合わせ装置及び該装置を具備した半導体製造装置
JP4156714B2 (ja) 成膜処理装置
JPH07169696A (ja) 化学気相成長方法及びその装置
JP2000091232A (ja) 基板加熱搬送プロセス処理装置
JPH11255594A (ja) 結晶ブロックを保持するための装置
JP2003109907A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20010219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20031215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041108

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060228

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060323

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100331

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees