JP3121589B2 - 相互接続構造部、及び、その形成方法 - Google Patents

相互接続構造部、及び、その形成方法

Info

Publication number
JP3121589B2
JP3121589B2 JP11117513A JP11751399A JP3121589B2 JP 3121589 B2 JP3121589 B2 JP 3121589B2 JP 11117513 A JP11117513 A JP 11117513A JP 11751399 A JP11751399 A JP 11751399A JP 3121589 B2 JP3121589 B2 JP 3121589B2
Authority
JP
Japan
Prior art keywords
copper
seed layer
interconnect structure
copper alloy
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP11117513A
Other languages
English (en)
Other versions
JPH11340229A (ja
Inventor
ダニエル・チャールス・エドラステン
ジェームズ・マッケルエドウィン・ハーパー
チャオクン・ク
アンドリュー・エイチ・シモン
コプレイン・エメカ・ウゾー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH11340229A publication Critical patent/JPH11340229A/ja
Application granted granted Critical
Publication of JP3121589B2 publication Critical patent/JP3121589B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Parts Printed On Printed Circuit Boards (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般的に、電子デバイ
スとの電気的通信のための相互接続構造及びそのような
構造を製造する方法に関する。特に、本発明は、エレク
トロマイグレーション抵抗力、相互接続構造の接着と表
面特性を改善するために銅導電体本体と電子デバイスと
の間に挟まれた銅合金シード層の挿入により、電子デバ
イスとの電気的接続を与えるための構造に関する。
【0002】
【従来の技術】半導体チップ構造、フラット・パネル・
ディスプレイ、及びパッケージ・アプリケーションにお
いて、バイア、線、リセスのために与えられる相互接続
するための技術は、長年の間開発されてきた。例えば、
大規模集積回路(VLSI)構造のために相互接続技術
を開発する場合、アルミニウムが、単一基板上の半導体
領域又はデバイスのコンタクト及び相互接続のための主
たる金属源として用いられてきた。アルミニウムは、そ
の低価格、良好なオーム接触、高導電率を理由に選ばれ
た材料である。しかしながら、純粋なアルミニウム薄膜
導電体は、例えば、その使用を低い温度プロセスに制限
する低融点、接触及び接合不良をもたらすアニール中の
シリコンへの起こり得る拡散、及びエレクトロマイグレ
ーションのような望ましくはない特性を有する。結果と
して、純粋アルミニウムを越える進歩を与える多くのア
ルミニウム合金が開発されてきた。例えば、米国特許第
4、566、177号は、エレクトロマイグレーション
抵抗力を改善するためにシリコン、銅、ニッケル、クロ
ム、及びマンガンを3重量%まで含むアルミニウム合金
の導電層を開示している。米国特許第3、631、30
4号は、エレクトロマイグレーション抵抗力を改善する
ためにも用いられるアルミニウム酸化物を含むアルミニ
ウム合金を開示している。
【0003】近年開発されたULSI技術は、究極的な
高回路密度及びそのようなデバイスの要求する早い処理
速度のため、配線要件に関するより厳しい要求をする。
これは、ますます小さくなる導電体線に高電流密度をも
たらす。結果として、アルミニウム合金導電体のための
大きな断面の配線又は高導電性を有する異なる配線材料
を要求する高導電性配線が望まれる。産業において自明
の選択は、その望ましい高導電率のために純粋な銅を使
用して、後者を開発することである。
【0004】例えばバイア及び線のようなULSI相互
接続構造の形成において、同じ基板上に位置する半導体
領域又はデバイスを相互接続するために、そのようなリ
セスに銅を付着できる。しかしながら、銅は、半導体デ
バイス接合の所に、その低いエレクトロマイグレーショ
ン抵抗力が原因の問題があることが知られている。金属
固体中のランダムな熱拡散に電界が重なることによっ
て、電子の流れの方向にイオンの正味の移動が生じる時
に、エレクトロマイグレーション現象が起こる。シリコ
ン基板へのどのような銅イオン拡散も、デバイス欠陥を
引起こす。その上、純粋な銅は、例えば二酸化シリコ
ン、ポリイミドのような酸素を含む誘電体によく付着す
るわけではない。相互接続技術において、完全に銅を利
用するために、銅の付着特性も改善しなければならな
い。
【0005】本発明の共通の譲受人に譲渡された米国特
許第5、130、274号は、最初に相互接続構造のリ
セスに合金を付着して、銅合金プラグと、そのプラグの
露出表面上に合金要素の酸化物の薄い層を形成すること
により、2原子%より小さい合金要素を含む銅合金の利
用を開示している。しかしながら、この技法は、0.5
μmより小さいクリティカルな大きさが薄膜チップ相互
接続に関してかなりの負担になるULSI構造におい
て、より厳しい要件を満たすものではない。サブミクロ
ン論理回路配線構造における標準的なAl(Cu)合金
と二酸化シリコン誘電体との利用は、主に配線接続によ
って引起こされる大きな回路遅延をもたらす。
【0006】チップ・スピードを増すためのULSI配
線構造におけるAl(Cu)の代替材料としての銅の利
用は、他の研究者によっても試みられてきた。しかしな
がら、銅相互接続において、例えば薄膜において、銅が
腐食する傾向があり、銅の表面拡散速度が速いという数
々の問題が生じている。純粋な銅は、0.8〜0.9e
VのAl(Cu)のものより小さなエレクトロマイグレ
ーション活性化エネルギー、即ち0.5〜0.8eVを
有する。このことは、チップ動作条件での相互接続エレ
クトロマイグレーション欠陥を減らすために、銅を利用
することの利益を十分に危うくすることを意味する。
【0007】銅合金で作られた従来の相互接続構造を利
用した電子構造の拡大された断面の慨略図が、図1に示
されている。電子構造10は、銅の相互接続12、16
の2レベルと、1つのスタッド・レベル14とを含む事
前に製造されたデバイス20上のダマシン・プロセスに
よる銅配線構造を例示している。デバイス20が、半導
体基板24上に構築される。図1に示すように、典型的
なダマシン・レベルが最初に平坦な誘電体スタック26
の付着によって製造される。誘電体スタック26は、標
準的なリソグラフィー及びドライ・エッチ技術を利用し
て、所望の配線又はバイア・パターンを形成するように
パターン化しエッチされる。次にこのプロセスの後に、
下部シリコン窒化物層28を銅拡散を阻止するために、
デバイス20の上面上に既に付着されている拡散バリア
として用い、薄い接着/拡散ライナー18と銅合金の金
属付着12とが続く。銅合金相互接続12が形成された
後、次レベルの銅相互接続14を規定するためのエッチ
停止層として、上部シリコン窒化物層32が付着され
る。第2レベルの誘電体スタック34が付着された後、
相互接続のためのリセスが誘電体層34及びシリコン窒
化物層32にエッチされる。
【0008】ライナー22を有する内部レベル銅合金ス
タッド14は、第1レベル銅合金相互接続12を付着す
る際に用いられる技術と類似の技術によって付着され
る。様々な金属付着方法を、トレンチ又はバイアを埋め
るために用いることができる。このような方法は、平行
スパッタリング・プロセス、イオン・クラスター・プロ
セス、電子サイクロトロン共鳴プロセス、化学蒸着(C
VD)プロセス、無電解メッキ・プロセス、及び電解メ
ッキ・プロセスを含む。例えば、銅と合金要素を同時に
付着する同時付着(co−deposition)方法
のような他の方法も、銅合金の形成の際に用いることが
できる。例えば、このような同時付着方法は、同時スパ
ッタリング、同時メッキ、同時化学蒸着、及び、同時蒸
着を含む。内部レベルの銅合金スタッド14の完成の
後、別の類似のプロセスを繰返して第3の誘電体スタッ
ク層38内にライナー24を有する第2レベル銅相互接
続16を形成する。そのスタッドと第2レベル相互接続
の間にシリコン窒化物のエッチ停止層36を利用する。
最終的に、最上面のシリコン窒化物層42は、デバイス
を外界から保護するために銅配線構造10の最上面上に
付着される。
【0009】他の研究者は、強化されたエレクトロマイ
グレーション抵抗力を与える際に、銅合金を使用するこ
とを試みてきた。例えば、米国特許第5、023、69
8号は、Al、Be、Cr、Fe、Mg、Ni、Si、
Sn、Znの群から選択された少なくとも1つの合金要
素を含む銅合金を教示する。米国特許第5、077、0
05号は、In、Cd、Sb、Bi、Ti、Ag、S
n、Pb、Zr、Hfの群から選択された少なくとも1
つの0.0003〜0.01の重量%の合金要素を含む
銅合金を教示する。銅合金は、TABプロセスや、プリ
ント回路ボード部材として使用される。米国特許第5、
004、520号も、P、Al、Cd、Fe、Mg、N
i、Sn、Ag、Hf、Zn、B、As、Co、In、
Mn、Si、Te、Cr、Znから選択された少なくと
も1つの合金要素を含み、0.03〜0.5重量%の濃
度を有するフィルム・キャリアのための銅箔を教示す
る。これら合金は、集積回路実装における接続リード線
として使用される。更に、米国特許第4、749、54
8号は、Cr、Zr、Li、P、Mg、Si、Al、Z
n、Mn、Ni、Sn、Ti、Be、Fe、Co、Y、
Ce、La、Nb、W、V、Ta、B、Hf、Mo、C
から選択された少なくとも1つの合金要素を含む銅合金
を教示する。その合金要素は、銅合金の強度を増加する
ために使用される。米国特許第5、243、222号及
び第5、130、274号は、拡散バリアーの改善され
た付着及び形成のための銅合金を教示する。しかしなが
ら、これらの先行する仕事のどれも、エレクトロマイグ
レーション抵抗力と接着特性の要件に適合すべきULS
Iオン・チップ又はオフ・チップ配線相互接続での使用
に対して十分改良された銅合金を教示しない。ULSI
デバイスに関する相互接続構造は、0.5μmよりずっ
と小さな幅で1より大きなアスペクト比の形状を有する
絶縁体構造において、密で完全に連続した金属配線を与
えなければならない。
【0010】
【発明が解決しようとする課題】本発明の目的は、それ
ゆえ、従来の銅相互接続構造の障害や欠点を有さない、
銅合金の相互接続構造を与えることである。本発明の別
の目的は、改善されたエレクトロマイグレーション抵抗
力、接着特性、及び他の表面特性を有する銅合金の相互
接続構造を与えることである。本発明の更なる目的は、
銅合金相互接続体とそれがが接続されるべき電子デバイ
スとの間の界面の所にシード層を利用する銅合金の相互
接続構造を与えることである。本発明の別の更なる目的
は、銅導電体と相互接続が接続されるべき電子デバイス
との間に挟まれように銅合金シード層を挿入することに
より、銅合金の相互接続構造を与えることである。更に
本発明の別の目的は、相互接続構造のエレクトロマイグ
レーション抵抗力を改善するために、銅導電体の形成の
前に、Sn、In、C、Ti、Zr、N、O、Cl、又
はSの少なくとも1つの要素を含む銅合金シード層を付
着することにより、銅合金の相互接続構造を与えること
である。更に本発明の別の目的は、銅導電体の形成の前
に、Al、Mg、Be、Ca、Sr、Ba、Sc、Y、
La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、D
y、Ho、Er、Tm、Yb、Luから選択された少な
くとも1つの要素を含む銅合金シード層を付着すること
により、銅合金の相互接続構造を与えることである。本
発明の別の更なる目的は、相互接続構造の表面特性を改
善するために、B、O、N、P、Fe、Ru、Os、C
o、Rh、Ir、Ni、Pd、Pt、Ag、Au、Z
n、又はCdから選択された少なくとも1つの要素を含
む銅合金シード層を用いることにより、銅合金の相互接
続構造を与えることである。更に本発明の別の更なる目
的は、銅導電体付着プロセスを改善するために、銅導電
体と電子デバイスとの間に挟まれように、Ag、Mo、
W、又はCoから選択された金属のシード層を付着する
ことにより、銅合金の相互接続構造を与えることであ
る。更に本発明の別の目的は、最初に電子デバイス上に
銅合金シード層を付着し、次いでシード層上に銅導電体
を形成することにより、相互接続構造を形成する方法を
与えることである。ここで、銅合金シード層は銅と、S
n、In、Zr、Ti、C、O、N、Cl、及びSから
なる群から選択された少なくとも1つの要素とを含み、
相互接続構造のエレクトロマイグレーション抵抗力、腐
食抵抗力、及び接着を改善する。
【0011】
【課題を解決するための手段】本発明によると、銅導電
体本体及び電子デバイス間に挟まれた付加的な銅合金シ
ード層を利用することにより、エレクトロマイグレーシ
ョン抵抗力、接着特性、及び他の表面特性を改善する銅
合金の相互接続構造が与えられる。
【0012】典型的な実施例において、電子デバイスと
の電気的通信を与える相互接続構造が与えられる。相互
接続構造は、相互接続構造のエレクトロマイグレーショ
ン抵抗力を改善するために、実質的に銅で形成された本
体と、本体と電子デバイスに緊密に接触してその間に挟
まれ銅合金シード層とを含む電子デバイスを含む。銅合
金シード層は、銅と、Sn、In、Zr、Ti、C、
O、N、Cl、及びSの少なくとも1つの要素即ち元素
により形成される。
【0013】別の典型的な実施例において、電子デバイ
スに電気的接続を与える相互接続構造を与える。相互接
続構造は、下の拡散バリアー層との接着を改善するため
に、銅導電体本体と、銅導電体本体と電子デバイス上に
形成された拡散バリアー層に緊密に接触してその間に挟
まれた銅合金シード層とを含む。銅合金シード層は、銅
と、Al、Mg、Be、Ca、Sr、Ba、Sc、Y、
La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、D
y、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、
Ta、Cr、Mo、W、Mn、Re、Si、Geからな
る群から選択された少なくとも1つの要素とからなる。
【0014】更に別の典型的な実施例において、電子デ
バイスに電気的接続を与える相互接続構造システムを与
える。相互接続構造システムは、電子デバイスの表面特
性を改善するために、銅導電体本体と、銅導電体本体と
電子デバイスに密接に接触しその間に挟まれた銅合金シ
ード層とを含む。銅合金シード層は、銅と、B、O、
N、P、Fe、Ru、Os、Co、Rh、及びIrの少
なくとも1つの要素とからなる。電子デバイスと電気的
通信を与える接続システムが与えられ、その接続システ
ムは、銅導電体の付着プロセスを改善するために、銅導
電体本体と、銅導電体本体と電子デバイスに密接に接着
してその間に挟まれた金属シード層とを含む。金属シー
ド層は、実質的に銅化合物を形成できないような銅に対
する低い溶解度を有する金属により付着形成される。一
般に、その金属は、銅の電気抵抗率と実質的に類似の電
気抵抗率を有する。金属シード層のために適当な金属
は、Ag、Mo、W又はCoである。
【0015】本発明は、更に、電子デバイスと電気的接
続を与える相互接続構造を形成する方法に関する。方法
は、最初に電子デバイス上に銅合金シード層を付着し、
次に相互接続構造のエレクトロマイグレーション抵抗力
を改善するように銅合金シード層上に銅導電体本体を形
成してその層を密接に接合する操作ステップにより、実
行できる。
【0016】本発明は、更に、電子デバイスとの電気的
通信を与える相互接続構造を形成する方法に関する。方
法は、そのデバイスとの接着を改善し、最初に電子デバ
イス上に銅合金シード層を付着し、その銅合金シード層
は、銅と、Al、Mg、Be、Ca、Sr、Ba、S
c、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、
Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、
V、Nb、Ta、Cr、Mo、W、Mn、Re、Si、
及びGeからなる群から選択された少なくとも1つの要
素とからなり、次に銅合金シード層と密接に接触して銅
導電体本体を形成するステップにより、実行できる。
【0017】本発明は、更に、電子デバイスとの電気的
通信を与える相互接続構造を形成する方法に関する。方
法は、最初に電子デバイス上に銅合金シード層を付着
し、次に銅合金シード層と密接に接触して該層の上面上
に銅導電体本体を形成するステップにより、実行でき
る。導電体は、銅と、C、N、Cl、O、又はSから選
択された、約0.001〜10重量%の少なくとも1の
合金要素とにより形成される。
【0018】本発明は、更に、電子デバイスとの電気的
通信を与える相互接続構造を形成する方法に関する。方
法は、最初に、電子デバイスの上面上に金属シード層を
付着し、その金属シード層は、銅化合物を形成できない
ような銅に対する低い溶解度及び低い親和性を有する金
属の付着により形成し、次に金属シード層と密接に接触
してその層の上面に銅導電体本体を形成するステップに
より、実行できる。その金属シード層は、Ag、Mo、
W、又はCoから選択された金属の付着により形成され
る。
【0019】
【発明の実施の形態】本発明は、銅導電体本体と、銅導
電体本体と電子デバイス間に挟まれた銅合金シード層と
を利用することにより、電子デバイスとの電気的通信を
確立するための新規な相互接続構造を提供し、エレクト
ロマイグレーション抵抗力、接着特性、及び他の表面特
性を改善する。本発明はまた、金属シード層をAg、M
o、W、又はCoの1の材料により付着形成する銅導電
体の付着プロセスを改善するために、銅導電体本体と、
銅導電体本体と電子デバイスの間に挟まれた金属シード
層とを用いて、電子デバイスとの電気的接続を与える相
互接続構造を開示する。
【0020】本発明は更に、銅合金シード層の上面上に
銅導電体本体を形成する前に、最初に電子デバイス上に
そのシード層を付着することにより、電子デバイスとの
接着を改善する、電子デバイスとの電気的通信を与える
相互接続構造を形成する新規な方法を開示する。シード
層は、銅と、Al、Mg、Be、Ca、Sr、Ba、S
c、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、
Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、
V、Nb、Ta、Cr、Mo、W、Mn、Re、Si、
Geの少なくとも1つの要素即ち元素とから形成され得
る。銅と、Sn、In、Zr、Ti、C、O、N、C
l、Sの少なくとも1つの合金要素とにより付着形成さ
れた銅合金シード層を利用することにより、構造のエレ
クトロマイグレーション抵抗力を改善する相互接続構造
を形成する類似の方法が与えられる。銅と、B、O、
N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、
Pd、Pt、Ag、Au、Zn、Cdの少なくとも1つ
の要素とからなる銅合金シード層を用いることにより、
電子デバイス上の表面特性を改善する、電子デバイスと
の電気的通信を与える導電体を形成する別の類似の方法
が与えられる。電子デバイスとの電気的通信を与える導
電体を形成する本発明の新規な発明は、銅を含まないシ
ード層を利用することにより、更に実行される。即ち、
金属シード層は、銅化合物を形成しないような銅に対す
る低い溶解度及び低い親和性を有する金属、例えばA
g、Mo、W、又はCoにより付着形成される。
【0021】図2を参照すると、本発明の相互接続構造
50の拡大された透視図が示されている。相互接続構造
50は、電子デバイスが含まれているシリコン又は他の
半導体材料であり得る基板52上に構築される。Wスタ
ッドと局所的相互接続62を有するデバイス66が半導
体基板52上に構築される。配線レベル間の垂直接続
は、配線をデバイス・コンタクト64に接続するCuス
タッド構造60及びWスタッド構造62により与えられ
る。示されているデバイス66は、一般にCMOSトラ
ンジスタを示すが、どんな電子デバイスであってもよ
い。
【0022】銅が絶縁体54又はデバイス66へ拡散す
るのを阻止するために、通常、拡散/接着バリアー層を
用いて、銅46、60、及び56を取り囲む。拡散/接
着バリアー層は、絶縁層70又は導電層72であっても
よい。導電性拡散バリアー層72は、この明細書では単
にバリアー層と呼ばれるとしても、下の材料への銅接着
をも与える。また、メインの銅導電体層46、60、及
び56の下に普通に付着されたシード層76及び78が
図2に示される。シード層の位置及び機能は、相互接続
構造の2つの製造方法、即ちシングル・ダマシン及びデ
ュアル・ダマシン・プロセスを参照して説明される。
【0023】本発明の新規な相互接続構造を製造するシ
ングル・ダマシン・プロセスは、図3〜図6に示され
る。図3は、銅層46のレベルに形成される銅ライン又
はスタッドの構造が示される。この例では、スタッドが
示されている。絶縁体層100と窒化物エッチ停止層1
01が最初に付着され、コンタクト領域65を露出させ
るようにパターン化される。バリアー層72が、窒化物
エッチ停止層101の上面に付着される。これは、シン
グル・ダマシン・プロセスとして知られる製造方法であ
る。次に、図4に示すように、シード層78がバリアー
層72上に付着される。シード層とその付着方法のため
に用いられる材料は、後のセクションで与えられる。様
々な材料が様々な特性の強化を達成するために選択され
る。
【0024】シード層78の機能は、メインの導電体層
が付着され得るベースを与えることである。これは図5
に示され、その図においてメインの導電体層82が、シ
ード層78の上面上に付着されている。シングル・ダマ
シン・プロセスにおいて配線ステップを完成するため
に、過剰な銅が、分離スタッド又はライン46を残しな
がら、例えばメインの導電体82の過剰な上面、シード
層78、及びバリアー層72及びエッチ停止層101を
除去するように化学機械的研磨の方法により平坦化され
る。最終的に、絶縁体バリアー層70が、図6に示され
るように付着される。この類似の処理を次の配線レベル
又はスタッドに対して繰返し、多層レベルの相互接続構
造を構築できる。
【0025】デュアル・ダマシン・プロセスとして一般
に知られた、本発明の新規な相互接続システムを製造す
るための第2の方法において、スタッドとライン・レベ
ルの両方、例えば図2に示されるように、ライン・レベ
ル56及びスタッド60が、類似のプロセス・ステップ
において製造される。バリアー層72を、結合されたラ
イン/スタッドの開口84に最初付着する図7を今参照
する。図8に示すように、プロセスの次のステップにお
いて、シード層86が、バリアー層72の上面上に付着
される。次にメインの導電体層90が付着されライン/
スタッド開口84を充填する。これが図9に示されてい
る。例えば化学機械的研磨のような平坦化ステップを実
行して、一体としてライン56とスタッド60の配線構
造を完成させる。このデュアル・ダマシン・プロセスに
おいて、ライン56とスタッド60の両方を形成する際
シリコン窒化物のエッチ停止層70を使用しているが、
省くことができる。図10に示すように、最後のパシベ
ーション及びエッチ停止層のシリコン窒化物層101が
付着される。
【0026】本発明の新規な相互接続構造によって用い
られるシード層は、幾つかの望ましい機能を提供する。
例えば、メインの銅導電体のための化学蒸着プロセスで
は、シード層は、メインの銅導電体を形成するための化
学反応を開始させるのに望ましい。メインの銅導電体を
形成するためのメッキ・プロセスでは、シード層は、、
メッキ電流を供給する電極に電気的連続性を与えるため
に望ましい。メインの銅導電体のための高温のリフロー
・スパッタリング又は化学蒸着プロセスでは、表面に良
好な濡れ性と核形成の成長特性を与えるために、薄い層
が望ましい。
【0027】メインの銅導電体本体は、典型的には純粋
な銅により形成されるのでなく、銅導電体の信頼性を改
善することが明らかになったC、N、O、Cl、又はS
のような合金要素との銅混合物、又はその信頼性を改善
することが示された他の金属との銅合金より形成され
る。その合金の上記合金要素は、約0.001重量%〜
10重量%の範囲である。
【0028】銅導電体本体を付着するための本発明の新
規なシード層は、銅合金又は銅を含まない他の金属によ
り形成できる。合金シード層の組成の適当な選択によ
り、そのシード層は、全体の複合導電体の信頼性を劣化
させないで、銅導電体本体の高品質の付着のために必要
とされる特性を持つことができる。シード層の組成及び
構造は、メインの銅導電体本体の組成及び構造と同じで
ある必要はない。例えば、シード層は、メインの銅導電
体より大きな電気抵抗率を有する合金であってもよい。
更に、合金シード層は、銅をまったく含まなくてもよ
い。シード層により占有されている断面積が導電体全体
の断面積の小部分である限り、全体の線抵抗は主要導電
体の抵抗率で決定され、従ってその抵抗はシード層によ
る望ましくない増加はないであろう。このアプリケーシ
ョンにおいて用いられる現在の金属合金は、固溶体また
は金属相の2相混合物の他、金属化合物を含むことに注
意すべきである。
【0029】それゆえ、本発明の新規なシード層は、改
善されたエレクトロマイグレーション抵抗力、下の拡散
バリアー層への改善された接着性、及びメインの導電体
本体の付着に適合した改善された表面特性を与えるため
に選ばれる。純粋な銅に関連するエレクトロマイグレー
ション抵抗力を改善することが示された銅合金の新規な
組成は、Cu(Sn)、Cu(In)、Cu(Zr)、
Cu(Ti)、及びCu(C、N、O、Cl、S)を含
む。本発明の新規な相互接続構造も、純粋な銅に関連し
て接着特性を改善するシード層として銅合金を利用す
る。その銅合金は、Cu(Al)、Cu(Mg)、及び
他の反応性金属例えばBe、Ca、Sr、Ba、Sc、
Y、La、及び一連の希土類要素例えばCe、Pr、N
d、Sm、Eu、Gd、Tb、Dy、Ho、Er、T
m、Yb、Lu、及びHf、V、Mb、Ta、Cr、M
o、W、Mn、Re、Si、Geなどを含む。
【0030】本発明の新規な相互接続構造は、B、O、
N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、
Pd、Pt、Ag、Au、Zn、Cdを含むシード層の
ために表面特性を改善する追加の合金要素を更に用い
る。メインの銅導電体本体の付着のために都合の良い表
面を与える銅合金は、過剰な量の表面酸化物を形成しな
いものを含むことが判明した。それゆえ、エレクトロマ
イグレーション抵抗力、接着、及び表面特性の最良な組
合わせを獲得するために、合金シード層は、1又は2以
上の上記合金要素を同時に有する銅から形成され得る。
本発明の典型的な例は、0.25〜1.5原子%のSn
又はInを有する銅合金である。Cu(Sn)、Cu
(In)のエレクトロマイグレーション寿命は純粋なC
uよりも著しく長くなる。Cu、Sn、又はInを内部
拡散させ、Sn、又はInを300〜450℃の温度範
囲において銅表面の所に蓄積させることも可能である。
【0031】本発明の新規な相互接続構造の第2の好ま
しい実施例においは、銅を含まない金属合金シード層を
有利に利用できる。金属合金シード層の特性は、メイン
の銅導電体本体の抵抗率を増加させるよごれまたは化合
物形成を導入しないで、メインの銅付着プロセスをシー
ドする要件を満たさなければならない。1つの特定の例
は、銅に対して低溶解度を有しCu化合物を形成しない
Agである。その上Agは、メインの銅導電体に相当す
る低抵抗率を有する。本発明の第2の好ましい実施例に
おいて有利に利用でき、銅化合物を形成せず、銅の低溶
解度を有する他の金属及び幾つかの金属の合金は、M
o、W、及びCoを含む。
【0032】本発明の新規な相互接続構造の第3の好ま
しい実施例においては、バリアー層と同じ層であるシー
ド層も、利用され得る。シード層の特性は、普通に分離
されたシード層のしシーディング(seeding)特
性を与えることに加え、適切な接着及び拡散バリアーの
有効性の要求を満たさなければならない。
【0033】本発明の新規な相互接続構造の更にもう1
つの好ましい実施例においては、バリアー層及びシード
層を、それらの特性が1の界面から他方へとしだいに変
化するように構築できる。例えば、組成及び構造は、例
えば反応性金属成分を含むことにより、底部の界面での
接触のために最適化されるであろう。拡散/シード層の
中間において、例えばアモルファス微細構造を有する耐
火性金属窒化物を含むことにより、組成及び構造は、拡
散バリアーの有効性のために最適化される。バリアー/
シード層の上面では、例えば銅又は銀を含むことによ
り、組成及び構造は、メインの銅電導体本体をシードし
接着するために、最適化される。それは、層の順序(シ
ーケンシャル)付着か、又は1つの付着プロセスにおい
てしだいに変化させた組成構造の付着のいずれかによ
り、獲得されるべきものである。
【0034】本発明の有利な合金シード層は、多くの異
なる方法により製造され得る。一般に、シード層は、例
えばTi、Nb、Mo、Ta、TaN、W、WN、Ti
N、TaSiN、WSiN、TiAlN、TiSiNの
ような材料を含む下のバリアー層上に付着されるであろ
う。単一の合金ターゲット又は複数のターゲットからの
反応性又は無反応性スパッタリング、基板にイオン化さ
れた種を向けるイオン化スパッタリング、化学蒸着(C
VD)、蒸着(evaporation)、又は電気化
学的手段によって、シード層が付着され得る。合金シー
ド層も、銅と合金要素の順序付着により付着され、その
合金要素は次に適当な加熱処理により内部拡散され得
る。
【0035】本発明の新規なシード層のための適当な厚
さは、1nmより小さい、具体的には0.1nmから、
サブミクロン線幅では約100nmまで、又はより広い
線の線幅の約20%まで、の範囲で変わり得る。厚さの
好ましい範囲は、約1nmと100nmの間である。
【0036】
【実施例】本発明の新規な金属合金シード層の特別な例
は、0.25〜1.5原子%のSn又はInを含む銅合
金のシード層を含む構造である。図2〜6に示すよう
に、相互接続構造は、低配線レベルでは0.5μm線幅
より小さく、そして高レベルでは1〜2μmより小さな
メイン導電体寸法を有する。レベル間の絶縁体の厚さは
1μmより小さくとも、又は1μmより大きくともよ
く、例えば典型的には0.5〜1.5μmである。この
絶縁体材料は、典型的にはSiとOを含み、またFを含
むことがあり、さらに高分子材料又は多孔性のものであ
り得る。絶縁性拡散バリアー層は、典型的には10nm
と100nmの厚さの間であり、典型的にはSiとNを
含み、又は高分子材料であり得る。導電性拡散バリアー
層は、典型的には約10nmの厚さを有し、又は一般に
は数nm〜100nmの範囲の厚さを有する。それは、
Ta、Ti、W、Nb、Mo、Si、N、Cl、Oを含
み、アモルファス又は多結晶であり得る。例えば、Ta
N、TiN、TaSiNを都合よく用いることできる。
【0037】本発明のシード層は、合金ターゲットから
のスパッタリングにより付着された、0.25〜1.5
原子%のSnを含む銅合金であり得る。その厚さは、約
0.1〜100nmの範囲であり、より典型的には約1
nm〜100nmの範囲である。メインの銅導電体本体
は、化学蒸着又は電気化学的手段により付着され、約
0.2と1.5μmの間の全体の厚さを有し得る。平坦
化プロセスが、化学機械的研磨により実行された後に、
過剰な銅、シード層、及び拡散/接着層が除去される。
デュアル・ダマシン・プロセスにおける製造順序は、配
線レベルとスタッド・レベルの両方が1つのプロセス・
シーケンスで完了する例外はあるが、バリアー、シー
ド、及びメイン銅導電体材料のためのシングル・ダマシ
ン・プロセスと本質的に同じである。
【0038】本発明は上記実施例において例示された
が、銅相互接続構造のための合金シード層は、先進のチ
ップ・アプリケーション又はディスプレイ・アプリケー
ションにおける半導体構造に有利に利用され得ることに
注意すべきである。
【0039】
【図面の簡単な説明】
【図1】銅合金を使用した従来の相互接続システムの拡
大された断面図である。
【図2】内部に本発明の相互接続システムを有する電子
構造の拡大された透視図である。
【図3】内部に付着された拡散バリアーを有する本発明
の相互接続システムを形成するための開口の拡大された
断面図である。
【図4】内部に付着された銅合金シード層を有する図3
の本発明の相互接続システムを形成するための開口の拡
大された断面図である。
【図5】内部に付着された銅電導体材料を有する図4の
本発明の相互接続システムを形成するための開口の拡大
された断面図である。
【図6】図5の過剰な銅を除去した本発明の相互接続シ
ステムの拡大された、断面図である。
【図7】内部に付着された拡散バリアー層を有するジュ
アル・ダマシン構造の本発明の相互接続システムを形成
するための開口の拡大された、断面図である。
【図8】拡散バリアー層の上面上に付着された銅合金シ
ード層を有する図7の本発明の相互接続構造を形成する
ための開口の拡大された断面図である。
【図9】内部に付着された銅合金を有する図8の本発明
の相互接続構造を形成するための開口の拡大された断面
図である。
【図10】図9の過剰な銅が除去された本発明の相互接
続構造の拡大された断面図である。
【符号の説明】
10:電子構造 42:シリコン窒化物層 18、24:ライナー 12、14、16:銅の相互接続 22:スタッド 26、34、38:誘電体スタック 20:製造前デバイス 28、32、42:シリコン窒化物 36:エッチ停止層 46:分離スタッド又はライン 50:相互接続構造 52:半電導体基板 54:絶縁体 56:銅、ライン・レベル 60:Cuスタッド構造 62:Wスタッド構造 64:デバイス・コンタクト 66:デバイス 70:絶縁層、バリアー層 72:ライナー層、バリアー層、シリコン窒化物エッチ
停止層 76、78、86:シード層 78:シード層 84:開口 90:導電体層 100:絶縁層 101:拡散/接着バリアー層、シリコン窒化物エッチ
停止層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェームズ・マッケルエドウィン・ハー パー アメリカ合衆国ニューヨーク州ヨークタ ウン・ハイツ、エリザベス・ロード507 (72)発明者 チャオクン・ク アメリカ合衆国ニューヨーク州ソマー ズ、ブットラー・ヒル・ロード26 (72)発明者 アンドリュー・エイチ・シモン アメリカ合衆国ニューヨーク州フィッシ ュキル、グリーンヒル・ドライブ31シー (72)発明者 コプレイン・エメカ・ウゾー アメリカ合衆国ニューヨーク州ホープウ ェル・ジャンクション、ブリッジ・スト リート657 (56)参考文献 特開 平9−20942(JP,A) 特開 平8−102463(JP,A) 特開 平5−47760(JP,A) 特開 平9−186157(JP,A) 特開 平8−298285(JP,A) 特開 平11−54458(JP,A) 特開 平10−223635(JP,A) 特開 平11−45887(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3205 - 21/3213 H01L 21/768

Claims (11)

    (57)【特許請求の範囲】
  1. 【請求項1】電子デバイスとの電気的接続を与える相互
    接続構造部であって、 前記電子デバイス上に付着された銅合金シード層と、 前記銅合金シード層に緊密に接触して、該層の上面上に
    形成された導電体とを含み、 前記導電体は、銅と、約0.001〜10重量%の間に
    ある、C、Cl、N、O、Sからなる群から選択された
    少なくとも1つの合金要素と、によって形成される、相
    互接続構造部。
  2. 【請求項2】前記銅合金シード層は、銅と、Sn、I
    n、Zr、Ti、C、N、O、Cl、及びSからなる群
    から選択された少なくとも1つの要素とを含むことを特
    徴とする、請求項1に記載の相互接続構造部。
  3. 【請求項3】前記銅合金シード層は、前記電子デバイス
    の表面特性を改善するために、銅と、B、O、N、P、
    Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、P
    t、Ag、Au、Zn、及びCdからなる群から選択さ
    れた少なくとも1つの要素とを含む、請求項1に記載の
    相互接続構造部。
  4. 【請求項4】前記銅合金シード層は、銅と、Al、M
    g、Be、Ca、Sr、Ba、Sc、Y、La、Ce、
    Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、E
    r、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、
    Mo、W、Mn、Re、Si、及びGeからなる群から
    選択された少なくとも1つの要素とを含むことを特徴と
    する、請求項1に記載の相互接続構造部。
  5. 【請求項5】前記銅合金シード層を付着すべき拡散バリ
    アー層を更に含む、請求項1、2、3又は4に記載の相
    互接続構造部。
  6. 【請求項6】前記拡散バリアー層は、Ta、TaN、
    W、TaSiN、TiN、WN、WSiN、TiAl
    N、及びTiSiNからなる群から選択された材料を付
    着したものである、請求項5に記載の相互接続構造部。
  7. 【請求項7】電子デバイスに電気的接続を与える相互接
    続構造部であって、 銅を含む導電体と、 前記導電体と前記電子デバイスとの間に挟まれ、それら
    と密接に接触する金属シード層とを含み、 前記金属シード層は、前記導電体の付着プロセスを改善
    するために、実質的に銅化合物を形成し得ないような銅
    に対する低い溶解度を有する金属により付着形成され、 前記導電体は、銅と、約0.001〜10重量%の間に
    ある、C、Cl、N、O、Sからなる群から選択された
    少なくとも1つの合金要素と、により形成される、相互
    接続構造部。
  8. 【請求項8】前記金属は、Ag、Mo、W、及びCoか
    らな群から選択されたものである請求項7に記載の相互
    接続構造部。
  9. 【請求項9】前記銅合金シード層は、約0.25〜1.
    5原子%のSn又はInを含む銅である、請求項1に記
    載の相互接続構造部。
  10. 【請求項10】電子デバイスとの電気的接続を与える相
    互接続構造部を形成する方法であって、 前記電子デバイス上に銅合金シード層を付着するステッ
    プと、 前記銅合金シード層に緊密に接触して、該層の上面上に
    導電体を形成するステップとを含み、 前記導電体は、銅と、約0.001〜10重量%の間に
    ある、C、Cl、N、O、Sからなる群から選択された
    少なくとも1つの合金要素と、により形成される、相互
    接続構造部を形成する方法。
  11. 【請求項11】前記銅合金シード層のための付着ステッ
    プの前に、更に前記電子デバイス上に拡散バリアーを含
    み、 前記拡散バリアー層は、Ti、TiN、Ta、Nb、M
    o、TaN、W、WN、TaSiN、WSiN、TiA
    lN、及びTiSiNからなる群から選択された材料を
    付着したものである、請求項10に記載の方法。
JP11117513A 1998-04-27 1999-04-26 相互接続構造部、及び、その形成方法 Expired - Lifetime JP3121589B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/067851 1998-04-27
US09/067,851 US6181012B1 (en) 1998-04-27 1998-04-27 Copper interconnection structure incorporating a metal seed layer

Publications (2)

Publication Number Publication Date
JPH11340229A JPH11340229A (ja) 1999-12-10
JP3121589B2 true JP3121589B2 (ja) 2001-01-09

Family

ID=22078850

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11117513A Expired - Lifetime JP3121589B2 (ja) 1998-04-27 1999-04-26 相互接続構造部、及び、その形成方法

Country Status (9)

Country Link
US (2) US6181012B1 (ja)
EP (1) EP0954027B1 (ja)
JP (1) JP3121589B2 (ja)
KR (1) KR100339179B1 (ja)
CN (1) CN1150619C (ja)
DE (1) DE69929496T2 (ja)
MY (1) MY126479A (ja)
SG (1) SG77224A1 (ja)
TW (1) TW418517B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101818949B1 (ko) * 2017-03-22 2018-01-17 한창헌 말뚝 경유형 지하수 집수 시설의 시공방법
KR102084579B1 (ko) * 2017-04-27 2020-03-04 한창헌 말뚝 경유형 지하수 집수 시설

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100267108B1 (ko) * 1998-09-16 2000-10-02 윤종용 다층배선을구비한반도체소자및그제조방법
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
JP4221100B2 (ja) * 1999-01-13 2009-02-12 エルピーダメモリ株式会社 半導体装置
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
JP2000349085A (ja) * 1999-06-01 2000-12-15 Nec Corp 半導体装置及び半導体装置の製造方法
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6551872B1 (en) * 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7655555B2 (en) * 1999-08-27 2010-02-02 Texas Instruments Incorporated In-situ co-deposition of Si in diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6479389B1 (en) * 1999-10-04 2002-11-12 Taiwan Semiconductor Manufacturing Company Method of doping copper metallization
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6720262B2 (en) * 1999-12-15 2004-04-13 Genitech, Inc. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
JP3821624B2 (ja) * 1999-12-17 2006-09-13 シャープ株式会社 半導体装置の製造方法
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6503375B1 (en) * 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode
JP4537523B2 (ja) * 2000-02-16 2010-09-01 富士通株式会社 Cu系埋込配線のパルスメッキ方法
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
TW503518B (en) * 2000-04-19 2002-09-21 Ibm Interconnect via structure and method
US6797608B1 (en) 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100404941B1 (ko) * 2000-06-20 2003-11-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6416812B1 (en) * 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
US6541861B2 (en) * 2000-06-30 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method including forming step of SOI structure and semiconductor device having SOI structure
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
JP2002075995A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6387806B1 (en) * 2000-09-06 2002-05-14 Advanced Micro Devices, Inc. Filling an interconnect opening with different types of alloys to enhance interconnect reliability
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP3686325B2 (ja) * 2000-10-26 2005-08-24 松下電器産業株式会社 半導体装置及びその製造方法
US6417566B1 (en) * 2000-11-01 2002-07-09 Advanced Micro Devices, Inc. Void eliminating seed layer and conductor core integrated circuit interconnects
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6348732B1 (en) * 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
US6504251B1 (en) * 2000-11-18 2003-01-07 Advanced Micro Devices, Inc. Heat/cold amorphized barrier layer for integrated circuit interconnects
JP2002164428A (ja) 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6358848B1 (en) * 2000-11-30 2002-03-19 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by forming an interim layer of calcium-doped copper seed layer in a chemical solution and semiconductor device thereby formed
US6469387B1 (en) * 2000-11-30 2002-10-22 Advanced Micro Devices, Inc. Semiconductor device formed by calcium doping a copper surface using a chemical solution
US6509262B1 (en) * 2000-11-30 2003-01-21 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution
US6657303B1 (en) * 2000-12-18 2003-12-02 Advanced Micro Devices, Inc. Integrated circuit with low solubility metal-conductor interconnect cap
US6979646B2 (en) * 2000-12-29 2005-12-27 Intel Corporation Hardening of copper to improve copper CMP performance
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6426293B1 (en) 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
WO2002103782A2 (en) * 2001-06-14 2002-12-27 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
EP1418619A4 (en) * 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
WO2003028090A2 (en) * 2001-09-26 2003-04-03 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2003115535A (ja) * 2001-10-04 2003-04-18 Hitachi Ltd 半導体集積回路装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6642133B2 (en) 2001-12-20 2003-11-04 Intel Corporation Silicon-on-insulator structure and method of reducing backside drain-induced barrier lowering
US20030118798A1 (en) 2001-12-25 2003-06-26 Nec Electronics Corporation Copper interconnection and the method for fabricating the same
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US7341947B2 (en) * 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US6472310B1 (en) * 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
JP4052868B2 (ja) * 2002-04-26 2008-02-27 Necエレクトロニクス株式会社 半導体装置の製造方法
US6958290B2 (en) 2002-05-03 2005-10-25 Texas Instruments Incorporated Method and apparatus for improving adhesion between layers in integrated devices
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
TW200406829A (en) * 2002-09-17 2004-05-01 Adv Lcd Tech Dev Ct Co Ltd Interconnect, interconnect forming method, thin film transistor, and display device
US20040061237A1 (en) * 2002-09-26 2004-04-01 Advanced Micro Devices, Inc. Method of reducing voiding in copper interconnects with copper alloys in the seed layer
KR100919378B1 (ko) * 2002-10-28 2009-09-25 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 및 이의 형성 방법
US7880305B2 (en) * 2002-11-07 2011-02-01 International Business Machines Corporation Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer
JP4794802B2 (ja) 2002-11-21 2011-10-19 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
CN1317755C (zh) * 2003-04-10 2007-05-23 联华电子股份有限公司 制作钨插塞的方法
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7189292B2 (en) * 2003-10-31 2007-03-13 International Business Machines Corporation Self-encapsulated silver alloys for interconnects
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7327033B2 (en) * 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner
US20060071338A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Homogeneous Copper Interconnects for BEOL
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
KR101165217B1 (ko) * 2004-12-21 2012-07-17 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US20060154102A1 (en) * 2005-01-10 2006-07-13 Chih-Yuan Wang Soft thin laminated substrate
KR100641362B1 (ko) * 2005-01-13 2006-10-31 삼성전자주식회사 이중 확산방지막을 갖는 배선구조 및 그 제조방법
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
US20060178007A1 (en) * 2005-02-04 2006-08-10 Hiroki Nakamura Method of forming copper wiring layer
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
WO2006095990A1 (en) * 2005-03-11 2006-09-14 Lg Chem, Ltd. An lcd device having a silver capped electrode
US7285496B2 (en) * 2005-04-28 2007-10-23 Intel Corporation Hardening of copper to improve copper CMP performance
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7301236B2 (en) * 2005-10-18 2007-11-27 International Business Machines Corporation Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
JP4485466B2 (ja) * 2005-12-27 2010-06-23 株式会社神戸製鋼所 半導体装置の配線用金属薄膜及び半導体装置用配線
KR100717909B1 (ko) * 2006-02-24 2007-05-14 삼성전기주식회사 니켈층을 포함하는 기판 및 이의 제조방법
US7367113B2 (en) * 2006-04-06 2008-05-06 United Microelectronics Corp. Method for fabricating a transformer integrated with a semiconductor structure
CN100454516C (zh) * 2006-04-17 2009-01-21 联华电子股份有限公司 整合于半导体集成电路结构的变压器的制作方法
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
TW200805667A (en) * 2006-07-07 2008-01-16 Au Optronics Corp A display panel structure having a circuit element and a method of manufacture
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7642653B2 (en) * 2006-10-24 2010-01-05 Denso Corporation Semiconductor device, wiring of semiconductor device, and method of forming wiring
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
US20080157375A1 (en) * 2006-12-27 2008-07-03 Dongbu Hitek Co., Ltd. Semiconductor device having a metal interconnection and method of fabricating the same
KR20080061030A (ko) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US20080164613A1 (en) * 2007-01-10 2008-07-10 International Business Machines Corporation ULTRA-THIN Cu ALLOY SEED FOR INTERCONNECT APPLICATION
US20080258304A1 (en) * 2007-04-23 2008-10-23 Denso Corporation Semiconductor device having multiple wiring layers
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
US7642189B2 (en) * 2007-12-18 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
KR101433899B1 (ko) * 2008-04-03 2014-08-29 삼성전자주식회사 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
JP5269533B2 (ja) * 2008-09-26 2013-08-21 三菱マテリアル株式会社 薄膜トランジスター
JP5360959B2 (ja) * 2008-10-24 2013-12-04 三菱マテリアル株式会社 バリア膜とドレイン電極膜およびソース電極膜が高い密着強度を有する薄膜トランジスター
JP4790782B2 (ja) * 2008-11-04 2011-10-12 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US8053861B2 (en) * 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US7951708B2 (en) * 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
JPWO2010143609A1 (ja) * 2009-06-12 2012-11-22 株式会社アルバック 電子装置の形成方法、電子装置、半導体装置及びトランジスタ
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
JP5463794B2 (ja) * 2009-08-24 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
JP5463801B2 (ja) * 2009-08-28 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8779589B2 (en) * 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
CN102117796A (zh) * 2011-01-28 2011-07-06 复旦大学 一种集成电路铜互连结构及其制备方法
US8658533B2 (en) 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
WO2012169405A1 (ja) * 2011-06-06 2012-12-13 三菱マテリアル株式会社 電子機器用銅合金、電子機器用銅合金の製造方法、電子機器用銅合金塑性加工材、及び電子機器用部品
CN102437100A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种使用双大马士革工艺同时形成铜接触孔和第一层金属的方法
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
CN103094184B (zh) * 2011-10-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
JP5903842B2 (ja) 2011-11-14 2016-04-13 三菱マテリアル株式会社 銅合金、銅合金塑性加工材及び銅合金塑性加工材の製造方法
US9093164B2 (en) * 2011-11-17 2015-07-28 International Business Machines Corporation Redundant via structure for metal fuse applications
CN102437145A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种自形成梯度Zr/ZrN双层扩散阻挡层及其制备方法
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9548276B2 (en) * 2012-04-18 2017-01-17 Win Semiconductors Corp. Structure of backside copper metallization for semiconductor devices and a fabrication method thereof
US9059176B2 (en) 2012-04-20 2015-06-16 International Business Machines Corporation Copper interconnect with CVD liner and metallic cap
JP5569561B2 (ja) * 2012-06-18 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
CN103681478B (zh) * 2013-12-19 2017-01-11 复旦大学 一种铜互连结构及其制备方法
CN103904025A (zh) * 2014-03-24 2014-07-02 上海华力微电子有限公司 提高金属连线电迁移可靠性的方法
DE102015110437B4 (de) * 2015-06-29 2020-10-08 Infineon Technologies Ag Halbleitervorrichtung mit einer Metallstruktur, die mit einer leitfähigen Struktur elektrisch verbunden ist und Verfahren zur Herstellung
CN106558564B (zh) * 2015-09-29 2019-08-27 稳懋半导体股份有限公司 半导体元件背面铜金属的改良结构
US10510688B2 (en) * 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
KR102586043B1 (ko) 2018-04-10 2023-10-10 삼성디스플레이 주식회사 유기 발광 표시장치 및 그 제조방법
CN111952263B (zh) * 2019-05-16 2022-08-05 上海交通大学 一种微米级单晶铜互连结构及其制备方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JP2726939B2 (ja) 1989-03-06 1998-03-11 日鉱金属 株式会社 加工性,耐熱性の優れた高導電性銅合金
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
DE69333966T2 (de) 1992-08-27 2006-09-14 Kabushiki Kaisha Toshiba, Kawasaki Elektronisches Bauteil mit metallischen Leiterbahnen und Verfahren zu seiner Herstellung
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
JP3337758B2 (ja) * 1993-06-15 2002-10-21 シャープ株式会社 半導体装置の製造方法
JP3512225B2 (ja) * 1994-02-28 2004-03-29 株式会社日立製作所 多層配線基板の製造方法
JP3755539B2 (ja) * 1994-06-20 2006-03-15 富士通株式会社 銅膜の形成方法
JPH0837235A (ja) * 1994-07-22 1996-02-06 Nippon Steel Corp 金属配線形成方法
JPH08186175A (ja) * 1994-12-28 1996-07-16 Sony Corp 半導体装置の配線形成方法及び成膜装置
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5545927A (en) * 1995-05-12 1996-08-13 International Business Machines Corporation Capped copper electrical interconnects
KR100232506B1 (ko) * 1995-06-27 1999-12-01 포만 제프리 엘. 전기적 접속을 제공하는 배선 구조 및 도체와 그 도체형성방법
JP3517802B2 (ja) 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH09283525A (ja) * 1996-04-17 1997-10-31 Sanyo Electric Co Ltd 半導体装置
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US5801100A (en) * 1997-03-07 1998-09-01 Industrial Technology Research Institute Electroless copper plating method for forming integrated circuit structures
TW401634B (en) * 1997-04-09 2000-08-11 Sitron Prec Co Ltd Lead frame and its manufacture method
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5891802A (en) * 1997-07-23 1999-04-06 Advanced Micro Devices, Inc. Method for fabricating a metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101818949B1 (ko) * 2017-03-22 2018-01-17 한창헌 말뚝 경유형 지하수 집수 시설의 시공방법
KR102084579B1 (ko) * 2017-04-27 2020-03-04 한창헌 말뚝 경유형 지하수 집수 시설

Also Published As

Publication number Publication date
SG77224A1 (en) 2000-12-19
DE69929496T2 (de) 2006-08-24
MY126479A (en) 2006-10-31
KR100339179B1 (ko) 2002-05-31
EP0954027A1 (en) 1999-11-03
TW418517B (en) 2001-01-11
DE69929496D1 (de) 2006-04-06
US6181012B1 (en) 2001-01-30
JPH11340229A (ja) 1999-12-10
CN1233856A (zh) 1999-11-03
US6399496B1 (en) 2002-06-04
KR19990083124A (ko) 1999-11-25
EP0954027B1 (en) 2006-01-18
CN1150619C (zh) 2004-05-19

Similar Documents

Publication Publication Date Title
JP3121589B2 (ja) 相互接続構造部、及び、その形成方法
US6090710A (en) Method of making copper alloys for chip and package interconnections
US6242808B1 (en) Semiconductor device with copper wiring and semiconductor device manufacturing method
US5925933A (en) Interconnect structure using Al2 -Cu for an integrated circuit chip
US6387805B2 (en) Copper alloy seed layer for copper metallization
US6207222B1 (en) Dual damascene metallization
US5686760A (en) Eutectic Cu-alloy wiring structure in a semiconductor device
JP4346866B2 (ja) TaN材料のバリア層を含む構造
US6229211B1 (en) Semiconductor device and method of manufacturing the same
US6271591B1 (en) Copper-aluminum metallization
EP0430403B1 (en) Method for fabricating interlevel contacts
US6570255B2 (en) Method for forming interconnects on semiconductor substrates and structures formed
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
JP3488586B2 (ja) 半導体装置の製造方法
JPH06151607A (ja) 半導体装置及びその製造方法
JPH0714833A (ja) 半導体装置の製造方法
JPH1070087A (ja) 半導体素子の金属配線及びその形成方法
JPH0670999B2 (ja) 半導体装置の層間接続方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071020

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081020

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081020

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091020

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091020

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101020

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101020

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111020

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131020

Year of fee payment: 13

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term