JP2008522408A - ダマシン銅配線の光学イメージ・センサ - Google Patents

ダマシン銅配線の光学イメージ・センサ Download PDF

Info

Publication number
JP2008522408A
JP2008522408A JP2007543331A JP2007543331A JP2008522408A JP 2008522408 A JP2008522408 A JP 2008522408A JP 2007543331 A JP2007543331 A JP 2007543331A JP 2007543331 A JP2007543331 A JP 2007543331A JP 2008522408 A JP2008522408 A JP 2008522408A
Authority
JP
Japan
Prior art keywords
pixel
optical path
interlayer dielectric
layer
image sensor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007543331A
Other languages
English (en)
Other versions
JP2008522408A5 (ja
JP4912315B2 (ja
Inventor
アドキッソン、ジェームズ、ダブリュー
ガンビーノ、ジェフリー、ピー
ジャッフェ、マーク、ディー
ライディー、ロバート、ケー
スタンパー、アンソニー、ケー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008522408A publication Critical patent/JP2008522408A/ja
Publication of JP2008522408A5 publication Critical patent/JP2008522408A5/ja
Application granted granted Critical
Publication of JP4912315B2 publication Critical patent/JP4912315B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 Cu配線を実装するCMOS光学イメージング・アレイにおいてレンズの下にある誘電体層を最適化することによって、光学イメージ・センサの感度を向上させるための構造体及び方法を提供する。
【解決手段】 厚さの均一性が改善された薄い層間誘電体スタック(130a−130c)の組み込みを可能にして、増大された光感受性を示すピクセル・アレイをもたらす銅(Cu)メタライゼーション・レベル(M1、M2)を、センサが含む、CMOSイメージ・センサ・アレイ(100)及び製造方法である。センサ・アレイにおいて、各々のCuメタライゼーション・レベルは、各々のアレイ・ピクセル間の位置に形成されたCu金属ワイヤ構造体(135a、135b)を含み、バリア材料層(132a、132b)が、ピクセル光路を横断する各Cu金属ワイヤ構造体の上に形成される。単一のマスク又は自己整合マスク法を実施することによって、単一のエッチングが行われ、光路を横断する層間誘電体及びバリア層を完全に除去する。次に、エッチングされた開口部(51)が誘電体材料(150)で再充填される。再充填誘電体を付着する前に、エッチングされた開口部の側壁に沿って、反射性材料又は吸収性材料(140)のいずれかの層が形成され、光を下にあるフォトダイオード(18)に反射させることによって、又は光反射をなくすことによって、ピクセルの感度を改善する。
【選択図】 図14

Description

本発明は、一般に、半導体ピクセル・イメージャ・アレイの製造に関し、より具体的には、レンズの下にある誘電体層を最適化することによって光学イメージ・センサの感度を増大するための、新規な半導体ピクセル・イメージャ構造体及び新規な製造方法に関する。
CMOSイメージ・センサが、デジタル・カメラ、携帯電話、PDA(個人情報端末((personal digital assistant))、パーソナル・コンピュータ等のような画像のピックアップを必要とする用途のための従来のCCDセンサに取って代わりつつある。有利なことに、CMOSイメージ・センサは、低コストの、フォトダイオード等のような半導体デバイスのための現在のCMOS製造方法を利用することによって製造される。さらに、単一の電源によってCMOSイメージ・センサを動作させることができるので、その電力消費をCCDセンサのものより低く抑えることができ、さらに、CMOS論理回路及び同様の論理処理装置がセンサ・チップ内に容易に統合され、よって、CMOSイメージ・センサを小型化することが可能である。
特許文献は、CMOSイメージ・センサ・アレイ及びそれらの製造の側面を説明する参考文献を十分備えている。特許文献1、特許文献2及び特許文献3は、発行された特許文献4、特許文献5及び特許文献6と同様に、当技術分野のCMOSイメージ・アレイ技術の一般的な状況を記載している。しかしながら、記載された当技術分野のデバイスのこれらの状況のいずれも、ピクセル設計において銅のメタライゼーション層を用いるものではない。つまり、Al金属の増大した抵抗率のためにより厚い誘電体スタックを必要とする現在のCMOSイメージ・センサにおいては、現在のところ、AlCu金属レベルが製造されている。より厚い誘電体は、より厚い層間誘電体層を必要とし、光変換要素(例えば、フォトダイオード)に達する光の強度が減少されることを意味する。したがって、CMOSイメージャ・ピクセルの感度が損なわれる。
半導体業界は、0.18μmノードのCMOSイメージ・センサ技術のためにAlCuにとどまると考えられるので、サブトラクティブ・エッチングによるAlCu配線に必要とされる誘電体CMPステップが排除され、そのため、より多くの光がフォトダイオードに達するにつれてピクセル・アレイの感度が増大する、厚さのばらつきがより少ない薄い層間誘電体スタックを必要とするメタライゼーション(例えば、M1、M2)のためのダマシン銅(Cu)金属ラインを有するCMOSイメージ・センサを提供することが、非常に望ましい。しかしながら、銅が酸化及び汚れに弱いため、Cu金属上にパッシベーション・レベルが必要とされるので、銅ワイヤの上に、SiN、SiC、SiCN又は同様のパッシベーション層を必要とする。すなわち、RIE停止及びCu拡散バリアのようなダマシン銅配線のために用いられるSiN、SiC、SiCN又は同様の層は、屈折率の不一致の問題のために、光学イメージ・センサと両立しない。
米国特許公開番号第2003/0038293号明細書 米国特許公開番号第2002/0033492号明細書 米国特許公開第2001/0010952号明細書 米国特許第6,635,912号明細書 米国特許第6,611,013号明細書 米国特許第6,362,498号明細書 米国特許出願連続番号第10/905,277号明細書
したがって、屈折率の不一致の問題を解決し、同時に、レンズの下にある誘電体層を最適化することによって光学イメージ・センサの感度を随意的に向上させる、メタライゼーション・レベルのための銅(Cu)金属ラインを、センサが含む、CMOSイメージ・センサ及び製造方法を提供することが、非常に望ましい。
本発明は、Cu配線を実装するCMOS光学イメージング・アレイにおいてレンズの下にある誘電体層を最適化することによって、光学イメージ・センサの感度を向上させるための構造体及び方法に対するものである。
イメージ・フィルタリング・コーティング及びレンズの下にあるCu拡散バリア誘電体材料(例えば、窒化物)の大部分又は全てを排除する新規な半導体光学イメージャ構造体の多数の実施形態がある。このことは、パターン形成し、シリコン基板までエッチングし、イメージ・センサの上の窒化物層を所定の位置に残すか、又はこれを除去することによって達成される。代替的な実施形態は、開口部の側壁に沿った誘電体又は導体スペーサ、及び/又は開口部の周りの金属ガードリング構造体を付加し、可動イオンの汚染問題を排除し、潜在的に光を側壁から検知器に反射させるものである。
したがって、イメージ・センサが、銅(Cu)メタライゼーション・レベルを含み、厚さのばらつきが減少された薄い層間誘電体スタックの組み込みを可能にし、増大した光感受性を示すピクセル・アレイをもたらす、CMOSセンサ・アレイ及び製造方法が提供される。CMOSイメージ・センサは、最初に、センサ・アレイ内の各ピクセルの光路を横断する各層間Cuメタライゼーションの上に薄い(例えば、1nm−100nmの)誘電体バリア層(例えば、PECVD又はHDPCVD SiN、SiC、SiCN等)を含むように製造される。次に、単一のマスク又は自己整合された方法を実施することによって、エッチングが行われ、アレイ内の各ピクセルについて光路の位置でバリア層金属を完全に除去し、次に、再充填誘電体を与え、エッチングされた開口部を充填する。代替的な実施形態においては、再充填誘電体を付着する前に、エッチングされた開口部の側壁に沿って反射性材料又は吸収性材料のいずれかの層が形成され、下にあるフォトダイオードに光を反射させることによって、又は光の反射をなくすることによって、ピクセルの感度を改善する。
本発明の一態様によると、ピクセルのアレイを含むイメージ・センサが提供され、各々のピクセルは、
光を受光するためのピクセル・マイクロレンズを含む上部層と、
ピクセル・マイクロレンズに入射する光を受光するための内部に形成された光感受性要素を含む半導体基板と、
上部層と半導体基板内に形成された光感受性要素との間の光路内に設けられた誘電体材料構造体と、
各々のメタライゼーション・レベルがピクセルの誘電体材料構造体に隣接して形成されたCu金属ワイヤ構造体を含む、2つ又はそれ以上のCuメタライゼーション・レベルが間に形成された層間誘電体材料層のスタックであって、各々のCu金属ワイヤ構造体は、上に形成されたバリア材料層を含んでいる、層間誘電体材料層のスタックと、
を含み、
誘電体材料構造体は、ピクセル内に開口部をエッチングして光路を定めた後に、誘電体再充填処理の一部として形成される。
本発明の更に別の態様によると、各々のピクセルが光を受光するためのピクセル・マイクロレンズを有する上部層を含む、イメージ・センサ・ピクセル・アレイを製造する方法であって、
a.各々のアレイ・ピクセルについて半導体基板内に光感受性要素を形成するステップであって、光感受性要素は、それぞれのピクセル・マイクロレンズに入射する光を受光するように適合された、ステップと、
b.半導体基板の上に層間誘電体層体のスタックを形成し、隣接する層間誘電体層のスタックを形成する間に、アレイ内の各ピクセル間の位置に形成されたCu金属ワイヤ構造体を含むCuメタライゼーション・レベルを形成し、Cu金属ワイヤ構造体の各々の上部にバリア材料層を形成するステップと、
c.光感受性要素の上にある層間誘電体のスタックの部分を除去し、ピクセルの光路を定めるステップと、
d.光路内のスタックの除去された部分を、上部層と光感受性要素との間の誘電体材料で再充填するステップと、
を含む方法が提供される。
有利なことに、イメージ・センサ・ピクセル・アレイを製造する方法は、単一のエッチングを行い、誘電体スタックと、各々のピクセルの光路を横断するバリア層金属の一部又は全てを完全に除去することを可能にする単一のマスク又は自己整合マスク法を実施するステップを含む。
代替的な実施形態において、再充填誘電体を付着する前に、エッチングされた開口部の側壁に沿って反射性材料又は吸収性材料のいずれかの層を形成し、フォトダイオードに光を反射させることによって、又は光の反射をなくすことによって、ピクセルの感度を改善することができる。
本発明の目的、特徴及び利点は、添付の図面と共に以下の詳細な説明に鑑みて、当業者には明らかになるであろう。
図1は、CMOSイメージ・センサ・ピクセル・アレイ10を示す。図示されるように、アレイは、カラー・フィルタ・アレイ15の上に形成され、マイクロレンズ・アレイの形成を可能にする、例えば、スピン・オン・ポリマーなどの滑らかな平坦化層17上に配置された、各々が半球形状を有する複数のマイクロレンズ12を含む。カラー・フィルタ・アレイ15は、個々の赤色フィルタ要素、緑色フィルタ要素及び青色フィルタ要素25(原色フィルタ)、又は交互に、シアン・フィルタ要素、マゼンタ・フィルタ要素及びイエロー・フィルタ要素(補色フィルタ)を含む。マイクロレンズ・アレイ12の各マイクロレンズ22は、対応するカラー・フィルタ要素25と位置合わせされ、ピクセル20の上部受光部分を含む。ピクセル20は、メタライゼーション相互接続レベルM1、M2、アルミニウム(Al)ワイヤ層35a、35bを組み込む1つ又は複数の層間誘電体層30a−30cを含むスタックを有している半導体基板14の上に製造されたセル部分を含む。例えば、層間誘電体材料は、ポリマー又はSiOを含むことができる。Alメタライゼーション相互接続層35a、35bは、パッシベーションを必要としないので、それぞれのバリア層は示されない。図1にさらに示されるように、Alメタライゼーション35a、35bを有する各々のピクセル・セル20は、各ピクセル20間でM1及びM2メタライゼーションへのワイヤボンディングを可能にする最終アルミニウム金属レベル36をさらに含み、最終パッシベーション層28が、ワイヤボンディング・レベル36の上に形成される。この最終パッシベーション層28は、SiN、SiO、SiC、SiCN、SiON、又はこれらの組み合わせを含むことができる。詳細には示されないが、各々のピクセル20は、光電変換を行うフォトダイオード18のような光感受性要素を含む光電変換素子と、電荷の増幅及びスイッチングを行うCMOSトランジスタ(図示せず)とを含む。ピクセル20の各々は、各々のピクセルが受光した光の強度に対応する信号電荷を生成し、半導体基板14上に形成された光電変換(フォトダイオード)要素18によって信号電流に変換される。例えば、SiN層38のような窒化物などの更なるバリア層又はキャッピング層が、Si基板14の表面に形成されたシリサイド化されていない拡散領域の上に形成される。
Al金属の増大した抵抗率のために、CMOSイメージ・センサにおいてアルミニウム金属レベル35a、35bを使用するには、より厚い誘電体スタックが必要である。より厚い誘電体は、より厚い誘電体層30a−30cを必要とし、光変換要素(フォトダイオード)に達する光の強度が低減されること、すなわち、ピクセル20の感度が損なわれることを意味する。
本発明は、サブトラクティブ・エッチングによるAlCu配線に必要とされる誘電体CMP又は他の平坦化ステップを排除することにより、厚さのばらつきがあまりなく、そのため、より多くの光がフォトダイオードに達するにつれてピクセル・アレイの感度が増大する、薄い層間誘電体スタックを必要とする、M1、M2のためのダマシン銅(Cu)金属ラインを有するCMOSイメージ・センサ技術に向けられる。銅が酸化及び汚れに弱いため、及び、周囲の誘電体への銅拡散をブロックするため、Cu金属上にパッシベーション・レベルが必要とされるが、銅ワイヤの上に、SiN、SiC、SiCN、又は同様のパッシベーション層が必要とされる。しかしながら、RIE停止及びCu拡散バリアのようなダマシン銅配線のために用いられるSiN、SiC、SiCN、又は同様の層は、屈折率の不一致の問題のために、光学イメージ・センサと両立せず、よって、本発明によるイメージ・センサ内の光路から排除される。
図2は、「A CMOS IMAGER WITH CU WIRING AND METHOD OF ELIMINATING HIGH REFLECTIVITY INTERFACES THEREFROM」という名称の、2004年12月23日に出願された、同一出願人による同時係属中の特許文献7に説明される、複数のCu拡散バリア層を含む銅ワイヤを有する実施形態と類似したバック・エンド・オブ・ライン(back end of line、BEOL)CMOSイメージ・センサ・アレイ100を、断面図により示す。ピクセル20の上部受光部分(マイクロレンズ22及びカラー・フィルタ25)は、図1に示される従来技術のものと同じであるが、本発明は、基板14上に形成されたより薄いスタックの層間誘電体層130a−130cの形成を可能にするCuメタライゼーション相互接続部M1、M2の形成を含む。基板14は、例えば、Si、SiGe、SiC、SiGeC、GaAs、InP、InAs及び他のIII−V族化合物半導体、II−VI族、II−V族等の化合物半導体、又はシリコン・オン・インシュレータ(silicon−on−insulators、SOI)、SiCオン・インシュレータ(SiC−on−insulators、SiCOI)、又はシリコンゲルマニウム・オン・インシュレータ(silicon germanium−on−insulators、SGOI)のような層状半導体、或いは石英又はアルミナのような絶縁基板を含む、バルク半導体とすることができる。層間誘電体材料は、スパッタリング、スピンオン、又はPECVDのような多数の公知技術のうちのいずれかによって付着させることができ、かつ、従来のスピンオン有機誘電体、スピンオン無機誘電体、又は約4.2又はそれより小さい誘電定数を有するこれらの組み合わせを含むことができる、有機又は無機層間誘電体(ILD)材料を含み得ることが好ましい。本発明に用い得る適切な有機誘電体は、C、O、F及び/又はHを含む誘電体を含む。本発明に用い得る幾つかのタイプの有機誘電体の例は、これらに限られるものではないが、例えば、商標SILK(登録商標)の下でDOW Chemical Company社によって販売される樹脂、商標Flare(登録商標)の下でHoneywell社によって販売される樹脂などの芳香族熱硬化性ポリマー樹脂、及び他の供給業者からの類似した樹脂、並びに他の同様の有機誘電体を含む。層間誘電体層として用いられるこれらの有機誘電体は、多孔質であっても多孔質でなくてもよいが、k値の減少のために、多孔質有機誘電体層が好ましい。層間誘電体として用い得る適切な無機誘電体は、一般に、例えば、SiO、FSG(フルオロケイ酸塩ガラス)、SiCOH、炭素ドープ酸化物(CDO)、シリコン・オキシカーバイド、プラズマ強化化学気相成長(CVD)技術によって付着された有機ケイ酸塩ガラス(OSG)など、Si、O及びH、随意的にCを含む。用い得る幾つかのタイプの無機誘電体の説明に役立つ実例は、これらに限られるものではないが、シルセスキオキサンHOSP(Honeywell社によって販売される)、メチルシルセスキオキサン(MSQ)、水素シルセスキオキサン(HSQ)、MSQ−HSQコポリマー、シリコン源としてテトラエチルオルソシリケート又はSiHを用い、かつ、酸化剤としてO、NO、NO等を用いて付着されたSiO、有機シラン及び他の何らかのSi含有材料を含む。説明のために、無機誘電体材料がSiOであると仮定する。
図2を参照すると、フロント・エンド・オブ・ライン(front−end−of−line、FEOL)の前第1配線レベル(M1)構造体すなわちMOSトランジスタ、イメージ・センサ等と、FEOL構造体へのタングステン又は他の金属導体コンタクトとが、従来技術において周知のように製造される。このM1層を形成する方法は、最初に、基板キャッピング層38の上に、例えば、約2kÅから20kÅまでの間の範囲の厚さまで、好ましくは4kÅから5kÅまでの間の範囲の厚さまで、SiO又は他の誘電体層130cを付着するステップと、周知のリソグラフィ及びRIE技術を用いて、SiO層130c内にトレンチをパターン形成するステップと、形成されたトレンチを、例えば、Ta、TaN、TiN、TiSiN、W、WCN、Ruなどの1つ又は複数の高融点金属のような金属ライナで裏打ちするステップとを含む。次に、裏打ちされたトレンチが、銅材料で充填され、CuのM1層135bを形成し、次いで、CuのM1層135bは、周知のCMP技術を用いて研磨される。その後、SiNのようなバリア層又はCu拡散層132bが、例えば、約2nmから200nmまでの間の範囲の厚さまで、好ましくは約20nmから70nmまでの間の範囲の厚さまで、CuのM1メタライゼーションの上に付着される。この実施形態において、Cu相互接続部の上にある窒化物層132bの厚さは、反射率を最小にするように減少される。これらに限られるものではないが、SiON、SiC、SiCN、SiCON、SiCO材料等を含む他のバリア層材料を用い得ることが理解される。このプロセスは、後続のデュアル・ダマシンの第2Cuワイヤ・レベルM2及び第1ビア・レベルのV1メタライゼーション層について繰り返され、これにより、例えば、SiOなどの薄いM2/V1誘電体層130bが、約2kÅから20kÅまでの間の範囲の厚さまで、好ましくは1ミクロンまで、Cu拡散層132bの上に付着され、周知のリソグラフィ及びRIE技術を用いて、SiO層130b内にトレンチ及びビアをパターン形成し、形成されたトレンチ及びビアを、高融点金属のような金属ライナで裏打ちし、裏打ちされたトレンチを銅材料で充填してCuのM2層135aを形成し、次に、CuのM2層135aが周知のCMP技術を用いて研磨されることによって、M2/V1メタライゼーション層が形成される。その後、SiNのようなバリア層又はCu拡散層132aが、例えば、約20Åから2kÅまでの間の範囲の厚さまで、CuのM2層135aの上に付着される。次のステップは、拡散層132aの上に層間誘電体層130aを形成するステップと、ダマシン・タングステン又は他の導体ビア、及び周知の技術による最終サブトラクティブ・エッチングによるAlCuメタライゼーション36を形成するステップとを含む。代替的に、当技術分野において周知なように、ダマシン・タングステン・ビアの代わりにテーパ状ビアを用いることもできる。図2に示される実施形態においては、反射率を最小にするために、M1及びM2拡散バリア両方の全体の厚さは、約20nm又はそれより小さくすることが好ましい。
図2に示されるように、例えば、銅のような金属などの導電性材料を含む、例えばV1などの金属ビアによってメタライゼーション・レベルM2に結合された層間メタライゼーション層M1と、同様にメタライゼーション・レベルM3に結合された層間メタライゼーション層M2とを含む、相互接続された金属ライン及びビアのスタックが設けられる。同様に、図2に示されるように、層間メタライゼーション層M1は、例えば、タングステンのような金属からなる、ダマシン・コンタクト、C1、又はプラグを介して、基板14上に形成された活性デバイス領域19(又は、他の拡散領域)に結合される。さらに図2に示される実施形態を参照すると、薄いSiN層132a、132bの上に、光反射の減少を助けるように同等な厚さ(例えば、約20Åから2kÅまで)まで、SiONのような、SiNのもの(1.98)とSiOのもの(1.46)の間の屈折率を有する付加的な材料層を形成することができる。
図2に関して説明される実施形態によると、光路内にCuメタライゼーション及び対応する薄い層間誘電体層130a−130c、並びに極薄の拡散バリア層132a、132bを設けることにより、光反射率が最小になり、これにより、より多くの光量13が、ピクセル20の光路を通って流れ、下にあるフォトダイオード要素18に達することが可能になる。さらに、図2に示される実施形態において、活性シリコン領域19及び例えばフォトダイオード18などの光感受性要素に隣接して形成された、付加的な浅いトレンチ分離(STI)誘電体領域138が示される。
本発明の好ましい実施形態によると、活性デバイス(トランジスタ)19、基板キャッピング層38、層間誘電体層130a、層間メタライゼーション135b(M1)及び対応するバリア層間誘電体132b、M2層間誘電体130b、メタライゼーションM2の135a及び対応するバリア層間誘電体132a、並びに最終誘電体層130cを含む、図6のCMOSイメージャが、最終アルミニウム金属レベル36からなる最後の金属レベルM3まで製造される。2つのレベルがCu内にあり、1つのレベルがAlCu内にある3つのレベルの配線が示されるが、如何なる数の配線レベルも用い得ることに留意されたい。続いて、フォトリソグラフィ・マスクがパターン形成され、次の単一ステップのエッチング処理における各ピクセルに対応する領域を開け、湿式又は乾式エッチングを行って孔51を形成し、ピクセルの光路から、層間誘電体130aの一部、M2層の窒化物バリア132aを有効に除去し、同じエッチング処理ステップにおいて、M2誘電体層130b及びM1バリア132b、並びにM1誘電体層130cを除去する。RIEエッチングが用いられる場合には、当技術分野において周知のように、フッ素源として用いられるペルフルオロカーボン(PFC)及び/又はヒドロフルオロカーボン(HFC)、並びに希釈ガスとして用いられる酸素、水素、窒素、アルゴン等と共に、標準的な平行板、プラズマ分離形プラズマ、又は高密度プラズマ・チャンバを用いることができる。湿式エッチングが用いられる場合には、希フッ化水素酸を用いて、SiO又は類似した酸化物膜をエッチングし、リン酸を用いて、当技術分野において周知のようなSiN又は類似した膜等をエッチングすることができる。開口部51がパターン形成され、窒化物バリア38までエッチングされることが好ましいが、窒化物バリア38を除去し、次に標準的な洗浄ステップを行うことによって、開口部51を基板14の表面までエッチングすることができることが理解される。ピクセル内に形成された開口部51は、約1μmから3μmまでの深さのオーダー及び約1μmから5μmまでの幅のオーダーとすることができる。エッチングされた開口部51は、テーパ状(すなわち、下部より広い上部開口部を有する)であるものとして図6に示されるが、開口部51を逆テーパ状(上部開口部より下部が広い)に形成することができ、或いはエッチングがほぼ平行な側壁を形成してもよい。
図6に示されるようなこの単一のエッチング後、例えば、スピンオン誘電体(SiO)、スピンオン・ガラス等のようなスピンオン再充填処理によって、層間誘電体(例えば、酸化物)材料150が、元のエッチングされた開口部51内に付着され、平坦化ステップが行われる。再充填誘電体材料は、代替的に、ポリマー誘電体(光感受性ポリイミド、Dow Chemical社のSiLK(登録商標)等)を含むこと、及び、CVD又は(プラズマ強化)PE−CVD処理のような優れたギャップ充填能力を有する層間誘電体(酸化物、SiO、又は炭素ベース酸化物等)を付着するための他の技術を用い得ることが理解される。99%の適合性を達成するために、大気圧CVD(APCVD)又は減圧CVD(SACVD)付着技術を用いることが好ましく、400℃の付着温度によるSACVDが用いられる場合には、付着後アニールを必要としないので、ドープされていないSiOを使用する。付着された誘電体の厚さは、付着方法及び用いられる次の処理方法によって決まることを理解すべきである。例えば、イメージ・センサ・フィルタリング・コーティングの凹部が設計されない場合には、トレンチの深さ又はトレンチ幅の半分のより小さい方よりわずかに大きいSACVDのSiOの厚さが付着され、代替的に、イメージ・センサ・フィルタリング・コーティングの随意的な自己整合された凹部が設計される場合には、トレンチ深さより浅い厚さが付着される。スピンオン誘電体が用いられる場合には、スピンオン・パラメータ(ショット・サイズ、スピン速度、次のベーク温度)を最適化し、ウェハ上のどこかに最小の付着を有する開口部150の充填を達成することができる。ウェハ全体にわたって再生可能なギャップ充填を提供する、用いられる幾つかのタイプの無機誘電体の他の例は、これらに限られるものではないが、シルセスキオキサンHOSP、メチルシルセスキオキサン(MSQ(登録商標))、水素シルセスキオキサン(HSQ(登録商標))、MSQ−HSQコポリマー、及び酸化剤として酸素又はNOを用いるか、或いは、シリコン源としてテトラエチルオルソシリケート(TEOS)又はシランを用いて付着されたSACVD又はPECVDのSiOを含む。この処理ステップに対する重要な側面は、良好な充填の均一性を達成するために、エッチングされたピクセル開口部51の各々が、全て同じアスペクト比を有することである。ギャップ再充填処理後、随意的に、エッチバック・プロセスに続いてリバース開口部マスク(反対の性質のレジスト)を用い得る平坦化ステップを行うことができ、図7乃至図12に関してここで説明されるように、任意のCMPステップを行うことができる。
特に図7に示されるように、ウェハが製造され、イメージ・センサが形成されるトレンチ149がエッチングされる。次に、図8に示される次のステップは、誘電体材料150(例えば、SiO)をトレンチが過剰に充填されるような厚さまで付着することを含む。次に、図9に示されるように、イメージ・センサ全体にわたってトレンチをパターン形成するために用いられたが、反対の性質のフォトレジストを有する、同じリソグラフィ・マスクを用いて(随意的に、類似しているが異なるリソグラフィ・マスクを用いて)、レジスト179を露光し、現像する。次に、図10に示されるように、誘電体が、誘電体充填150の表面より上に所定の深さまでエッチングされる。次に、図11に示されるように、フォトレジスト179が除去され、最終的に、図12に示されるように、CMP平坦化ステップが行われ、図12に示される構造体がもたらされる。代替的に、開口部内の誘電体のCMPエロージョンを防ぐために(すなわち、カラー・フィルタ25の下の厚さの不均一を防ぐために)、当技術分野において周知の第2の誘電体(例えば、SiOが充填のために用いられる場合はSiN、又はポリマー再充填が用いられる場合にはSiN)の随意的な犠牲付着を用いて、CMP処理ステップが用いられる。しかしながら、カラー・フィルタ材料に対して自己整合法を実施し、次に、開口部内の誘電体のCMPエロージョンを防ぐ(カラー・フィルタの厚さの不均一性を防ぐ)ために、第2の誘電体(例えば、SiOが充填のために用いられる場合はSiN、又はポリマー再充填が用いられる場合にはSiN)の随意的な犠牲付着を用いて、エッチバックに続いてリバース開口部マスク、又はCMPステップのいずれかを行うことができる。代替的な実施形態においては、「スキージ」処理を実施し、流動性を有する材料(ポリイミドのような)で孔を充填することができる。図6に示されないが、金属コンタクト/配線ガードリングを付加的に製造し、レンズ及びカラー・フィルタを通して入ることができる、活性ピクセル領域内への可動イオンの拡散(ナトリウム又はカリウムのような周囲のイオン)を防ぐことができる。こうした金属ガードリングは、可動イオン・バリアとして働き、光路と活性シリコン領域19との間の再充填誘電体150を囲む金属及びビアを含む材料又は材料のスタックから形成される。
別の実施形態において、パッド内に開けられた最後の金属レベル36に対して十分に高い選択性がある場合には、終端又は最終ビア・マスクを用いて、このエッチングを行うことができる。図3は、最終配線レベル及びパッシベーション誘電体29により処理されるイメージ・センサを示す。次に、図4に示されるような1つのマスク及び誘電体エッチング・ステップを用いて、ワイヤボンディング・パッド又ははんだバンプ・パッド36を開けるための終端ビア49、及びイメージ・センサのカラー・フィルタのための開口部59がパターン形成される。次に、図5に示されるように、チップをダイシングし、チップを光学レンズと共にパッケージングし、チップをパッケージにワイヤボンディングすること69を含む、ウェハ処理及びパッケージングが行われる。このように、単一のステップのエッチング処理において、メタライゼーション・バリア層132a、132bの両方を除去するために、1つのマスクだけが必要とされる。
図13に示される代替的な実施形態において、各々のピクセルの光路内に孔51を開けた後、かつ、孔を層間誘電体(例えば、酸化物)で充填する前、エッチングされた孔の側壁及び下部に従う薄いライナ140が付着される。続いて、当技術分野において周知のように、スペーサ・エッチング処理が行われ、ライナを側壁上に残すが、これを下部142及び上面から除去する。好ましくは、例えばSiN、SiC、SiCN等の薄い窒化物ライナ材料又は光反射特性を有する金属を付着させ、PE−CVDのような周知の付着技術を用いて、エッチングされた開口部の下部及び側壁を裏打ちすることができる。例えば、光反射特性を有する他のライナ材料は、これらに限られるものではないが、SiC、例えばAl、TiN、タングステン、Ru、ポリSi、ポリGe等の特定の金属を含む。この薄いライナ140は、50Åから2kÅまでの範囲の厚さまで付着することができ、チップの活性領域への可動イオンの進入を防ぐように有効に働き、さらに、入射光を反射するための反射面として働くので、レンズ22に斜めに入射する如何なる光もフォトダイオード18に達するようになる。次のステップにおいて、薄いライナ140を付着させた後、上記に説明されたように、例えば、スピンオンSiO再充填処理又はCVD処理等を用いて、再び裏打ちされた孔の中に層間誘電体材料が付着され、最終平坦化ステップが、随意的に行われる。ブラッグの法則によって予測されるように、再充填された誘電体150が1.46の屈折率n(k〜0)を有するSiOであり、側壁ライナ140が1.98の屈折率(k〜0)を有するSiNである場合には、SiNに入射する光の一定割合が反射される。代替的に、スペーサ140のために、シリコン又はタンタルのような高屈折率の導体又は半導体を用いることによって、反射の程度を増大させることができる。
図14は、開口部51がパターン形成され、基板窒化物バリア38を除去するように、エッチングが基板14の表面まで行われた、代替的な実施形態を示す。ピクセルの光路内に孔51を開けた後、かつ、孔を層間誘電体(例えば、酸化物)150で充填する前、PE−CVDのようなここに説明される周知の付着技術を用いて、エッチングされた孔の側壁及び下部に従う薄い窒化物ライナ140が付着される。その後、スペーサ・エッチングによって、すなわちエッチングされた開口部の側壁に沿ってSiNスペーサを形成するために用いられる何らかの方向性エッチングによって、孔の下部に従う反射性ライナ材料140が除去される。例えば、SiNスペーサを形成するために、CFのようなフッ素源としてPFC又はHFCを用いるFベースの方向性エッチングが用いられる。次のステップにおいて、薄い窒化物を付着させ、エッチングしてスペーサ140を形成した後、例えば、スピンオンSiO再充填処理又は本明細書に記載されるような他の技術を用いて、層間誘電体材料150を再び裏打ちされた孔内に付着させ、最終平坦化ステップを随意的に行う。
図15は、開口部51がパターン形成され、基板窒化物バリア38を除去するように、エッチングが基板14の表面まで行われた、(窒化物スペーサ材料140を含む)図14に示される本発明の代替的な実施形態を示す。図15に示されるように、レンズ及びカラー・フィルタを通して入ることができる、活性ピクセル領域内への可動イオンの進入を防ぐために、金属コンタクト/配線ガードリング160が付加的に作られた。この金属ガードリング160は、可動イオン・バリアとして働き、タングステン160と、光路と活性シリコン領域19との間で再充填誘電体150を囲む高融点金属が裏打ちされた銅135bとを含む材料又は材料のスタックのウェハ上にある通常のワイヤ及びビアと一致するように形成される。一般に、ガードリングは、Cu、AlCu、W、Ta、TaN、TiN、WN、Ag、Au等を含む、オンチップ配線のために用いられるどのような導体からも作られる。ガードリング160は、基板14内に接続され、接地される(ウェハ内の最も低い電位に接続される)ので、正の可動イオンは、ガードリングに引き付けられ、チップの活性領域19内に入らない。図15に示されるように、金属コンタクト/配線ガードリング160は、例えば、M1メタライゼーション窒化物バリア132bなどの最も近い窒化物レベルまで垂直方向に作られるが、これを最後の金属レベルまで作ることもできる。
図16は、ピクセル内に開口部51をパターン形成し、エッチングした後、カラー・フィルタ25’がトレンチ開口部の下部に形成された、本発明の代替的な実施形態を示す。この実施形態においては、再充填誘電体材料が付加されてもされなくてもよい。さらに、トレンチの全長を延びる、すなわちカラー・フィルタ材料の下部まで延びるか又はカラー・フィルタ材料の上部まで延びる、上述のような随意的な反射性側壁ライナが形成される。図17は、ピクセル内に開口部51をパターン形成し、エッチングした後、エッチングされた開口部内に再充填誘電体材料150が部分的に充填され、上記のトレンチ開口部の上部にカラー・フィルタ25’が形成された、本発明の代替的な実施形態を示す。図16に示される実施形態においては、側壁上に随意的な吸収性ライナ又は反射性ライナ141が形成され、トレンチの下部まで延びている。図18に示される代替的な実施形態においては、エッチングされた開口部51内に再充填誘電体材料150が充填された後、等方性エッチバック処理が行われ、再充填誘電体の浅い第2の開口部52を形成し、そこで、引き続き、非自己整合処理において、カラー・フィルタ25”が形成される。この実施形態は、自己整合されたカラー・フィルタなしで、本明細書に記載される他の孔のいずれとも組み合わせ得ることを理解すべきである。
図16乃至図18に関して本明細書に説明される実施形態を参照すると、シリコン又はタンタルのような、光を通さない(吸収性)側壁ライナ材料141が用いられる(すなわち、k>0)場合には、多層スタックにおいて2つ又はそれ以上の層を随意的に互いに組み合わせ、特定のk(誘電定数)、n(屈折率)、又は光学的領域の全域にわたって波長(W1)にほぼ依存しないスタックについてのn値及びk値を達成することができる。可能な吸収性側壁ライナ材料は、これらに限られるものではないが、Ta、TaN、Si、Ti、Cu、AlCu、TiN等を含む。下記の表1(a)及び表1(b)は、示されるライナ材料についての、種々の波長におけるスタックのn値及びk値を示す。
Figure 2008522408
例えば、等しい厚さの多数の層(2、4、6、8等)において、Ta及びSiが互いに結合された場合には、結合されたn値及びk値の各々は、それぞれ10%及び15%のばらつきの範囲を有する。このn及びkのばらつきの減少は、400nmから700nmまでの波長域にわたって均一な光反射率をもたらし、このばらつきは、いずれの単一の層よりずっと少ないものである。
本発明の好ましい実施形態であると考えられるものが示され、説明されたが、勿論、本発明の範囲から逸脱することなく、形態又は詳細における種々の修正及び変更を容易になし得ることが理解されるであろう。それゆえに、本発明は、説明され例示された正確な形態に制限されるものではなく、添付の特許請求の範囲内にあることが意図される。
CMOSイメージ・センサ・ピクセル・アレイ10を示す。 ピクセルの光路を横断する多数のCu拡散バリア層を含む銅層間配線を有するCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。 最終配線レベル及びパッシベーション誘電体を有する構造体をもたらすCMOSイメージ・センサ処理ステップを断面図により示す。 終端ビア/ワイヤボンディング・パッド又ははんだバンプ・パッドのために続いてエッチングされた開口部、及びイメージ・センサ・カラー・フィルタのための開口部を有する構造体をもたらすCMOSイメージ・センサ処理ステップを断面図により示す。 随意的なレンズ及びワイヤボンディングを有するチップを処理し、パッケージングするウェハを有する構造体をもたらすCMOSイメージ・センサ処理ステップを断面図により示す。 エッチングされたピクセル開口部の側壁に、反射性ライナ材料なしに形成された、本発明のCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 リバース開口部マスク(反対の性質のレジスト)を用いてイメージ・センサを平坦化し、続いてエッチバック処理及び随意的なCMPステップを行うための、CMOSイメージ・センサ処理ステップを、断面図により示す。 エッチングされたピクセル開口部の側壁に反射性ライナ材料を用いて形成され、かつ、基板の上にバリア材料層を含む、本発明のCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。 エッチングされたピクセル開口部の側壁に反射性ライナ材料を用いて形成され、かつ、基板の上にバリア材料層を有していない、本発明のCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。 再充填ピクセル誘電体を囲む付加的なコンタクト/金属ガードリング構造体を有するように形成された、図14のCMOSイメージ・センサ・ピクセル・アレイの例示的な実施形態を、断面図により示す。 光路を定めるエッチングされたピクセル開口部の下において基板の上に形成されたカラー・フィルタ要素を有するCMOSイメージ・センサ・ピクセル・アレイの例示的な実施形態を、断面図により示す。 光路を定めるエッチングされたピクセル開口部を部分的に充填する、再充填誘電体構造体の上に形成されたカラー・フィルタ要素を含むCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。 光路を定めるエッチングされたピクセル開口部を充填する再充填誘電体構造体のエッチバックされた部分に形成されたカラー・フィルタ要素を含むCMOSイメージ・センサ・ピクセル・アレイを、断面図により示す。

Claims (24)

  1. ピクセルのアレイを含むイメージ・センサであって、各々のピクセルが、
    光を受光するためのピクセル・マイクロレンズを含む上部層と、
    前記ピクセル・マイクロレンズに入射する光を受光するための、内部に形成された光感受性要素を含む半導体基板と、
    前記上部層と前記半導体基板内に形成された前記光感受性要素との間の光路内に設けられた誘電体材料構造体と、
    各々のメタライゼーション・レベルが前記ピクセルの前記誘電体材料構造体に隣接して形成されたCu金属ワイヤ構造体を含む、2つ又はそれ以上のCuメタライゼーション・レベルが間に形成された層間誘電体材料層のスタックであって、各々の前記Cu金属ワイヤ構造体は、上に形成されたバリア材料層を含んでいる、層間誘電体材料層のスタックと
    を備え、
    前記誘電体材料構造体は、前記ピクセル内に開口部をエッチングして前記光路を定めた後に、誘電体再充填処理の一部として形成される、イメージ・センサ。
  2. 前記層間誘電体層の前記スタックの各々は、2kÅから20kÅまでの間の範囲の厚さでできている、請求項1に記載のイメージ・センサ・ピクセル。
  3. 前記半導体基板と第1の層間誘電体層との間に形成されたバリア材料層をさらに含む、請求項2に記載のイメージ・センサ・ピクセル。
  4. 前記ピクセル・マイクロレンズの下かつ前記光路内に形成された前記誘電体材料構造体の上の前記上部層内に形成されたカラー・フィルタ要素をさらに備える、請求項1に記載のイメージ・センサ・ピクセル。
  5. 前記光感受性要素の上かつ前記光路内の前記誘電体材料構造体の下の前記半導体基板の上に形成されたカラー・フィルタ要素をさらに備える、請求項1に記載のイメージ・センサ・ピクセル。
  6. 前記誘電体材料構造体は、前記光路を定めるエッチングされたピクセル側壁間の開口部を部分的に充填し、前記センサ・ピクセルは、前記側壁間の前記光路の上部において前記誘電体材料構造体の上に形成されたカラー・フィルタ要素をさらに備える、請求項1に記載のイメージ・センサ・ピクセル。
  7. 前記層間誘電体材料は、前記光路を定めるピクセル側壁間の前記光路を充填し、前記センサ・ピクセルは、前記光路内の前記層間誘電体材料のエッチバックされた部分に形成されたカラー・フィルタ要素をさらに備える、請求項1に記載のイメージ・センサ・ピクセル。
  8. 各々のピクセルは、前記光路を定めるピクセル側壁上に形成された光反射性材料の層をさらに備え、前記光反射性材料層は、前記光感受性要素によって受光される光量の増大を可能にする、請求項1に記載のイメージ・センサ・ピクセル。
  9. 各々のピクセルは、前記光路を定めるピクセル側壁上に形成された光吸収性材料の層を備える、請求項1に記載のイメージ・センサ・ピクセル。
  10. 各々のピクセルは、特定の誘電定数と、光学的領域の全域にわたって波長に依存しない多層スタックについての屈折率値とを達成するように、前記光路を定めるピクセル側壁上に形成された光吸収性材料の多層スタックをさらに備える、請求項1に記載のイメージ・センサ・ピクセル。
  11. 前記光路内に与えられた前記層間誘電体材料を囲む可動イオン・バリア構造体をさらに備え、前記可動イオン・バリア構造体は、前記半導体基板に結合され、かつ、上方に延びている、請求項1に記載のイメージ・センサ・ピクセル。
  12. 各々のピクセルが、光を受光するためのピクセル・マイクロレンズを有する上部層を含む、イメージ・センサ・ピクセル・アレイを製造する方法であって、
    a.各々のアレイ・ピクセルについて半導体基板内に光感受性要素を形成するステップであって、前記光感受性要素が、それぞれのピクセル・マイクロレンズに入射する光を受光するように適合された、前記ステップと、
    b.前記半導体基板の上に層間誘電体層のスタックを形成し、隣接する層間誘電体層の前記スタックを形成する間に、前記アレイ内の各ピクセル間の位置に形成されたCu金属ワイヤ構造体を含むCuメタライゼーション・レベルを形成し、前記Cu金属ワイヤ構造体の各々の上部にバリア材料層を形成するステップと、
    c.前記光感受性要素の上にある前記層間誘電体層のスタックの部分を除去し、ピクセルの光路を定めるステップと、
    d.前記光路内の前記スタックの前記除去された部分を、前記上部層と前記光感受性要素との間の誘電体材料で再充填するステップと、
    を含む方法。
  13. 前記金属相互接続層の前記Cu金属ワイヤ構造体の上部にバリア材料層を形成する前記ステップb)は、前記層間誘電体層のスタックの各々の上にある前記Cu金属ワイヤ構造体の上にバリア材料層をブランケット付着させ、各々のアレイ・ピクセルの光路を横断するようにするステップを含み、前記除去するステップc)は、前記光路を横断する前記バリア材料層の部分を除去するステップをさらに含む、請求項12に記載の方法。
  14. 前記層間誘電体層のスタックの部分を除去する前記ステップc)は、
    各々のピクセルの光路を定める位置に孔を開けるようにパターン形成された前記層間誘電体材料スタックの最後の層の上にマスク構造体を適用するステップと、
    エッチング処理を行い、前記層間誘電体スタックの部分を除去するステップと
    を含む、請求項12に記載の方法。
  15. 前記マスク構造体は、前記層間誘電体材料層のスタックの上にある各々のピクセル間の位置に形成された最後のメタライゼーション層の金属ボンディング構造体を含む自己整合されたマスクである、請求項14に記載の方法。
  16. 前記半導体基板の上に前記層間誘電体層のスタックを形成する前記ステップb)の前に、前記半導体基板の上にバリア材料層を形成するステップを含む、請求項12に記載の方法。
  17. 前記光路内に前記層間誘電体材料を再充填した後、前記ピクセル・マイクロレンズの下の前記光路内の前記上部層の部分を含むカラー・フィルタ要素を形成するステップを含む、請求項12に記載の方法。
  18. 前記誘電体材料で再充填するステップd)の前に、前記光路内の前記光感受性要素の上の前記半導体基板の上にカラー・フィルタ要素を形成するステップを含む、請求項12に記載の方法。
  19. 前記再充填するステップd)は、前記光路を定めるピクセル側壁間の前記光路を部分的に充填するステップを含み、前記方法は、前記側壁間の前記光路の上部において前記層間誘電体材料の上に作られるカラー・フィルタ要素を形成するステップをさらに含む、請求項12に記載の方法。
  20. 前記再充填された層間誘電体材料は、前記光路を定めるピクセル側壁間の前記光路を充填し、前記方法は、
    前記光路内の前記層間誘電体材料の部分をエッチバックするステップと、
    前記エッチバックされた部分内に作られるカラー・フィルタ要素を形成するステップと、
    をさらに含む、請求項12に記載の方法。
  21. 前記層間誘電体材料を再充填するステップd)の前に、前記光路を定める側壁に沿う、光反射性材料の薄いライナを形成するステップを含む、請求項12に記載の方法。
  22. 前記層間誘電体材料を再充填するステップd)の前に、前記光路を定める側壁に沿う、光吸収性材料の薄いライナを形成するステップを含む、請求項12に記載の方法。
  23. 前記層間誘電体材料を再充填するステップd)の前に、特定の誘電定数と、光学的領域の全域にわたって波長に依存しない多層スタックについての屈折率値とを達成するように、前記光路を定めるピクセル側壁上に形成された光吸収性材料の多層スタックを形成するステップを含む、請求項12に記載の方法。
  24. 前記光路内に与えられた前記層間誘電体材料を囲む可動イオン・バリア構造体を形成するステップをさらに含み、前記可動イオン・バリア構造体は、前記半導体基板に結合されかつ上方に延びている、請求項12に記載の方法。
JP2007543331A 2004-11-30 2005-11-18 イメージ・センサ及びイメージ・センサ・アレイを製造する方法 Active JP4912315B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/904,807 US7193289B2 (en) 2004-11-30 2004-11-30 Damascene copper wiring image sensor
US10/904,807 2004-11-30
PCT/US2005/042088 WO2006060212A1 (en) 2004-11-30 2005-11-18 A damascene copper wiring image sensor

Publications (3)

Publication Number Publication Date
JP2008522408A true JP2008522408A (ja) 2008-06-26
JP2008522408A5 JP2008522408A5 (ja) 2008-09-18
JP4912315B2 JP4912315B2 (ja) 2012-04-11

Family

ID=36565358

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543331A Active JP4912315B2 (ja) 2004-11-30 2005-11-18 イメージ・センサ及びイメージ・センサ・アレイを製造する方法

Country Status (7)

Country Link
US (2) US7193289B2 (ja)
EP (1) EP1817801A4 (ja)
JP (1) JP4912315B2 (ja)
KR (1) KR100992031B1 (ja)
CN (1) CN100533780C (ja)
TW (1) TWI360887B (ja)
WO (1) WO2006060212A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010041034A (ja) * 2008-07-10 2010-02-18 Sony Corp 固体撮像装置とその製造方法および撮像装置
US9608031B2 (en) 2015-03-13 2017-03-28 Canon Kabushiki Kaisha Method for manufacturing solid-state image sensor
JP2018147976A (ja) * 2017-03-03 2018-09-20 キヤノン株式会社 固体撮像装置及びその製造方法
JP2020532707A (ja) * 2017-12-22 2020-11-12 イラミーナ インコーポレーテッド 保護内張りを有する光検出デバイス及びそれに関連する方法
JP2022062076A (ja) * 2013-12-10 2022-04-19 イラミーナ インコーポレーテッド 生物学的または化学的な分析のためのバイオセンサおよびその製造方法

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7224856B2 (en) * 2001-10-23 2007-05-29 Digital Optics Corporation Wafer based optical chassis and associated methods
US7961989B2 (en) * 2001-10-23 2011-06-14 Tessera North America, Inc. Optical chassis, camera having an optical chassis, and associated methods
DE10345453B4 (de) * 2003-09-30 2009-08-20 Infineon Technologies Ag Verfahren zum Herstellen eines optischen Sensors mit einer integrierten Schichtstapel-Anordnung
KR100689885B1 (ko) * 2004-05-17 2007-03-09 삼성전자주식회사 광감도 및 주변광량비 개선을 위한 cmos 이미지 센서및 그 제조방법
KR100745985B1 (ko) * 2004-06-28 2007-08-06 삼성전자주식회사 이미지 센서
US20060057765A1 (en) * 2004-09-13 2006-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor including multiple lenses and method of manufacture thereof
US8029186B2 (en) * 2004-11-05 2011-10-04 International Business Machines Corporation Method for thermal characterization under non-uniform heat load
US7564629B1 (en) * 2004-12-02 2009-07-21 Crosstek Capital, LLC Microlens alignment procedures in CMOS image sensor design
US7763918B1 (en) 2004-12-02 2010-07-27 Chen Feng Image pixel design to enhance the uniformity of intensity distribution on digital image sensors
US7592645B2 (en) * 2004-12-08 2009-09-22 Canon Kabushiki Kaisha Photoelectric conversion device and method for producing photoelectric conversion device
US7342268B2 (en) * 2004-12-23 2008-03-11 International Business Machines Corporation CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
KR100649013B1 (ko) * 2004-12-30 2006-11-27 동부일렉트로닉스 주식회사 베리어를 이용한 집광장치 및 그 제조방법
JP4938238B2 (ja) * 2005-01-07 2012-05-23 ソニー株式会社 固体撮像素子及び固体撮像素子の製造方法
KR100719341B1 (ko) * 2005-01-25 2007-05-17 삼성전자주식회사 이미지 센서 및 그 제조방법
KR100672994B1 (ko) * 2005-01-28 2007-01-24 삼성전자주식회사 이미지 센서 및 그 제조 방법
US7679042B1 (en) * 2005-04-25 2010-03-16 Flusberg Allen M Fabrication of transducer structures
KR100718877B1 (ko) * 2005-06-20 2007-05-17 (주)실리콘화일 이미지센서의 칼라필터 형성방법 및 이를 이용하여 제조된이미지 센서
US20070010042A1 (en) * 2005-07-05 2007-01-11 Sheng-Chin Li Method of manufacturing a cmos image sensor
KR100672730B1 (ko) * 2005-07-15 2007-01-24 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
US7683407B2 (en) * 2005-08-01 2010-03-23 Aptina Imaging Corporation Structure and method for building a light tunnel for use with imaging devices
US7755122B2 (en) * 2005-08-29 2010-07-13 United Microelectronics Corp. Complementary metal oxide semiconductor image sensor
KR100710204B1 (ko) * 2005-09-08 2007-04-20 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
KR100649034B1 (ko) * 2005-09-21 2006-11-27 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
US20070102736A1 (en) * 2005-11-04 2007-05-10 Cheng-Hsing Chuang Image sensor device and method for manufacturing the same
KR100698082B1 (ko) * 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
KR100731128B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
KR100654052B1 (ko) * 2005-12-28 2006-12-05 동부일렉트로닉스 주식회사 Cmos 이미지 센서의 제조방법
KR100654051B1 (ko) * 2005-12-28 2006-12-05 동부일렉트로닉스 주식회사 Cmos 이미지 센서의 제조방법
KR100660714B1 (ko) * 2005-12-29 2006-12-21 매그나칩 반도체 유한회사 백사이드 조명 구조의 씨모스 이미지 센서 및 그의 제조방법
KR100755666B1 (ko) * 2006-01-03 2007-09-05 삼성전자주식회사 이미지 센서 및 이미지 센서의 제조 방법
US7675080B2 (en) * 2006-01-10 2010-03-09 Aptina Imaging Corp. Uniform color filter arrays in a moat
US20070187787A1 (en) * 2006-02-16 2007-08-16 Ackerson Kristin M Pixel sensor structure including light pipe and method for fabrication thereof
US20070200055A1 (en) * 2006-02-24 2007-08-30 Tower Semiconductor Ltd. Via wave guide with cone-like light concentrator for image sensing devices
US7358583B2 (en) * 2006-02-24 2008-04-15 Tower Semiconductor Ltd. Via wave guide with curved light concentrator for image sensing devices
JP2007242676A (ja) * 2006-03-06 2007-09-20 Sanyo Electric Co Ltd 半導体装置製造方法
US20070238035A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Method and apparatus defining a color filter array for an image sensor
US20070241418A1 (en) * 2006-04-13 2007-10-18 Ming-I Wang Image sensing device and fabrication method thereof
JP2008028240A (ja) * 2006-07-24 2008-02-07 Toshiba Corp 固体撮像装置
KR100789576B1 (ko) * 2006-08-29 2007-12-28 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조방법
US20080054386A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Recessed color filter array and method of forming the same
JP2008091643A (ja) * 2006-10-02 2008-04-17 Matsushita Electric Ind Co Ltd 固体撮像装置
US7544982B2 (en) * 2006-10-03 2009-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor device suitable for use with logic-embedded CIS chips and methods for making the same
KR100789577B1 (ko) * 2006-10-30 2007-12-28 동부일렉트로닉스 주식회사 이미지 소자 및 이의 제조 방법
US7611922B2 (en) * 2006-11-13 2009-11-03 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
KR100806778B1 (ko) * 2006-11-30 2008-02-27 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조 방법
US7973271B2 (en) * 2006-12-08 2011-07-05 Sony Corporation Solid-state image pickup device, method for manufacturing solid-state image pickup device, and camera
KR100853096B1 (ko) * 2006-12-20 2008-08-19 동부일렉트로닉스 주식회사 이미지 센서 및 그의 제조방법
KR100866248B1 (ko) * 2006-12-23 2008-10-30 동부일렉트로닉스 주식회사 씨모스 이미지센서의 제조방법
KR100802305B1 (ko) * 2006-12-27 2008-02-11 동부일렉트로닉스 주식회사 이미지 센서 제조방법
KR100819707B1 (ko) * 2006-12-28 2008-04-04 동부일렉트로닉스 주식회사 이미지 센서 및 이미지 센서의 제조방법
KR100840649B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 이미지 센서용 반도체 소자의 제조 방법
US7952155B2 (en) * 2007-02-20 2011-05-31 Micron Technology, Inc. Reduced edge effect from recesses in imagers
JP4110192B1 (ja) * 2007-02-23 2008-07-02 キヤノン株式会社 光電変換装置及び光電変換装置を用いた撮像システム
JP5049036B2 (ja) * 2007-03-28 2012-10-17 オンセミコンダクター・トレーディング・リミテッド 半導体装置
JP2008288243A (ja) * 2007-05-15 2008-11-27 Sony Corp 固体撮像装置とその製造方法および撮像装置
US20080290435A1 (en) * 2007-05-21 2008-11-27 Micron Technology, Inc. Wafer level lens arrays for image sensor packages and the like, image sensor packages, and related methods
KR100900682B1 (ko) * 2007-06-22 2009-06-01 주식회사 동부하이텍 이미지센서 및 그 제조방법
US9337054B2 (en) * 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US8710560B2 (en) * 2007-08-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for image sensors
KR20090034428A (ko) * 2007-10-04 2009-04-08 주식회사 동부하이텍 이미지 센서 및 그 제조방법
US20090101947A1 (en) * 2007-10-17 2009-04-23 Visera Technologies Company Limited Image sensor device and fabrication method thereof
KR20090039015A (ko) * 2007-10-17 2009-04-22 주식회사 동부하이텍 씨모스 이미지 센서 제조 방법
KR100907156B1 (ko) * 2007-10-22 2009-07-09 주식회사 동부하이텍 이미지 센서 및 그 제조방법
KR100894387B1 (ko) * 2007-10-22 2009-04-22 주식회사 동부하이텍 이미지센서 및 그 제조방법
EP2218113B1 (en) 2007-11-01 2016-04-27 Insiava (Pty) Limited Optoelectronic device with light directing arrangement and method of forming the arrangement
KR20090056431A (ko) * 2007-11-30 2009-06-03 주식회사 동부하이텍 이미지센서 및 그 제조방법
US7816641B2 (en) * 2007-12-28 2010-10-19 Candela Microsystems (S) Pte. Ltd. Light guide array for an image sensor
KR100922929B1 (ko) * 2007-12-28 2009-10-22 주식회사 동부하이텍 이미지센서 및 그 제조방법
US20090189055A1 (en) * 2008-01-25 2009-07-30 Visera Technologies Company Limited Image sensor and fabrication method thereof
US20090189233A1 (en) * 2008-01-25 2009-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cmos image sensor and method for manufacturing same
JP4770857B2 (ja) * 2008-03-27 2011-09-14 日本テキサス・インスツルメンツ株式会社 半導体装置
US8258629B2 (en) * 2008-04-02 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Curing low-k dielectrics for improving mechanical strength
JP4770864B2 (ja) * 2008-04-11 2011-09-14 日本テキサス・インスツルメンツ株式会社 半導体装置
TWI380456B (en) * 2008-04-30 2012-12-21 Pixart Imaging Inc Micro-electro-mechanical device and method for making same
US8564084B2 (en) * 2008-06-16 2013-10-22 Koninklijke Philips N.V. Radiation detection and a method of manufacturing a radiation detector
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US20100304061A1 (en) * 2009-05-26 2010-12-02 Zena Technologies, Inc. Fabrication of high aspect ratio features in a glass layer by etching
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8384007B2 (en) * 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US20110115041A1 (en) * 2009-11-19 2011-05-19 Zena Technologies, Inc. Nanowire core-shell light pipes
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US7646943B1 (en) 2008-09-04 2010-01-12 Zena Technologies, Inc. Optical waveguides in image sensors
US8269985B2 (en) * 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8546742B2 (en) * 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
KR20100057302A (ko) * 2008-11-21 2010-05-31 삼성전자주식회사 이미지 센서 및 이의 제조 방법
US8106487B2 (en) * 2008-12-23 2012-01-31 Pratt & Whitney Rocketdyne, Inc. Semiconductor device having an inorganic coating layer applied over a junction termination extension
KR20100079739A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 이미지 센서 및 그의 제조 방법
JP2010177391A (ja) * 2009-01-29 2010-08-12 Sony Corp 固体撮像装置、電子機器、固体撮像装置の製造方法
US9142586B2 (en) * 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
KR101087997B1 (ko) * 2009-04-17 2011-12-01 (주)실리콘화일 광도파관을 구비하는 이미지센서 및 그 제조방법
US20100289065A1 (en) 2009-05-12 2010-11-18 Pixart Imaging Incorporation Mems integrated chip with cross-area interconnection
US20100320552A1 (en) * 2009-06-19 2010-12-23 Pixart Imaging Inc. CMOS Image Sensor
TWI418024B (zh) * 2009-07-06 2013-12-01 Pixart Imaging Inc 影像感測元件及其製作方法
US9123653B2 (en) * 2009-07-23 2015-09-01 Sony Corporation Solid-state imaging device, method of manufacturing the same, and electronic apparatus
JP5564847B2 (ja) * 2009-07-23 2014-08-06 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器
US8330840B2 (en) * 2009-08-06 2012-12-11 Aptina Imaging Corporation Image sensor with multilayer interference filters
JP5304536B2 (ja) * 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
JP5235829B2 (ja) * 2009-09-28 2013-07-10 株式会社東芝 半導体装置の製造方法、半導体装置
US8357890B2 (en) * 2009-11-10 2013-01-22 United Microelectronics Corp. Image sensor and method for fabricating the same
KR20110095696A (ko) * 2010-02-19 2011-08-25 삼성전자주식회사 씨모스 이미지 센서
JP5468133B2 (ja) * 2010-05-14 2014-04-09 パナソニック株式会社 固体撮像装置
JP2011243753A (ja) * 2010-05-18 2011-12-01 Panasonic Corp 固体撮像装置
JP5693924B2 (ja) * 2010-11-10 2015-04-01 株式会社東芝 半導体撮像装置
FR2969820B1 (fr) * 2010-12-23 2013-09-20 St Microelectronics Sa Capteur d'image éclairé par la face avant a faible diaphotie
US8373243B2 (en) 2011-01-06 2013-02-12 Omnivision Technologies, Inc. Seal ring support for backside illuminated image sensor
US20120200749A1 (en) * 2011-02-03 2012-08-09 Ulrich Boettiger Imagers with structures for near field imaging
JP5241902B2 (ja) 2011-02-09 2013-07-17 キヤノン株式会社 半導体装置の製造方法
JP5736253B2 (ja) * 2011-06-30 2015-06-17 セイコーインスツル株式会社 光センサ装置
US20130010165A1 (en) 2011-07-05 2013-01-10 United Microelectronics Corp. Optical micro structure, method for fabricating the same and applications thereof
CN106449676A (zh) * 2011-07-19 2017-02-22 索尼公司 半导体装置和电子设备
US9373732B2 (en) * 2012-02-07 2016-06-21 Semiconductor Components Industries, Llc Image sensors with reflective optical cavity pixels
US9349769B2 (en) 2012-08-22 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor comprising reflective guide layer and method of forming the same
CN103066089B (zh) * 2012-12-26 2018-08-28 上海集成电路研发中心有限公司 Cmos影像传感器像元结构及其制造方法
JPWO2014112002A1 (ja) * 2013-01-15 2017-01-19 オリンパス株式会社 撮像素子、及び撮像装置
EP2772939B1 (en) * 2013-03-01 2016-10-19 Ams Ag Semiconductor device for detection of radiation and method of producing a semiconductor device for detection of radiation
US9490288B2 (en) * 2013-03-15 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Image sensor with trenched filler grid within a dielectric grid including a reflective portion, a buffer and a high-K dielectric
US9601535B2 (en) * 2013-03-15 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconducator image sensor having color filters formed over a high-K dielectric grid
CN103258835A (zh) * 2013-05-02 2013-08-21 上海华力微电子有限公司 Cis器件中光通道的形成方法
US9129876B2 (en) * 2013-05-28 2015-09-08 United Microelectronics Corp. Image sensor and process thereof
US9543343B2 (en) 2013-11-29 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming image sensor device
US9536920B2 (en) * 2014-03-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked image sensor having a barrier layer
KR102356695B1 (ko) * 2014-08-18 2022-01-26 삼성전자주식회사 광 유도 부재를 가지는 이미지 센서
EP3029931A1 (en) * 2014-12-04 2016-06-08 Thomson Licensing Image sensor unit and imaging apparatus
AU2016200223B2 (en) * 2015-01-16 2017-02-09 Personal Genomics Taiwan, Inc. Optical sensor with light-guiding feature and method for preparing the same
US10367019B2 (en) * 2015-01-29 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensor structure with crosstalk improvement
US10181070B2 (en) 2015-02-02 2019-01-15 Synaptics Incorporated Low profile illumination in an optical fingerprint sensor
US10147757B2 (en) * 2015-02-02 2018-12-04 Synaptics Incorporated Image sensor structures for fingerprint sensing
US9829614B2 (en) 2015-02-02 2017-11-28 Synaptics Incorporated Optical sensor using collimator
TWI593290B (zh) * 2015-07-30 2017-07-21 力晶科技股份有限公司 影像感測器
CN107039468B (zh) * 2015-08-06 2020-10-23 联华电子股份有限公司 影像感测器及其制作方法
CN108352396B (zh) * 2015-10-07 2023-08-01 天津极豪科技有限公司 用于指纹感测的图像传感器结构
US9508769B1 (en) * 2016-02-01 2016-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
DE102016208841B4 (de) 2016-05-23 2020-12-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Farbsensor mit winkelselektiven Strukturen
US10211093B2 (en) * 2016-07-08 2019-02-19 Samsung Electronics Co., Ltd. Interconnect structure formed with a high aspect ratio single damascene copper line on a non-damascene via
TWI615957B (zh) * 2016-08-29 2018-02-21 Powerchip Technology Corporation 影像感測器及其製作方法
US10782184B2 (en) * 2016-09-06 2020-09-22 Advanced Semiconductor Engineering, Inc. Optical device and method of manufacturing the same
TWI599028B (zh) * 2016-10-14 2017-09-11 力晶科技股份有限公司 影像感測器及其製作方法
EP3462149B1 (en) * 2017-09-28 2023-10-25 Sensirion AG Infrared device
US10665627B2 (en) 2017-11-15 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and method for forming the image sensor device having a first lens and a second lens over the first lens
TWI646678B (zh) * 2017-12-07 2019-01-01 晶相光電股份有限公司 影像感測裝置
TWI669811B (zh) * 2018-02-01 2019-08-21 Powerchip Semiconductor Manufacturing Corporation 具有類光導管結構之影像感測器
KR20200108133A (ko) * 2019-03-06 2020-09-17 삼성전자주식회사 이미지 센서 및 이미징 장치
US11630062B2 (en) * 2019-10-10 2023-04-18 Visera Technologies Company Limited Biosensor and method of forming the same
KR20220083736A (ko) * 2019-10-18 2022-06-20 캘리포니아 인스티튜트 오브 테크놀로지 메타물질 컬러 분할 기능을 구비한 cmos 컬러 이미지 센서들
US11322458B2 (en) 2020-04-27 2022-05-03 Nanya Technology Corporation Semiconductor structure including a first substrate and a second substrate and a buffer structure in the second substrate
CN116845076A (zh) * 2023-06-29 2023-10-03 镭友芯科技(苏州)有限公司 一种光探测器件及制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002076312A (ja) * 2000-08-28 2002-03-15 Fuji Film Microdevices Co Ltd 固体撮像素子
JP2002118245A (ja) * 2000-10-11 2002-04-19 Sharp Corp 固体撮像素子およびその製造方法
JP2003249632A (ja) * 2002-02-22 2003-09-05 Sony Corp 固体撮像素子およびその製造方法
JP2004221527A (ja) * 2003-01-16 2004-08-05 Samsung Electronics Co Ltd イメージ素子及びその製造方法
JP2004221532A (ja) * 2002-12-25 2004-08-05 Sony Corp 固体撮像素子およびその製造方法
JP2005005472A (ja) * 2003-06-11 2005-01-06 Sony Corp 固体撮像素子

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0774331A (ja) 1993-09-02 1995-03-17 Nikon Corp マイクロレンズ付き固体撮像素子及びその製造方法
JPH08191371A (ja) 1994-11-09 1996-07-23 Fuji Xerox Co Ltd イメージセンサ
KR100303774B1 (ko) * 1998-12-30 2001-11-15 박종섭 개선된 광감도를 갖는 씨모스이미지센서 제조방법
US6326652B1 (en) * 1999-06-18 2001-12-04 Micron Technology, Inc., CMOS imager with a self-aligned buried contact
US6333205B1 (en) * 1999-08-16 2001-12-25 Micron Technology, Inc. CMOS imager with selectively silicided gates
US6221687B1 (en) * 1999-12-23 2001-04-24 Tower Semiconductor Ltd. Color image sensor with embedded microlens array
KR100477789B1 (ko) * 1999-12-28 2005-03-22 매그나칩 반도체 유한회사 이미지센서의 제조 방법
JP2002083949A (ja) * 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
JP2002246579A (ja) 2001-02-15 2002-08-30 Seiko Epson Corp 固体撮像素子及びその製造方法
US6765276B2 (en) * 2001-08-23 2004-07-20 Agilent Technologies, Inc. Bottom antireflection coating color filter process for fabricating solid state image sensors
JP4117672B2 (ja) 2002-05-01 2008-07-16 ソニー株式会社 固体撮像素子及び固体撮像装置、並びにこれらの製造方法
US7215361B2 (en) * 2003-09-17 2007-05-08 Micron Technology, Inc. Method for automated testing of the modulation transfer function in image sensors
US6803250B1 (en) * 2003-04-24 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Image sensor with complementary concave and convex lens layers and method for fabrication thereof
KR100499174B1 (ko) * 2003-06-17 2005-07-01 삼성전자주식회사 이미지 소자
US7223960B2 (en) * 2003-12-03 2007-05-29 Micron Technology, Inc. Image sensor, an image sensor pixel, and methods of forming the same
US6969899B2 (en) * 2003-12-08 2005-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with light guides
KR100689885B1 (ko) * 2004-05-17 2007-03-09 삼성전자주식회사 광감도 및 주변광량비 개선을 위한 cmos 이미지 센서및 그 제조방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002076312A (ja) * 2000-08-28 2002-03-15 Fuji Film Microdevices Co Ltd 固体撮像素子
JP2002118245A (ja) * 2000-10-11 2002-04-19 Sharp Corp 固体撮像素子およびその製造方法
JP2003249632A (ja) * 2002-02-22 2003-09-05 Sony Corp 固体撮像素子およびその製造方法
JP2004221532A (ja) * 2002-12-25 2004-08-05 Sony Corp 固体撮像素子およびその製造方法
JP2004221527A (ja) * 2003-01-16 2004-08-05 Samsung Electronics Co Ltd イメージ素子及びその製造方法
JP2005005472A (ja) * 2003-06-11 2005-01-06 Sony Corp 固体撮像素子

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010041034A (ja) * 2008-07-10 2010-02-18 Sony Corp 固体撮像装置とその製造方法および撮像装置
KR101573079B1 (ko) 2008-07-10 2015-11-30 소니 주식회사 고체 촬상 장치, 그 제조 방법 및 촬상 장치
JP2022062076A (ja) * 2013-12-10 2022-04-19 イラミーナ インコーポレーテッド 生物学的または化学的な分析のためのバイオセンサおよびその製造方法
US11719637B2 (en) 2013-12-10 2023-08-08 Illumina, Inc. Biosensors for biological or chemical analysis and methods of manufacturing the same
JP7355864B2 (ja) 2013-12-10 2023-10-03 イラミーナ インコーポレーテッド 生物学的または化学的な分析のためのバイオセンサおよびその製造方法
US9608031B2 (en) 2015-03-13 2017-03-28 Canon Kabushiki Kaisha Method for manufacturing solid-state image sensor
JP2018147976A (ja) * 2017-03-03 2018-09-20 キヤノン株式会社 固体撮像装置及びその製造方法
JP2020532707A (ja) * 2017-12-22 2020-11-12 イラミーナ インコーポレーテッド 保護内張りを有する光検出デバイス及びそれに関連する方法
JP7094313B2 (ja) 2017-12-22 2022-07-01 イラミーナ インコーポレーテッド 保護内張りを有する光検出デバイス及びそれに関連する方法
US11543352B2 (en) 2017-12-22 2023-01-03 Illumina, Inc. Light detection devices with protective liner and methods related to same
US11781985B2 (en) 2017-12-22 2023-10-10 Illumina, Inc. Light detection devices with protective liner and methods related to same

Also Published As

Publication number Publication date
CN100533780C (zh) 2009-08-26
CN101044631A (zh) 2007-09-26
EP1817801A1 (en) 2007-08-15
US7193289B2 (en) 2007-03-20
TW200701479A (en) 2007-01-01
US20060113622A1 (en) 2006-06-01
TWI360887B (en) 2012-03-21
KR20070085576A (ko) 2007-08-27
JP4912315B2 (ja) 2012-04-11
KR100992031B1 (ko) 2010-11-05
EP1817801A4 (en) 2010-07-28
US7655495B2 (en) 2010-02-02
WO2006060212A1 (en) 2006-06-08
US20070114622A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
JP4912315B2 (ja) イメージ・センサ及びイメージ・センサ・アレイを製造する方法
US7772028B2 (en) CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
US7781781B2 (en) CMOS imager array with recessed dielectric
US8816457B2 (en) Sensor structure for optical performance enhancement
US7803647B2 (en) Optical transmission improvement on multi-dielectric structure in advance CMOS imager
US20050088551A1 (en) Structure of a CMOS image sensor and method for fabricating the same
US20040140564A1 (en) Structure of a CMOS image sensor and method for fabricating the same
US10868065B2 (en) Front side illuminated image sensor device structure and method for forming the same
TW200810100A (en) Image sensor and the method for manufacturing the same
TWI748475B (zh) 影像感測裝置、影像感測裝置的製作方法與影像感測器
KR20190062128A (ko) 패드 구조물을 갖는 이미지 센서
US10056427B1 (en) Front side illuminated image sensor device structure and method for forming the same
US11652133B2 (en) Image sensor grid and method of manufacturing same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080730

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111220

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120117

R150 Certificate of patent or registration of utility model

Ref document number: 4912315

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150127

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250