JP2008166706A5 - - Google Patents

Download PDF

Info

Publication number
JP2008166706A5
JP2008166706A5 JP2007265808A JP2007265808A JP2008166706A5 JP 2008166706 A5 JP2008166706 A5 JP 2008166706A5 JP 2007265808 A JP2007265808 A JP 2007265808A JP 2007265808 A JP2007265808 A JP 2007265808A JP 2008166706 A5 JP2008166706 A5 JP 2008166706A5
Authority
JP
Japan
Prior art keywords
cooling plate
disposed
substrate
heating source
coolant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007265808A
Other languages
English (en)
Other versions
JP2008166706A (ja
JP5473206B2 (ja
Filing date
Publication date
Priority claimed from US11/611,061 external-priority patent/US7378618B1/en
Application filed filed Critical
Publication of JP2008166706A publication Critical patent/JP2008166706A/ja
Publication of JP2008166706A5 publication Critical patent/JP2008166706A5/ja
Application granted granted Critical
Publication of JP5473206B2 publication Critical patent/JP5473206B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (17)

  1. 上方部分及び下方部分を含む内部空間を有するチャンバと、
    上記上方部分に連通された冷却材源と上記内部空間の上記下方部分に配設された加熱源と、
    上記上方部分と上記下方部分との間で基板を支持および移動させるように構成された浮揚基板支持体と、
    上記加熱源と上記基板との間に配設され、紫外光を透過するウインドウと、
    を備える基板処理装置。
  2. 上記冷却材源は、冷却プレートを備える、請求項1に記載の装置。
  3. 上記冷却プレートは、黒色材料を備える、請求項2に記載の装置。
  4. 上記冷却プレートは、0.70〜0.95の放射率を有する、請求項に記載の装置。
  5. 上記冷却材源は、上記内部空間の上記上方部分にガスを導くガスポートを含む、請求項1に記載の装置。
  6. 上記冷却材源は、内部に冷却材を流す為に少なくとも一つの流体チャネルを備える、請求項1に記載の装置。
  7. 上記冷却材源は、内部に冷却材を流す為に少なくとも一つのチャネルを有する冷却プレートと、上記内部空間の上記上方部分にガスを導くガスポートとを備える、請求項1に記載の装置。
  8. 上記ウインドウは、その上方表面から延る複数のリフトピンを含む、請求項1に記載の装置。
  9. 上方部分及び下方部分を含む内部空間を有するチャンバと、
    上記下方部分に配設された加熱源と、
    上記上方部分に配設された冷却プレートと、
    上記内部空間に少なくとも一部が配設された磁気的に駆動される基板支持体であって、環状本体を備え、上記環状本体は、その上方表面に上記基板を支持するように構成されている、上記基板支持体と、
    上記加熱源と上記基板との間に配設され、紫外光を透過するウインドウと、
    を備える基板処理装置。
  10. 上記冷却プレートは、黒色材料を含む、請求項に記載の装置。
  11. 上記冷却プレートは、冷却材を流すための少なくとも1つの流体チャネルを備える、請求項に記載の装置。
  12. 上記内部空間の上記上方部分にガスを導くガスポートを更に備える、請求項に記載の装置。
  13. 上記ウインドウは、その上方表面から延びる複数のリフトピンを含む、請求項に記載の装置。
  14. 内部空間に冷却プレートと加熱源とが配設されたチャンバと、
    上記チャンバ内に配設され、環状本体を備える磁気浮揚基板支持体であって、その上方表面に上記基板を支持し、上記基板を上記加熱源と上記冷却プレート付近に移動させる、上記基板支持体と、
    を備える、基板処理装置。
  15. 上記加熱源は、上記冷却プレートに対向した関係で配設される、請求項14に記載の装置。
  16. 上記加熱源は、上記内部空間の下方部分に配設される、請求項14に記載の装置。
  17. 上記加熱源と上記冷却プレートとの間に配設されたウインドウを更に備え、上記ウインドウは、紫外光を透過し、その上方表面から延びる複数のリフトピンを有する、請求項14に記載の装置。
JP2007265808A 2006-12-14 2007-10-11 副処理平面を使用する急速伝導冷却 Active JP5473206B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/611061 2006-12-14
US11/611,061 US7378618B1 (en) 2006-12-14 2006-12-14 Rapid conductive cooling using a secondary process plane

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013207395A Division JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Publications (3)

Publication Number Publication Date
JP2008166706A JP2008166706A (ja) 2008-07-17
JP2008166706A5 true JP2008166706A5 (ja) 2010-10-14
JP5473206B2 JP5473206B2 (ja) 2014-04-16

Family

ID=39312914

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007265808A Active JP5473206B2 (ja) 2006-12-14 2007-10-11 副処理平面を使用する急速伝導冷却
JP2013207395A Pending JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013207395A Pending JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Country Status (6)

Country Link
US (5) US7378618B1 (ja)
EP (1) EP1933368A3 (ja)
JP (2) JP5473206B2 (ja)
KR (4) KR20080055608A (ja)
CN (2) CN101207010A (ja)
TW (3) TWI545655B (ja)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8635784B2 (en) * 2005-10-04 2014-01-28 Applied Materials, Inc. Methods and apparatus for drying a substrate
JP4937278B2 (ja) * 2006-03-08 2012-05-23 ラム・リサーチ・アクチエンゲゼルシヤフト 板状物品の流体処理用装置
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
EP3573092B1 (en) * 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
TWI381452B (zh) * 2008-08-29 2013-01-01 Applied Materials Inc 用於擴大溫度高溫測定之方法與設備
US8217317B2 (en) * 2008-09-10 2012-07-10 Applied Materials, Inc. Apparatus with strain release feature for high temperature processes
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
JP5441243B2 (ja) * 2009-02-24 2014-03-12 信越石英株式会社 赤外線透過性部材の熱処理用石英ガラス治具
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US8062384B2 (en) 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
WO2010150590A1 (ja) * 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130074358A1 (en) * 2011-09-24 2013-03-28 Quantum Technology Holdings Limited Heated body with high heat transfer rate material and its use
CN103088308B (zh) * 2011-11-01 2016-07-13 无锡华润上华科技有限公司 除气腔内的温度监控装置
SG2014008270A (en) * 2011-11-03 2014-11-27 Applied Materials Inc Rapid thermal processing chamber
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US10124445B2 (en) * 2012-01-18 2018-11-13 Halliburton Energy Services, Inc. Heat containment apparatus
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CH706662A1 (de) * 2012-06-14 2013-12-31 Oc Oerlikon Balzers Ag Transport- und Übergabevorrichtung für scheibenförmige Substrate, Vakuumbehandlungsanlage und Verfahren zur Herstellung behandelter Substrate.
US9200965B2 (en) * 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9606587B2 (en) * 2012-10-26 2017-03-28 Google Inc. Insulator module having structure enclosing atomspheric pressure gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
SG11201508481PA (en) * 2013-05-10 2015-11-27 Applied Materials Inc Dome cooling using compliant material
KR102271250B1 (ko) 2013-05-15 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 램프 가열 어셈블리를 위한 확산기
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
CN105074869A (zh) * 2013-06-26 2015-11-18 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9385004B2 (en) 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US9430006B1 (en) 2013-09-30 2016-08-30 Google Inc. Computing device with heat spreader
KR102317055B1 (ko) * 2013-09-30 2021-10-26 어플라이드 머티어리얼스, 인코포레이티드 캡슐화된 광 배리어를 갖는 지지체 링
US8861191B1 (en) 2013-09-30 2014-10-14 Google Inc. Apparatus related to a structure of a base portion of a computing device
WO2015069456A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Sol gel coated support ring
KR102258247B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258246B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258248B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258245B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258243B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102359295B1 (ko) * 2013-12-06 2022-02-08 세메스 주식회사 기판 가열 유닛
KR102258244B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
JP6219178B2 (ja) * 2014-01-20 2017-10-25 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
CN106463400A (zh) 2014-05-27 2017-02-22 应用材料公司 利用顺应性材料的窗冷却
US9442514B1 (en) 2014-07-23 2016-09-13 Google Inc. Graphite layer between carbon layers
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102413455B1 (ko) * 2015-01-02 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478455B1 (en) * 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6655996B2 (ja) * 2016-01-19 2020-03-04 東京エレクトロン株式会社 基板温調装置及び基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6513041B2 (ja) * 2016-02-19 2019-05-15 信越半導体株式会社 半導体ウェーハの熱処理方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107557871B (zh) * 2016-07-01 2019-10-25 上海微电子装备(集团)股份有限公司 激光退火装置及方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102145276B1 (ko) 2016-07-22 2020-08-18 어플라이드 머티어리얼스, 인코포레이티드 에피 균일성 조정을 개선하기 위한 가열 변조기
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109565174A (zh) 2016-08-15 2019-04-02 力特有限公司 具有电池管理系统的柔性正温度系数设备
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10661223B2 (en) 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102406942B1 (ko) * 2019-09-16 2022-06-10 에이피시스템 주식회사 엣지 링 및 이를 포함하는 열처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TWI717246B (zh) * 2020-03-30 2021-01-21 群翊工業股份有限公司 具有溫控模組的烤箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11915953B2 (en) * 2020-04-17 2024-02-27 Applied Materials, Inc. Apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220019254A (ko) * 2020-05-20 2022-02-16 세메스 주식회사 기판 가열 유닛
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102615845B1 (ko) * 2020-11-19 2023-12-22 세메스 주식회사 지지 유닛 및 기판 처리 장치
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102512991B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512992B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102569912B1 (ko) * 2020-12-29 2023-08-28 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230304741A1 (en) * 2022-03-25 2023-09-28 Tokyo Electron Limited Magnetic Annealing Equipment and Method
US11649855B1 (en) * 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62282437A (ja) * 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63269515A (ja) * 1987-04-27 1988-11-07 Nikon Corp 光照射装置
JPH01123321A (ja) 1987-11-09 1989-05-16 Matsushita Electric Ind Co Ltd データ処理装置
JPH01276623A (ja) * 1988-04-27 1989-11-07 Mitsubishi Electric Corp ビームアニール装置
JPH0613324A (ja) * 1992-06-26 1994-01-21 Fujitsu Ltd 真空加熱装置
JPH0778831A (ja) * 1993-06-25 1995-03-20 Sony Corp 熱処理方法
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH08316157A (ja) * 1995-05-23 1996-11-29 Souei Tsusho Kk 熱処理炉
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JP2001524259A (ja) * 1995-07-10 2001-11-27 シーヴィシー、プラダクツ、インク マイクロエレクトロニクス製造装置用プログラマブル超クリーン電磁サブストレート回転装置及び方法
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
US5818137A (en) * 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US6133550A (en) * 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
JPH10251853A (ja) * 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US6157106A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH111775A (ja) * 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6323496B1 (en) * 1999-04-19 2001-11-27 Applied Materials, Inc. Apparatus for reducing distortion in fluid bearing surfaces
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
KR20020003425A (ko) 2000-06-29 2002-01-12 서정은 인터넷을 이용한 다자간 판매방법 및 시스템
JP2002134592A (ja) 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP3869655B2 (ja) * 2000-12-28 2007-01-17 大日本スクリーン製造株式会社 ランプアニール装置
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP3660254B2 (ja) * 2001-02-23 2005-06-15 大日本スクリーン製造株式会社 基板の熱処理装置
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US6809035B2 (en) 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
JP2004079677A (ja) * 2002-08-13 2004-03-11 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003142468A (ja) * 2002-10-08 2003-05-16 Mitsubishi Electric Corp 化学気相成長装置
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP2005303082A (ja) * 2004-04-13 2005-10-27 Tokyo Electron Ltd 基板載置台および熱処理装置
JP4733405B2 (ja) * 2005-02-22 2011-07-27 株式会社国際電気セミコンダクターサービス 熱処理装置及び熱処理方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Similar Documents

Publication Publication Date Title
JP2008166706A5 (ja)
CN102239050B (zh) 升华印刷
CN101896995B (zh) 具有改善的气流分布的热反应器
CN104040703B (zh) 具有顶部基板支撑组件的热处理腔室
TWI607113B (zh) 磊晶底環
US11495479B2 (en) Light pipe window structure for thermal chamber applications and processes
CN107112267A (zh) 用于基板背侧变色控制的支撑组件
KR20120054636A (ko) 열처리장치
JP2013526060A5 (ja)
JP2016526279A5 (ja)
CN105074885B (zh) 用于高效热循环的模块化基板加热器
TW200717660A (en) Heating device and coating and developing apparatus
TWI703636B (zh) 用於低壓熱處理的光導管結構窗
KR20110009187A (ko) 어닐링 장치
JP2013188483A5 (ja)
CN109075108A (zh) 半导体处理腔室
JP2013188258A5 (ja)
TWI559368B (zh) A hydrophobization treatment apparatus, a hydrophobization treatment method, and a recording medium for hydrophobizing treatment
CN105009260B (zh) 热耦合的石英圆顶热沉
TWI275739B (en) Heat treatment apparatus
ATE536843T1 (de) Wärmekissen
CN105008118A (zh) 用于预加热至少一个模具的装置
KR101609123B1 (ko) 자외선 경화장치
JP6379842B2 (ja) 染色装置
KR20140000776A (ko) 유리 기판의 열처리장치