JP5473206B2 - 副処理平面を使用する急速伝導冷却 - Google Patents

副処理平面を使用する急速伝導冷却 Download PDF

Info

Publication number
JP5473206B2
JP5473206B2 JP2007265808A JP2007265808A JP5473206B2 JP 5473206 B2 JP5473206 B2 JP 5473206B2 JP 2007265808 A JP2007265808 A JP 2007265808A JP 2007265808 A JP2007265808 A JP 2007265808A JP 5473206 B2 JP5473206 B2 JP 5473206B2
Authority
JP
Japan
Prior art keywords
substrate
source
cooling plate
coolant
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007265808A
Other languages
English (en)
Other versions
JP2008166706A5 (ja
JP2008166706A (ja
Inventor
ソラジ クアーシェッド
エヌ. ラーナー アレクサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008166706A publication Critical patent/JP2008166706A/ja
Publication of JP2008166706A5 publication Critical patent/JP2008166706A5/ja
Application granted granted Critical
Publication of JP5473206B2 publication Critical patent/JP5473206B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Furnace Details (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、半導体基板を処理するための方法及び装置に係る。より詳細には、本発明は、半導体基板を熱処理するための方法及び装置に係る。
関連技術の説明
[0002]集積回路は、単一チップ上に何百万個ものトランジスタ、キャパシタ及び抵抗を含む複雑な装置へと発展してきている。チップ設計の発展につれて、より高速な回路及びより大きな回路密度が必要とされてきており、それにつれて、製造プロセスに要求される精密さも増大してきている。よく使用される1つの製造プロセスは、イオン注入である。
[0003]イオン注入は、半導体基板上にトランジスタ構造を形成するのに特に重要であり、チップ製造中に何回も繰り返し行われるものである。イオン注入中に、典型的にはシリコン物質及び/又はシリコン含有膜を備える半導体基板は、普通、ドーパントと呼ばれる荷電イオンのビームによって衝撃される。イオン注入は、電気的特性の特定のレベルを達成するためドーパントが注入される物質の特性を変化させる。ドーパント濃度は、基板に投射されるエネルギービームにおけるイオンの数及び基板がそのビームに通される回数を制御することにより、決定することができる。ドーパントは、それらドーパントがそのシリコン物質に侵入し又はその膜の望ましい深さまで注入できるようにするエネルギーレベルまで加速される。そのビームのエネルギーレベルは、典型的に、それらドーパントが置かれる深さを決定する。
[0004]イオン注入中に、その注入されている膜には、高いレベルの内部応力が発生されることがある。このような応力を軽減し且つその注入膜に得られる特性を制御するため、その膜は、典型的に、アニールの如き熱処理を受ける。イオン注入後アニールは、典型的に、急速熱処理(RTP)チャンバにおいて行われ、この急速熱処理チャンバでは、基板は、室温からほぼ450℃から約1400℃まで基板を加熱できるように、非常に短いが高度に制御された熱サイクルを受ける。RTPは、通常、注入中に生ぜしめられる応力を最小又は軽減し、これは、ドーパント拡散を制御することによる膜の電気的特性を変化させる如く、膜特性を更に変更するのに使用することができる。
[0005]RTP加熱法としては、一般的には、ランプ及び/又は抵抗加熱要素の如き放射熱源による加熱がある。従来のRTPシステムにおいては、基板は、望ましい温度まで加熱され、それから、その放射熱源がターンオフされ、その基板の冷却がなされるようにされる。あるシステムにおいては、冷却を高めるため、ガスがその基板上に流される。しかしながら、処理パラメータは変化し続けるので、RTP中の温度上昇及び加熱の均一性を保つには、より厳密な監視及び制御が必要とされている。従来のRTPチャンバは基板を望ましい温度まで急速に加熱するため放射熱源に頼っているが、基板が加熱の均一性を改善するような冷却を必要とする時及び/又は基板を急速に冷却することが必要とされる時には、問題が生ずる。例えば、もし、相当の温度勾配が基板に亘って存在する場合には、基板が塑性的に変形又は反ってしまったりすることがあり、これは、その基板に対してその後に行われる処理のためには有害なものとなる。更に又、基板をより速く冷却したり及び/又は基板の温度制御をより高度にすることにより、スループットをより高くしたり、ドーパントの均一性を高めることができる。
[0006]従って、加熱均一性の制御をより高度に行うことができるような、半導体基板の急速加熱及び冷却の装置及び方法が必要とされている。
発明の概要
[0007]本発明は、一般的に、基板を熱処理するための方法及び装置である。本装置は、能動加熱手段及びそこに配設された能動冷却手段を有するチャンバを含む。このチャンバは、また、加熱手段と冷却手段との間で移動できる基板支持体をも含む。一実施形態では、能動冷却手段は、少なくとも1つの流体チャネルを配設した冷却プレートである。別の実施形態では、能動冷却手段は、そのチャンバの内部空間へ冷却ガスを供給するための入口に結合された冷却材源を含む。一実施形態では、加熱手段は、冷却手段に対向して配設される複数の加熱ランプである。
[0008]1つの実施形態としての基板処理装置について説明する。本装置は、チャンバと、上記チャンバに配設され、上部表面上に基板を支持するように構成された環状本体を備える磁気的に駆動される基板支持体と、上記環状本体に結合され、上記基板より下方に配設され且つ光及び熱に対して透過性であるウインドウとを含む。
[0009]別の実施例としての基板処理装置について説明する。本装置は、上方部分及び下方部分を含む内部空間を有するチャンバと、上記内部空間に配設された加熱源及び上記加熱源に対向する冷却プレートと、上記上方部分と上記下方部分との間に上記基板を移動させるように構成された浮揚基板支持体とを含む。
[0010]別の実施形態としての、基板を熱処理するための方法について説明する。本方法は、浮揚基板支持体を配設したチャンバを準備するステップと、上記基板を第1の位置へ移動するステップと、上記第1の位置において上記基板を加熱するステップと、上記基板を能動冷却手段に隣接した第2の位置へ移動するステップと、上記第2の位置において上記基板を冷却するステップと、を含み、上記第1及び第2の位置は、上記チャンバに配設されている。
[0011]別の実施形態としての、基板を熱処理するための方法について説明する。本方法は、第1の温度でチャンバへ基板を準備するステップと、第1の時間周期において第2の温度へ上記基板を加熱するステップと、第2の時間周期において第3の温度へ上記基板を加熱するステップと、上記第2の時間周期において上記第2の温度へ上記基板を冷却するステップと、第3の時間周期において上記第1の温度へ上記基板を冷却するステップと、を含み、上記第2の時間周期は、約2秒より短い。
[0012]本発明の前述した特徴についてより詳細に理解できるように、概略的に前述したような本発明を、幾つかを添付図面に例示しているような実施形態について、より特定的に説明する。しかしながら、添付図面は、本発明の典型的な実施形態のみを例示しているものであり、従って、本発明の範囲をこれらに限定しようとしているものではなく、本発明は、その他の同様の効果を発揮する種々な実施形態を含みうるものであることに注意されたい。
詳細な説明
[0017]理解を容易とするため、添付図面において共通な同一の要素を示すために、可能な場合においては、同一の参照符号を使用している。1つの実施形態において開示されている要素は、特に詳述せずとも、他の実施形態においても有効に使用できるものと考えられたい。
[0018]図1は、急速熱処理チャンバ100の1つの実施形態の簡略斜視図である。本発明を適用して効果のある急速熱処理チャンバの実施例としては、QuantumX plus 及びCENTURA(登録商標)熱処理システムがあり、これらの両者は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手できるものである。この装置は急速熱処理チャンバ内にて使用されるものとして説明するが、ここに説明する実施形態は、1つの処理領域内に少なくとも2つの温度ゾーンが必要とされるような、特に、微粒子の生成を最少とすることが望まれるような、とりわけ、ロボットハンドオフに適した基板支持プラットフォーム、オリエンテーションデバイス、堆積チャンバ、エッチングチャンバ、電気化学処理装置及び化学機械研磨装置の如き他の処理システム及び装置にも使用できるものである。
[0019]この処理チャンバ100は、非接触又は磁気浮揚基板支持体104と、内部空間120を画成する壁部108、底部110及び頂部112を有するチャンバ本体102とを含む。壁部108は、典型的には、(図1にはその一部分が示されている)基板140の入出力を行えるようにするための少なくとも1つの基板アクセスポート148を含む。このアクセスポートは、移送チャンバ(図示せず)又はロードロックチャンバ(図示せず)に結合することができ、また、選択的にスリット弁(図示せず)の如き弁で密閉できる。1つの実施形態では、基板支持体104は、環状であり、チャンバ100は、基板支持体104の内側直径内に配設された放射熱源106を含む。変更できるRTPチャンバ及び使用できる基板支持体の実施例は、2002年3月29日に出願され2004年10月5日に付与された米国特許第6,800,833号明細書、2004年2月27日に出願され2005年9月1日に米国特許出願公開第2005/0191044号として公開された米国特許出願第10/788,979号明細書に開示されており、これら両明細書は、ここにそのまま援用される。
[0020]基板支持体104は、内部空間120内において磁気的に浮揚され回転するように適応されている。基板支持体104は、処理中に垂直に上昇及び下降させられながら回転でき、また、処理前、又は処理中、又は処理後に回転せずに上昇及び下降させることができる。このような磁気浮揚及び/又は磁気回転により、基板支持体の上昇/下降及び/又は回転のために典型的には必要とされる移動部分を無くし又は減少できることにより微粒子の生成を防止でき又は最少とすることができる。
[0021]チャンバ100は、また、赤外線(IR)スペクトルにおける光を含む種々な波長の熱及び光に対して透過性の材料で形成されたウインドウ114を含み、このウインドウ114を通して、放射熱源106からの光子により基板140を加熱することができる。1つの実施形態では、ウインドウ114は、石英材料で形成されるが、サファイアの如き、光に対して透過性の他の材料を使用することもできる。ウインドウ114は、また、このウインドウ114の上方表面に結合される複数のリフトピン144を含むことができ、これらリフトピン144は、基板をチャンバ100へ入れたりチャンバ100から出したり移送できるように、基板140に選択的に接触し支持するように適応されている。これら複数のリフトピン144の各々は、放射熱源106からのエネルギーの吸収を最少とするように構成され、石英材料の如き、ウインドウ114に使用したのと同じ材料で形成することができる。これら複数のリフトピン144は、移送ロボット(図示せず)に結合されたエンドエフェクタが通過できるように、互いに間隔を置いて配置することができる。別の仕方として、エンドエフェクタ及び/又はロボットは、基板140の移送を行えるように、水平及び垂直に移動できるものとすることができる。
[0022]1つの実施形態では、放射熱源106は、冷却材源183に結合された冷却材アセンブリ360(図3に示される)において複数のハニカムチューブ160を含むハウジングで形成されたランプアセンブリを含む。冷却材源183は、水、エチレングリコール、窒素(N)及びヘリウム(He)のうちの1つ又はそれらの組み合わせであってよい。ハウジングは、冷却材源183から冷却材を流すため適当な冷却材チャネルを形成した銅材料又は他の適当な材料で形成することができる。各チューブ160は、リフレクター及び高強度ランプアセンブリ又はIRエミッターを含むことができ、それらにより、ハニカム状パイプ構成体を形成することができる。このようなパイプの稠密六角形配置とすることにより、高い電力密度及び良好な空間分解能を有した放射エネルギー源を与えることができる。1つの実施形態では、放射熱源106は、基板を熱処理する、例えば、基板140上に堆積されたシリコン層をアニールするために十分な放射エネルギーを与える。この放射熱源106は、更に、コントローラ124によって複数のチューブ160に供給される電圧がこれらチューブ160からのエネルギーの半径方向分布を高めるように変更できるような環状ゾーンを備えることができる。基板140の加熱のダイナミック制御は、基板140に亘る温度を測定するように適応された1つ以上の温度センサ117(以下により詳細に説明される)によって行うことができる。
[0023]ステータアセンブリ118は、チャンバ本体102の壁部108を取り囲んでおり、チャンバ本体102の外部に沿ってのこのステータアセンブリ118の高さ位置を制御する1つ以上のアクチュエータアセンブリ122に結合されている。1つの実施形態(図示せず)では、チャンバ100は、チャンバ本体の周りの半径位置に、例えば、チャンバ本体102の周りに約120度の角度の間隔を置いて配設された3つのアクチュエータアセンブリ122を含む。このステータアセンブリ118は、チャンバ本体102の内部空間120内に配設された基板支持体104に磁気的に結合される。基板支持体104は、ローターとして機能し、従って、その基板支持体104をリフト及び/又は回転させる磁気ベアリングアセンブリを形成する磁気部分を備え又は含むことができる。1つの実施形態では、基板支持体104の少なくとも一部分は、基板支持体のための熱交換媒体として適した水、エチレングリコール、窒素(N)、ヘリウム(He)又はそれらの組合せを含みうる流体源186に結合されるトラフ412(図4に示されている)によって部分的に取り囲まれている。ステータアセンブリ118は、また、このステータアセンブリ118の種々な部分及び構成部分を包囲するハウジング190を含むことができる。1つの実施形態では、ステータアセンブリ118は、サスペンションコイルアセンブリ170上に重ねられた駆動コイルアセンブリ168を含む。この駆動コイルアセンブリ168は、基板支持体104を回転及び/又は上昇/下降させるように適応されており、一方、サスペンションコイルアセンブリ170は、処理チャンバ100内で基板支持体104を受動的にセンタリングするように適応させておくことができる。別の仕方として、このような回転及び/又はセンタリング機能は、単一コイルアセンブリを有するステータによって果たすこともできる。
[0024]気圧制御システム164も又、チャンバ本体102の内部空間120に結合される。この気圧制御システム164は、一般的には、チャンバ圧力を制御するためスロットル弁及び真空ポンプを含む。この気圧制御システム164は、付加的に、内部空間120へ処理ガス又は他のガスを与えるためのガス源を含むことができる。この気圧制御システム164は、また、熱堆積プロセスのための処理ガスを分配するように適応させておくこともできる。
[0025]チャンバ100は、また、一般的に、中央処理装置(CPU)130、サポート回路128及びメモリ126を含むコントローラ124をも含む。CPU130は、種々な動作及びサブプロセッサを制御するための工業セッティングに使用することのできる任意の形式のコンピュータプロセッサの1つであってよい。メモリ126又はコンピュータ読み取り可能な媒体は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク又は任意の他の形式のデジタル記憶、ローカル又はリモートの如き容易に入手できるメモリのうちの1つ以上のものであってよく、典型的には、CPU130に結合される。サポート回路128は、従来の仕方でコントローラ124を支援するためCPU130に結合される。これらの回路は、キャッシュ、電力供給源、クロック回路、入力/出力回路、サブシステム等を含む。
[0026]1つの実施形態では、アクチュエータアセンブリ122の各々は、一般的には、チャンバ本体102の壁部108から延長する2つのフランジ134の間に結合される精密親ネジ132を備える。親ネジ132は、このネジが回転されるときにこの親ネジ132にそって軸方向に走行するナット158を有している。ステータ118とナット158との間にカップリング136が結合されており、この親ネジ132が回転されるとき、そのカップリング136がその親ネジ132にそって移動させられ、そのカップリング136との境界でのステータ118の高さ位置が制御されるようになっている。こうして、アクチュエータ122のうちの1つの親ネジ132が他のアクチュエータ122のナット158の間に相対的変位を生ずるように回転されるとき、ステータ118の水平面が、チャンバ本体102の中心軸に対して変化させられる。
[0027]1つの実施形態では、ステッパー又はサーボモータの如きモータ138が、コントローラ124による信号に応答して制御可能な回転を与えるように、親ネジ132に結合される。別の仕方として、ステータ118の直線位置を制御するため、とりわけ、エアシリンダー、油圧シリンダー、ボールネジ、ソレノイド、リニアアクチュエーター及びカムフォロワの如き他の型のアクチュエータ122を使用することができる。
[0028]チャンバ100は、また、一般的に、チャンバ本体102の内部空間120内の基板支持体104(又は基板140)の高さ位置を検出するように適応された1つ以上のセンサ116を含む。これらセンサ116は、チャンバ本体102及び/又は処理チャンバ100の他の部分に結合することができ、また、基板支持体104とチャンバ本体102の頂部112及び/又は底部110との間の距離を示す出力を与えるように適応されており、また、基板支持体104及び/又は基板140の不整列を検出することもできる。
[0029]これら1つ以上のセンサ116は、これらセンサ116からの出力メトリックを受け取って且つ基板支持体104の少なくとも一部分を上昇又は下降させるため1つの信号又は複数の信号をそれら1つ以上のアクチュエータアセンブリ122へ与えるコントローラ124に結合される。コントローラ124は、センサ116から得られる位置メトリックを使用して、各アクチュエータアセンブリ124でのステータ118の高さ位置を調整して、基板支持体104及びその上に載置された基板140の高さ位置及び平面性の両者がRTPチャンバ100及び/又は放射熱源106に対して且つそれらの中心軸に対して調整されうるようにすることができる。例えば、コントローラ124は、基板支持体104の軸不整列を修正するように1つのアクチュエータ122の動作により基板支持体を上昇させるための信号を与えることができ、又は、コントローラは、基板支持体104の同時に垂直方向に移動させるようにすべてのアクチュエータ122へ信号を与えることができる。
[0030]これら1つ以上のセンサ116は、チャンバ本体102内の基板支持体104の近接を検出することのできる超音波型、レーザー型、誘導型、容量型又はその他の型のセンサであってよい。これらセンサ116は、頂部112に近接してチャンバ本体102に結合されてもよいし、又は、壁部108に結合されてもよいが、チャンバ100の外側のステータ118に結合されるが如く、チャンバ本体102内及びチャンバ本体102のまわりの他の場所に結合するのも適当である。1つの実施形態では、1つ以上のセンサ116は、ステータ118に結合されて、壁部108を通して基板支持体104(又は基板140)の高さ及び/又は位置を感知するように適応されることができる。この実施形態では、壁部108は、これら壁部108を通して位置感知を行えるようにより薄い断面を含むことができる。
[0031]チャンバ100は、また、処理前又は処理中又は処理後に基板140の温度を感知するように適応される1つ以上の温度センサ117をも含む。図1に示した実施形態では、この温度センサ117は、頂部112を通して配設されているが、チャンバ本体102内及びチャンバ本体102のまわりの他の場所に配設することもできる。温度センサ117は、光高温計であってよく、一実施例としては、光ファイバプローブを有する高温計であってよい。これらセンサ117は、基板の全直径又は基板の一部分を感知する構成として頂部112に結合するように適応される。これらセンサ117は、基板の直径に実質的に等しい感知領域又は基板の半径に実質的に等しい感知領域を画成するパターンを備えることができる。例えば、複数のセンサ117が、基板の半径又は直径に亘る感知領域を可能とするような半径方向又は直線構成にて頂部112に結合される。1つの実施形態(図示せず)では、複数のセンサ117は、頂部112の中心のまわりから頂部112の周辺部分まで半径方向に延長するラインに配設される。このようにして、基板の半径がセンサ117により監視され、回転中に基板の直径を感知することができるようになる。
[0032]RTPチャンバ100は、また、頂部112に隣接して、その頂部112に結合又は形成された冷却ブロック180を含む。一般的に、冷却ブロック180は、放射熱源106に対向して、そこから間隔を置いて配置されている。この冷却ブロック180は、入口181A及び出口181Bに結合された1つ以上の冷却材チャネル184を備える。この冷却ブロック180は、ステンレス鋼、アルミニウム、ポリマー又はセラミック材料の如き耐プロセス性材料で形成することができる。冷却材チャネル184は、スパイラルパターン、方形パターン、円形パターン又はそれらの組合せを含むことができ、それらチャネル184は、例えば、冷却ブロックを鋳造することにより及び/又は2つ以上の部片を接合することで冷却ブロック180を製造することにより、冷却ブロック180内に一体的に形成することができる。付加的に又は別の仕方として、冷却材チャネル184は、冷却ブロック180に孔あけすることができる。
[0033]ここに説明するように、チャンバ100は、「フェースアップ」配向において基板を受け入れるように適応されている。このフェースアップ配向では、基板の堆積を受ける側又は面が冷却ブロック180の方に向いており、基板の「背面側」が放射熱源106に面するようになる。このような「フェースアップ」配向によれば、放射熱源106からのエネルギーが基板140によってより急速に吸収されるようになる。何故ならば、基板の背面側は、典型的には、基板の堆積面よりも反射性が低いからである。
[0034]冷却ブロック180及び放射熱源106は、それぞれ、内部空間120の上方及び下方部分に配置するように説明したのであるが、これら冷却ブロック180及び放射熱源106の位置を逆にすることもできる。例えば、冷却ブロック180を基板支持体104の内側直径内に配置できるサイズ及び構成とし、放射熱源106を頂部112に結合することができる。このような配置では、石英ウインドウ114は、チャンバ100の上方部分において放射熱源106に隣接するが如くして、放射熱源106と基板支持体104との間に配設することができる。基板140は、その背面側が放射熱源106に面しているときに、より容易に熱を吸収できるのであるが、基板140は、いずれの構成においてもフェースアップ配向又はフェースダウン配向にて配置することができる。
[0035]入口181A及び出口181Bは、弁及び適当な配管により冷却材源182に結合することができ、冷却材源182は、そこに使用される流体の圧力及び/又は流量の制御を行えるようにするためコントローラ124に導通している。この流体は、水、エチレングリコール、窒素(N)、ヘリウム(He)又は熱交換媒体として使用されるその他の流体であってよい。
[0036]1つの実施形態では、チャンバ100は、基板140の熱処理を行うように適応されている。本方法は、放射熱源106に隣接した第1の位置において基板140を加熱するためその第1の位置へ基板140を移動することを含む。それから、加熱された基板140をその上にのせた基板支持体104は、冷却ブロック180の如き能動冷却手段に隣接した第2の位置において基板を冷却するため、その第2の位置へと移動される。
[0037]図2は、基板支持体104の1つの実施形態の斜視図である。この基板支持体104は、放射熱源及びその他のハードウエア(この図には示していない)を受け入れるようなサイズとされた内側直径を有する環状本体220を含む。この基板支持体104は、少なくとも部分的に、磁気リング部分208及び支持部分212からなっている。磁気リング部分208は、基板支持体104をステータ118へ磁気的に結合させるため、少なくとも部分的に、鉄含有材料の如き磁気材料からなっている。この鉄含有材料としては、低炭素鋼、ステンレス鋼があり、これらは、ニッケルめっきの如きめっきを含むことができる。1つの実施形態では、磁気リング部分208は、中心軸のまわりの極性アレイとして配設された複数の永久磁石から構成される。この磁気リング部分208は、付加的に、1つ以上のチャネル223を形成した外側表面を含むことができる。1つの実施形態では、この磁気リング部分208は、1つ以上のチャネル223を形成した「E」形又は「C」形の如き成形プロファイルを含む。
[0038]支持部分212は、一般的には、放射熱源106からのエネルギーの実質的部分が基板140の下方表面と放射熱源106(この図には示されていない)の上方端との間の領域内に含まれるようにして、放射熱源106からの熱及び/又は光の如きエネルギーの損失を最少とするように適応されている。この支持部分212は、磁気リング部分208の上方表面から延長する環状延長部214であってよい。この支持部分212は、支持リング210を含むこともでき、この支持リング210は、1つの実施形態では、基板140に対する整列を行えるようにし、且つ基板140のための座面202を与えるものである。1つの実施形態では、支持リング210の少なくとも一部分は、石英材料の如き、放射熱源106からのエネルギーを透過させるような材料で形成される。別の実施形態では、支持リング210は、焼結できる炭化ケイ素材料を含む。更に又、支持リング210は、窒素を含むことのできる酸化物被膜又は層を含むことができる。ここに使用できる支持リング210の実施例は、2004年2月5日に出願され2005年5月3日に付与された米国特許第6,888,104号明細書に開示されており、この明細書の記載は、そのままここに援用される。
[0039]支持リング210は、一般的には、内側壁部222と、この内側壁部222から内方へ延長する支持リップ219とを含む。内側壁部222は、階段状又は傾斜形状とされ基板よりもわずかに大きなサイズとしておくことができ、基板支持体104が上昇されるときに、基板140の整列及び/又はセンタリングを行う。そのとき、基板を支持リップ219上に載せることができ、基板のセンタリングは、基板支持体104のリフティング及び/又は回転中に維持される。支持リング210は、内側壁部222に対向して支持リング210の上方表面から下方に延長する外側壁部223をも含むことができる。外側壁部223と内側壁部222との間の領域は、環状延長部214に対する支持リング210の整列を行わせるチャネル224を形成する。支持部分212は、締結、ボンディング又は重力作用により磁気リング部分208に結合することができ、処理中に基板140を支持するように適応されている。1つの実施形態では、支持リング210は、エッジリングとして機能し、取り外し及び交換を容易とするため、環状延長部214に重力作用にて取り付けるようにしておくことができる。
[0040]支持部分212は、基板の引っ掻き、化学的又は物理的汚染及び/又は擦傷の可能性を減少させる材料で形成することができ、例えば、その材料としては、炭化ケイ素、ステンレス鋼、アルミニウム、セラミック又は高温ポリマーの如き材料を使用することができる。別の仕方として、支持部分212は、磁気リング部分208と一体的な部材として製造することができる。支持部分212の少なくとも一部分は、処理パラメータに依存して、反射性材料で形成又は被覆することができ、又は、黒体と同様の熱を吸収する黒色材料で形成又は被覆することができる。ここで使用される黒色材料としては、カラーブラックの如きダークカラーがあるが、これらダークカラーの材料又は被覆に限定されるものではないことに注意されたい。より一般的には、黒色材料、黒色表面仕上げ材又は黒色被覆とは、反射性の無いことを意味しており、又は、黒体と同様に熱及び/又は光の如きエネルギーを吸収する材料、表面仕上げ材又は被覆の能力を意味しているものである。
[0041]図3は、図1におけるように、壁部108、底部110及び頂部112を有し内部空間120を画成するチャンバ本体102を含むRTPチャンバ300の別の実施形態の概略側面図である。このチャンバ300は、また、図1におけるように、非接触又は磁気浮揚基板支持体104をも含むが、チャンバ300の外側のステータ及びその他の構成部分は、簡明とするため図示していない。この実施形態では、基板支持体104は、複数のリフトピン144が基板の移送を行うため基板140を支持している交換位置に示されている。
[0042]この実施形態では、磁気リング部分208及び/又は基板支持体104の一部分は、チャンバ本体102の底部110の上方表面にあるか又はその上方表面の近くにあることができ、ウインドウ114は、磁気リング部分208の上方表面及び/又は底部110の上方表面に結合されているか又は別の仕方で支持されている延長部312のうちの1つによって支持されている。延長部312は、基板支持体104の内側直径内に配設された放射熱源106の一部分のまわりの冷却材アセンブリ360の側壁部であってよく、又は、この延長部312は、基板支持体104の内側直径内で冷却材アセンブリ360の外側で底部110の上方表面に結合される支持部材であってもよい。また、アダプタープレート315が、放射熱源106及び/又は冷却材アセンブリ360のためのワイヤ及び他の支持装置の接続を行うため、チャンバ底部110に結合されてもよい。
[0043]支持部分212は、基板支持体104及び/又は磁気リング部分208の上方表面から延長する環状延長部214であってよい。この支持部分212は、また、基板140に対する整列及び座面を与える支持リング210を含むことができる。この支持リング210は、内側壁部222と、この内側壁部222から内方に延長する支持リップ219とを含む。内側壁部222は、基板よりわずかに大きなサイズとされ、基板支持体104が上昇されるとき、基板140の整列及び/又はセンタリングを行う。このとき、基板140は、支持リップ219の上に載せられ、基板のセンタリングは、基板支持体104のリフティング及び/又は回転中に維持される。
[0044]1つの実施形態では、冷却ブロック180は、前述したように冷却流体を循環させるための複数の冷却材チャネル348A−348Cを含む。これら冷却材チャネルは、別々のチャネル又は分離した流路であってよく、又は、これら冷却材チャネルは、冷却材源182に結合される複数の閉流路を備えるものでもよい。1つの実施形態では、冷却ブロック180は、冷却材チャネル348Aによって概ね画成された外側ゾーン、冷却材チャネル348Cによって概ね画成された内側ゾーン及び冷却材チャネル348Bによって概ね画成された中間ゾーンの如き、複数の冷却ゾーンを備える。外側ゾーンは、基板140の周辺に対応し、一方、内側及び中間ゾーンは、基板140の中央部分に対応させることができる。冷却材温度及び/又は冷却材流量は、例えば、基板140の周辺を基板の中央部よりも冷却するように、これらのゾーンにおいて制御される。このようにして、冷却ブロック180は、冷却が必要とされ又は望まれる基板の各領域における冷却の程度を高くしたり低くしたりすることにより、基板140のより高度の温度制御を行うことができる。
[0045]冷却ブロック180は、アルミニウム、ステンレス鋼、ニッケル又は耐プロセスポリマーの如き材料で形成することができる。冷却ブロック180は、反射性材料を含むことができ、又は、基板表面上へ熱を反射するように構成された反射性被覆を含むことができる。別の仕方として、冷却ブロック180は、黒色材料(黒体と実質的に同様にエネルギーを吸収するように構成された黒色材料の如き)を含むことができ、又は、さもなければ基板及び/又は内部空間120からの熱を吸収するように構成された黒色材料又は表面で被覆又は表面仕上げされたものでもよい。また、冷却ブロック180は、熱及び/又は光の形の放射エネルギーの反射又は吸収を促進するように粗面化又は研磨される面又は外側表面332を含むことができる。その外側表面332は、処理パラメータに依存して、反射又は吸収を促進するような被覆又は表面仕上げを含むこともできる。1つの実施形態では、冷却ブロック180は、約0.70と約0.95との間の放射率の如き、1に近い放射率又は放射性を有するように、黒色材料又は黒色材料に似た材料であってよく、さもなければ黒色材料又は黒色材料に似た材料で被覆され又は表面仕上げされたものであってもよい。
[0046]図3に示されるように、内部空間120は、距離Dとして示された温度遷移ゾーン又は処理ゾーンを備えており、この温度遷移ゾーン305は、処理中に基板140がさらされる加熱領域306A及び冷却ゾーン306Bを含む。これら領域306A、306Bは、内部空間120における処理中に基板140の急速加熱及び急速冷却を可能とする。実施例として、加熱領域306Aは、処理パラメータに依存して、処理中に基板140の面の温度を約450℃と約1400℃との間にすることができ、冷却ゾーン306Bは、処理パラメータに依存して、処理中に基板140の面をほぼ室温又はそれより低い温度まで冷却することができる。
[0047]例えば、基板140は、室温、又はロードロックチャンバ、又は他の周辺チャンバ又は移送装置において加熱手段によって与えられる室温より高いある温度にて、RTPチャンバへ移送される。RTPチャンバへの基板の移送前、移送中又は移送後の基板140の温度は、RTPプロセスが開始される第1又は導入温度と称することができる。1つの実施形態では、その第1又は導入温度は、ほぼ室温から約600℃までの間である。基板140がチャンバへ導入されるとき、その基板は、急速に加熱され、基板の温度は、その導入温度から約800℃から約1200℃までの間の第2の温度へと上昇させられる。1つの実施形態では、放射熱源への電力は、加熱ステップ又は第1の加熱周期において基板に亘る第2の温度が約900℃から約1150℃までの温度となるように、センサ117からのフィードバックを使用して、変更され監視される。
[0048]1つの実施形態では、第1の加熱周期は、約50秒と約90秒との間の、例えば、約55秒と約75秒との間の如き、約2分以下において、基板に亘る基板の温度をその導入温度から約900℃から約1150℃までに上昇させるように構成される。基板が、この加熱周期において第2の温度に達した後、第2の加熱周期を含むスパイク又は遷移周期を開始することができる。第2の加熱周期は、第2の温度よりも約25℃から約100℃高い第3の温度へと基板を加熱することを含むことができる。遷移周期は、また、第3の温度より約25℃から約100℃低い第4の温度へと基板の温度を下げることを含む。1つの実施形態では、第3の温度及び第4の温度は、互いに約5℃から約20℃までの範囲内にあり、また、別の実施形態では、第3の温度及び第4の温度は、実質的に等しい。遷移周期は、約0.1秒から約2秒までの、例えば、約0.3秒から約1.8秒までの間の如き、約3秒以下の第3の周期を含むことができる。
[0049]遷移周期の後、基板は、冷却ブロック180に隣接した位置に置かれ、冷却ブロック180及び冷却材源315(以下により詳細に説明する)のうちの一方又は両者によって急速に冷却される。基板は、約2秒から約5秒までの如き、10秒より短い第4の周期において第1又は導入温度に実質的に等しい温度まで冷却される。基板は、室温又は室温に近い温度を含む望ましい温度まで急速に冷却されてもよいし、又は、移送を可能とする室温より高い温度まで冷却されてもよく、これにより、スループットを高めることができる。
[0050]1つの応用例として、ここに説明したようなRTPチャンバによって可能とされる基板の熱処理方法がある。この方法は、室温又は室温より高いある温度での如き、第1の温度で基板140をチャンバへ与え、約2分以下の如き第1の時間周期において、基板を、約900℃から約1150℃までの温度へと加熱することを含む。また、この方法は、約2秒より短い第2の時間周期において第2の温度より約25℃から約100℃高いというような第3の温度へと基板140を加熱することを含む。この方法は、第2の時間周期において基板140を第2の温度まで冷却し、約3秒より短くてよい第3の時間周期において基板を第1の温度まで冷却することも含む。
[0051]前述したような基板の急速加熱及び冷却は、多くの利点を有している。基板の温度は、センサ117からのフィードバックにより絶えず監視されており、基板温度は、冷却ブロック180及び/又は放射熱源106に対して基板を移動することにより、高度に制御することができる。ドーパント拡散制御は、基板の急速且つ制御された加熱及び冷却により高度に行うことができ、デバイス性能を改善することができる。付加的に、加熱及び冷却時間を短くすることにより、スループットを増大させることができる。
[0052]基板の急速加熱及び冷却を可能とするため、基板を、温度遷移ゾーン305において走行させることができる。内部空間120及び領域306A、306Bにおいて基板140を走行させることにより、基板の加熱と冷却との間の移行をより急峻なものとし及び/又は基板の加熱と冷却との間の滞留時間をより短いものとすることができる。1つの実施例では、基板140が処理位置に置かれるとき、温度遷移ゾーン305の加熱領域306Aは、例えば、約0.5インチから約1.5インチまでの間の基板140(又は基板支持体104)に対する走行距離Dを含むことができる。温度遷移ゾーンの冷却領域306Bは、約0.5インチから約1.5インチまでの間の基板140(又は基板支持体104)に対する走行距離Dを含むことができる。1つの実施形態では、放射熱源106と冷却ブロック180との間の如き、内部空間内の基板140(又は基板支持体104)の全走行距離は、約0.75インチと約3.25インチとの間、例えば、約2インチの如き約1.0インチと約2.75インチとの間である。1つの実施形態では、距離Dは、距離Dの約半分を含み、距離Dは、距離Dの約半分を含む。基板支持体140は、基板の平坦度及び基板のその他の物理的特性、並びに基板支持体の機械的特性に依存して、基板140に近接した位置へ基板を上昇させるように構成することができる。基板が適当な平坦度を有しており且つ基板支持体104及びそこに配設された基板が冷却ブロックに実質的に平行であるとすると、基板は、冷却ブロック180の下方表面から約0.005インチから約0.025インチまでの範囲内まで上昇させることができる。基板を冷却ブロックの下方表面へ近接させることにより、基板の急速熱伝送が可能となり、基板のより高度の冷却が可能となる。
[0053]1つの実施形態では、チャンバ300は、冷却材源315に結合されたガスポートを含む。このガスポート310は、チャンバ壁部108の上方部分に形成され又はさもなければその上方部分に結合され且つ、例えば、冷却ブロック180の外側表面332に隣接して冷却領域306Bを通して層流を流すノズルとして形成され又はさもなければそのようなノズルに結合するように適応されたマニホールド又は複数の開口であってよい。より増強された流路とするため、チャンバは、典型的には、ガスポート310に対向して、チャンバ壁部108に形成された出口ポート320を含む。この出口ポート320は、気圧制御システム164(図1)を支援し且つガスポート310によって与えられた過剰ガスを除去するように構成された排気源に結合することができる。冷却材源315は、ヘリウム(He)、窒素(N)又はその他の適当な冷却流体の如き冷却流体を含み、且つ、冷却領域306B内に向けられ又は流すように構成されている。基板が冷却領域306Bに位置しているとき、ガスポート310からの冷却流体により、基板140のより急速な冷却が可能とされる。
[0054]図1に関して説明したように、放射熱源106は、適当な温度を維持し及び/又は放射熱源106のハニカムチューブ160を冷却するように適当された冷却材アセンブリ360に結合される。この冷却材アセンブリ360は、流体を収容するように適応された底部314及び側壁部312を含む。この底部314は、水、エチレングリコール又はその他の適当な冷却流体であってよい冷却材源183からの冷却流体を供給し排除するように構成されたポート322及び324を含む。この冷却材アセンブリ360は、また、冷却流体及び放射熱源106からの熱伝送を増強するため(図4に関して説明されるように)複数の流体チャネルをそこに含めることができる。
[0055]図4は、処理位置にあるRTPチャンバ400の別の実施形態の部分側面図であり、以下に冷却材アセンブリ360の詳細について説明する。冷却材アセンブリ360は、他の図に示されるように、底部322及び側壁部312を含み、また、複数のハニカムチューブ160を分離する複数の隔壁426を備える本体427も含む。この本体427は、また、底部322に対向してそれらの間に空洞446を形成するプレート423をも備えており、この空洞446は、第1の冷却材源485Aからの冷却材を収容するように構成されており、このプレート423は、複数のハニカムチューブ160から空洞446を分離している。空洞446は、底部322に結合されたポート324によって冷却材源485Aと連通しており、ポート324は、プレナムポート415によって空洞446と流体連通しているプレナム445と連通している。プレート423は、冷却流体に触れる表面積を増大して、放射熱源106からの熱の放散を高めるため、複数のチャネル又は溝428を形成しておくことができる。
[0056]動作において、冷却流体は、第1の源485Aからポート322を通して空洞446へ供給され、その冷却材は、少なくとも部分的にその空洞446を満たす。冷却材は、その空洞へ連続して流れ込み、熱を放散させ、そして、プレナムポート415を通してプレナム445へとその空洞を出て行く。冷却材は、ポート324を通してプレナム445から出されて、第1の源485Aへと戻すことができる。冷却材は、空洞446を通して循環させる前に、補充され及び/又は冷却することができる。このようにして、放射熱源106の温度は、制御される。
[0057]冷却材アセンブリ360は、また、複数の隔壁426の少なくとも一部分に複数の流体チャネル425を形成しておくこともできる。これら流体チャネル425は、第2の流体源485Bからの水、エチレングリコール、窒素(N)、ヘリウム(He)又は熱交換媒体として使用される他の流体の如き冷却流体を流すように構成されている。これら流体チャネル425は、少なくとも1つの入口及び出口(図示せず)を通して第2の流体源485Bへ結合される。第1及び第2の源485A、485Bからの冷却材の流れにより、放射熱源106の高度の温度制御を行うことができる。
[0058]チャンバ100は、また、支持部材210及びチャネル又はトラフ412に配設される環状本体220に結合された環状延長部212を有する磁気浮揚又は非接触基板支持体104を含む。トラフ412は、冷却材をこのトラフ412へ供給して、処理中に、放射熱源106から伝送される熱及び/又は環状本体220の回転によって生ずる熱を放散させるようにするため、ポート420を通して流体源186に結合される。流体源186は、水、エチレングリコール、窒素(N)、ヘリウム(He)又は熱交換媒体として使用される他の流体の如き冷却流体を含むことができる。基板支持体104の環状本体220と放射熱源106との間の絶縁を行うため、冷却材アセンブリ360の側壁部312とトラフ412の側壁部との間にギャップ418を形成することもできる。
[0059]本発明の実施形態について前述したのであるが、本発明の基本的範囲から逸脱せずに、本発明の他の別の実施形態を考えることができるものであり、従って、本発明の範囲は、特許請求の範囲によって決定される。
急速熱処理(RTP)チャンバの1つの実施形態の簡略斜視図である。 基板支持体の1つの実施形態の斜視図である。 RTPチャンバの別の実施形態の概略側面図である。 RTPチャンバの別の実施形態の部分概略側面図である。
符号の説明
100…チャンバ、102…チャンバ本体、104…基板支持体、106…加熱源、108…壁部、110…底部、112…頂部、114…ウインドウ、116…センサ、117…センサ、118…ステータ、120…内部空間、122…アクチュエータアセンブリ、124…コントローラ、126…メモリ、128…サポート回路、130…CPU、132…親ネジ、134…フランジ、136…カップリング、138…モータ、140…基板、144…リフトピン、148…アクセスポート、158…ナット、160…チューブ、164…制御システム、168…コイルアセンブリ、170…コイルアセンブリ、180…冷却ブロック、181A…入口、181B…出口、182…冷却材源、183…冷却材源、184…チャネル、184…流体源、190…ハウジング、202…座面、208…リング部分、209…直径、210…支持リング、212…支持部分、214…環状延長部、219…支持リップ、220…本体、222…内側壁部、223…チャネル、224…チャネル、300…チャンバ、305…ゾーン、306A…加熱領域、306B…冷却領域、310…ガスポート、312…延長部、314…底部、315…プレート、320…出口ポート、322…ポート、324…ポート、332…外側表面、348A…冷却材チャネル、348B…冷却材チャネル、348C…冷却材チャネル、360…冷却材アセンブリ、400…チャンバ、412…トラフ、415…ポート、418…ギャップ、420…ポート、423…プレート、425…流体チャネル、426…隔壁、427…本体、428…溝、445…プレナム、446…空洞、485A…冷却材源、485B…流体源

Claims (17)

  1. 上方部分及び下方部分を含む内部空間を有するチャンバと、
    上記上方部分に連通された冷却材源と上記内部空間の上記下方部分に配設された加熱源と、
    基板の外縁を支持し、上記基板の主な表面と、上記冷却材源や上記加熱源との接触を防ぎながら、上記基板を冷却する上記冷却材源、上記基板を加熱する上記加熱源との間で上記基板を移動させる浮揚基板支持体と、
    上記加熱源と上記基板との間に配設され、光を透過するウインドウと、
    を備える基板処理装置。
  2. 上記冷却材源は、冷却プレートを備える、請求項1に記載の装置。
  3. 上記冷却プレートは、黒色材料を備える、請求項2に記載の装置。
  4. 上記冷却プレートは、0.70〜0.95の放射率を有する、請求項2に記載の装置。
  5. 上記冷却材源は、上記内部空間の上記上方部分にガスを導くガスポートを含む、請求項1に記載の装置。
  6. 上記冷却材源は、内部に冷却材を流す為に少なくとも一つの流体チャネルを備える、請求項1に記載の装置。
  7. 上記冷却材源は、内部に冷却材を流す為に少なくとも一つのチャネルを有する冷却プレートと、上記内部空間の上記上方部分にガスを導くガスポートとを備える、請求項1に記載の装置。
  8. 上記ウインドウは、その上方表面から延びる複数のリフトピンを含む、請求項1に記載の装置。
  9. 上方部分及び下方部分を含む内部空間を有するチャンバと、
    上記内部空間の上記下方部分に配設された、基板を加熱する加熱源と、
    上記内部空間の上記上方部分に配設された、上記基板を冷却する冷却プレートと、
    上記内部空間に少なくとも一部が配設された磁気的に駆動される基板支持体であって、環状本体を備え、上記環状本体は、その上方表面に上記基板の外縁を支持し、上記基板の主な表面と、上記冷却プレートや上記加熱源との接触を防ぎながら、上記加熱源と上記冷却プレートとの間で上記基板を移動させるよう構成される、上記基板支持体と、
    上記加熱源と上記基板との間に配設され、光を透過するウインドウと、
    を備える基板処理装置。
  10. 上記冷却プレートは、黒色材料を含む、請求項9に記載の装置。
  11. 上記冷却プレートは、冷却材を流すための少なくとも1つの流体チャネルを備える、請求項9に記載の装置。
  12. 上記内部空間の上記上方部分にガスを導くガスポートを更に備える、請求項9に記載の装置。
  13. 上記ウインドウは、その上方表面から延びる複数のリフトピンを含む、請求項9に記載の装置。
  14. 内部空間を有するチャンバであって、上記内部空間に冷却プレートと加熱源とが配設され、上記加熱源は上記冷却プレートに対向する、チャンバと、
    基板を上記加熱源と上記冷却プレートの間で動かすために、上記チャンバ内に配設され、環状本体を備える磁気浮揚基板支持体であって、上記環状本体はその上方表面に上記基板の外縁を支持し、上記基板の主な表面と、上記冷却プレートや上記加熱源との接触を防ぎながら、上記基板を、上記基板を加熱する上記加熱源と上記基板を冷却する上記冷却プレート付近に移動させる、上記基板支持体と、
    上記加熱源と上記冷却プレートとの間に配設されたウインドウと、
    を備える、基板処理装置。
  15. 上記加熱源は、上記冷却プレートに対向した関係で配設される、請求項14に記載の装置。
  16. 上記加熱源は、上記内部空間の下方部分に配設される、請求項14に記載の装置。
  17. 上記ウインドウは、紫外光を透過し、その上方表面から延びる複数のリフトピンを含む、請求項14に記載の装置。
JP2007265808A 2006-12-14 2007-10-11 副処理平面を使用する急速伝導冷却 Active JP5473206B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/611,061 US7378618B1 (en) 2006-12-14 2006-12-14 Rapid conductive cooling using a secondary process plane
US11/611061 2006-12-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013207395A Division JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Publications (3)

Publication Number Publication Date
JP2008166706A JP2008166706A (ja) 2008-07-17
JP2008166706A5 JP2008166706A5 (ja) 2010-10-14
JP5473206B2 true JP5473206B2 (ja) 2014-04-16

Family

ID=39312914

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007265808A Active JP5473206B2 (ja) 2006-12-14 2007-10-11 副処理平面を使用する急速伝導冷却
JP2013207395A Pending JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013207395A Pending JP2014057073A (ja) 2006-12-14 2013-10-02 副処理平面を使用する急速伝導冷却

Country Status (6)

Country Link
US (5) US7378618B1 (ja)
EP (1) EP1933368A3 (ja)
JP (2) JP5473206B2 (ja)
KR (4) KR20080055608A (ja)
CN (2) CN101207010A (ja)
TW (3) TWI401746B (ja)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007047163A2 (en) * 2005-10-04 2007-04-26 Applied Materials, Inc. Methods and apparatus for drying a substrate
JP4937278B2 (ja) * 2006-03-08 2012-05-23 ラム・リサーチ・アクチエンゲゼルシヤフト 板状物品の流体処理用装置
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
TWI381452B (zh) * 2008-08-29 2013-01-01 Applied Materials Inc 用於擴大溫度高溫測定之方法與設備
US8217317B2 (en) * 2008-09-10 2012-07-10 Applied Materials, Inc. Apparatus with strain release feature for high temperature processes
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
JP5441243B2 (ja) * 2009-02-24 2014-03-12 信越石英株式会社 赤外線透過性部材の熱処理用石英ガラス治具
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
US8062384B2 (en) * 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
WO2010150590A1 (ja) * 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130074358A1 (en) * 2011-09-24 2013-03-28 Quantum Technology Holdings Limited Heated body with high heat transfer rate material and its use
CN103088308B (zh) * 2011-11-01 2016-07-13 无锡华润上华科技有限公司 除气腔内的温度监控装置
CN103858214B (zh) * 2011-11-03 2017-02-22 应用材料公司 快速热处理腔室
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US10124445B2 (en) * 2012-01-18 2018-11-13 Halliburton Energy Services, Inc. Heat containment apparatus
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CH706662A1 (de) * 2012-06-14 2013-12-31 Oc Oerlikon Balzers Ag Transport- und Übergabevorrichtung für scheibenförmige Substrate, Vakuumbehandlungsanlage und Verfahren zur Herstellung behandelter Substrate.
US9200965B2 (en) * 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9606587B2 (en) * 2012-10-26 2017-03-28 Google Inc. Insulator module having structure enclosing atomspheric pressure gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US10403521B2 (en) 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
SG11201508481PA (en) * 2013-05-10 2015-11-27 Applied Materials Inc Dome cooling using compliant material
WO2014186085A1 (en) 2013-05-15 2014-11-20 Applied Materials, Inc. Diffuser for lamp heating assembly
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
CN105074869A (zh) * 2013-06-26 2015-11-18 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9385004B2 (en) * 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US9430006B1 (en) 2013-09-30 2016-08-30 Google Inc. Computing device with heat spreader
KR102257567B1 (ko) * 2013-09-30 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 캡슐화된 광 배리어를 갖는 지지체 링
US8861191B1 (en) 2013-09-30 2014-10-14 Google Inc. Apparatus related to a structure of a base portion of a computing device
KR102377903B1 (ko) * 2013-11-06 2022-03-23 어플라이드 머티어리얼스, 인코포레이티드 졸 겔 코팅된 지지 링
KR102258243B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102359295B1 (ko) * 2013-12-06 2022-02-08 세메스 주식회사 기판 가열 유닛
KR102258248B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258247B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258244B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258246B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258245B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
JP6219178B2 (ja) * 2014-01-20 2017-10-25 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
US9863043B2 (en) 2014-05-27 2018-01-09 Applied Materials, Inc. Window cooling using compliant material
US9442514B1 (en) 2014-07-23 2016-09-13 Google Inc. Graphite layer between carbon layers
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102413455B1 (ko) * 2015-01-02 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478455B1 (en) * 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6655996B2 (ja) * 2016-01-19 2020-03-04 東京エレクトロン株式会社 基板温調装置及び基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6513041B2 (ja) 2016-02-19 2019-05-15 信越半導体株式会社 半導体ウェーハの熱処理方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107557871B (zh) * 2016-07-01 2019-10-25 上海微电子装备(集团)股份有限公司 激光退火装置及方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
WO2018017587A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Heating modulators to improve epi uniformity tuning
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR20190035762A (ko) 2016-08-15 2019-04-03 리텔퓨즈 인코퍼레이티드 배터리 관리 시스템을 구비한 플렉서블 정온도 계수 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10661223B2 (en) 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102406942B1 (ko) * 2019-09-16 2022-06-10 에이피시스템 주식회사 엣지 링 및 이를 포함하는 열처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TWI717246B (zh) * 2020-03-30 2021-01-21 群翊工業股份有限公司 具有溫控模組的烤箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11915953B2 (en) * 2020-04-17 2024-02-27 Applied Materials, Inc. Apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR102510488B1 (ko) * 2020-05-20 2023-03-17 세메스 주식회사 기판 가열 유닛
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102615845B1 (ko) * 2020-11-19 2023-12-22 세메스 주식회사 지지 유닛 및 기판 처리 장치
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102512991B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512992B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102569912B1 (ko) * 2020-12-29 2023-08-28 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230304741A1 (en) * 2022-03-25 2023-09-28 Tokyo Electron Limited Magnetic Annealing Equipment and Method
US11649855B1 (en) * 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62282437A (ja) * 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63269515A (ja) * 1987-04-27 1988-11-07 Nikon Corp 光照射装置
JPH01123321A (ja) 1987-11-09 1989-05-16 Matsushita Electric Ind Co Ltd データ処理装置
JPH01276623A (ja) * 1988-04-27 1989-11-07 Mitsubishi Electric Corp ビームアニール装置
JPH0613324A (ja) * 1992-06-26 1994-01-21 Fujitsu Ltd 真空加熱装置
JPH0778831A (ja) * 1993-06-25 1995-03-20 Sony Corp 熱処理方法
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH08316157A (ja) * 1995-05-23 1996-11-29 Souei Tsusho Kk 熱処理炉
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
US5818137A (en) 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
JPH10251853A (ja) * 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US6157106A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH111775A (ja) * 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6323496B1 (en) 1999-04-19 2001-11-27 Applied Materials, Inc. Apparatus for reducing distortion in fluid bearing surfaces
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
KR20020003425A (ko) 2000-06-29 2002-01-12 서정은 인터넷을 이용한 다자간 판매방법 및 시스템
JP2002134592A (ja) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP3869655B2 (ja) * 2000-12-28 2007-01-17 大日本スクリーン製造株式会社 ランプアニール装置
JP4765169B2 (ja) 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP3660254B2 (ja) * 2001-02-23 2005-06-15 大日本スクリーン製造株式会社 基板の熱処理装置
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US6809035B2 (en) 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
JP2004079677A (ja) * 2002-08-13 2004-03-11 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003142468A (ja) * 2002-10-08 2003-05-16 Mitsubishi Electric Corp 化学気相成長装置
DE10260672A1 (de) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
US6888104B1 (en) 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US8658945B2 (en) 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP2005303082A (ja) * 2004-04-13 2005-10-27 Tokyo Electron Ltd 基板載置台および熱処理装置
JP4733405B2 (ja) * 2005-02-22 2011-07-27 株式会社国際電気セミコンダクターサービス 熱処理装置及び熱処理方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Also Published As

Publication number Publication date
KR101381313B1 (ko) 2014-04-04
US20080142497A1 (en) 2008-06-19
TWI545655B (zh) 2016-08-11
KR101464931B1 (ko) 2014-11-25
US20110008740A1 (en) 2011-01-13
KR20110079597A (ko) 2011-07-07
US20080141556A1 (en) 2008-06-19
US8658947B2 (en) 2014-02-25
TW201322338A (zh) 2013-06-01
KR20080055608A (ko) 2008-06-19
TWI401746B (zh) 2013-07-11
CN103943537A (zh) 2014-07-23
EP1933368A3 (en) 2008-08-27
KR20130114628A (ko) 2013-10-17
TW201351507A (zh) 2013-12-16
JP2008166706A (ja) 2008-07-17
US7378618B1 (en) 2008-05-27
US8227729B2 (en) 2012-07-24
KR101168795B1 (ko) 2012-07-25
US9209049B2 (en) 2015-12-08
US20140199786A1 (en) 2014-07-17
EP1933368A2 (en) 2008-06-18
KR20100014208A (ko) 2010-02-10
US20120270166A1 (en) 2012-10-25
TW200826199A (en) 2008-06-16
CN103943537B (zh) 2017-08-11
US7812286B2 (en) 2010-10-12
CN101207010A (zh) 2008-06-25
TWI407511B (zh) 2013-09-01
JP2014057073A (ja) 2014-03-27

Similar Documents

Publication Publication Date Title
JP5473206B2 (ja) 副処理平面を使用する急速伝導冷却
EP2311076B1 (en) Rapid thermal processing chamber with shower head
US8939760B2 (en) Spike anneal residence time reduction in rapid thermal processing chambers
KR20160022885A (ko) 열 챔버 어플리케이션들 및 프로세스들을 위한 광 파이프 윈도우 구조
US11492698B2 (en) Optically transparent pedestal for fluidly supporting a substrate
US10327284B2 (en) Diffuser for lamp heating assembly

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100827

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100827

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130520

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130702

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131002

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20131009

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140204

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5473206

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250