KR101464931B1 - 2차 프로세스 단계를 사용하는 급속 전도 냉각 - Google Patents

2차 프로세스 단계를 사용하는 급속 전도 냉각 Download PDF

Info

Publication number
KR101464931B1
KR101464931B1 KR1020130113984A KR20130113984A KR101464931B1 KR 101464931 B1 KR101464931 B1 KR 101464931B1 KR 1020130113984 A KR1020130113984 A KR 1020130113984A KR 20130113984 A KR20130113984 A KR 20130113984A KR 101464931 B1 KR101464931 B1 KR 101464931B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
rti
support
substrate support
Prior art date
Application number
KR1020130113984A
Other languages
English (en)
Other versions
KR20130114628A (ko
Inventor
쿠르쉬드 소라브지
알렉산더 엔. 레너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130114628A publication Critical patent/KR20130114628A/ko
Application granted granted Critical
Publication of KR101464931B1 publication Critical patent/KR101464931B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Furnace Details (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

기판을 열처리하기 위한 방법 및 장치가 설명되어 있다. 상기 장치는 자기 구동에 의해 선형 및/또는 회전가능하게 이동되도록 구성되는 기판 지지대를 포함한다. 기판 지지대는 또한 챔버의 일부분에 가열 영역을 제공하기 위한 복사 열 소오스를 수납하도록 구성된다. 냉각 판을 포함하는 능동 냉각 영역은 가열 영역의 반대편에 배치된다. 기판은 상기 두 영역 사이로 이동하여 기판의 급속 제어되는 가열 및 냉각을 용이하게 한다.

Description

2차 프로세스 단계를 사용하는 급속 전도 냉각 {RAPID CONDUCTIVE COOLING USING A SECONDARY PROCESS PLANE}
본 발명의 실시예들은 일반적으로 반도체 기판을 프로세싱하기 위한 방법 및 장치에 관한 것이다. 특히, 본 발명은 반도체 기판을 열 처리하기 위한 방법 및 장치에 관한 것이다.
집적 회로들은 단일 칩 상에 수백만의 트랜지스터, 커패시터 및 레지스터를 포함할 수 있는 복합 장치로 진화되어 왔다. 칩 설계의 진화는 정밀한 제작 공정을 점점 더 요구하는 보다 빠른 회로 및 보다 큰 회로 밀도를 계속해서 필요로 하고 있다. 빈번하게 사용되는 하나의 제작 프로세스는 이온 주입(ion implantation)이다.
이온 주입은 반도체 기판 상에 트랜지스터 구조물을 형성하는데 특히 중요하며 칩 제작 동안에 여러 번 반복될 수 있다. 이온 주입 동안에, 통상적으로 실리콘 재료 및/또는 실리콘 함유 필름을 포함하는 반도체 기판은 통상적으로 도펀트(dopant)라 불리는 하전된(electrically charged) 이온 비임에 의해 충돌된다. 이온 주입은 특정 수준의 전기 성능을 달성하기 위해 도펀트가 주입되는 재료의 특성을 변경시킨다. 도펀트 농도는 기판 상에 투사된 에너지의 비임 내의 이온수 및 기판이 비임을 통과하는 횟수를 제어함으로써 결정될 수 있다. 도펀트는 도펀트가 소정 깊이의 필름 내측으로 주입되거나 실리콘 재료를 관통할 수 있게 하는 에너지 레벨로 가속된다. 통상적으로 비임의 에너지 레벨은 도펀트가 놓이는 깊이를 결정한다.
이온 주입 동안에, 주입된 필름은 내부 응력을 높은 레벨로 발전시킬 수 있다. 그러한 응력을 제거하고 주입된 필름의 결과적인 특성을 더욱 더 제어하기 위해서, 필름은 통상적으로 어닐링과 같은 열 프로세스에 놓이게 된다. 이온 주입 이후의 어닐링은 통상적으로, 실온으로부터 대략 450℃ 내지 약 1400℃로 기판을 가열할 수 있는, 매우 짧은 시간의 정밀 제어되는 열 사이클 하에 기판이 놓이게 되는 급속 열 프로세싱(RTP) 챔버에서 수행된다. 통상적으로 RTP는 주입 동안에 유도되는 응력을 최소화하거나 제거하며, 도펀트 확산의 제어에 의해 필름의 전기적 특성을 변경시키는 것과 같이 필름의 특성을 추가로 변경시키는데 사용될 수 있다.
RTP 가열 방법은 일반적으로, 램프 및/또는 저항 가열 소자와 같은 복사 열 소오스로부터 가열하는 단계를 포함한다. 종래의 RTP 시스템에 있어서, 기판은 소정의 온도로 가열되며, 그 후에 복사 열 소오스가 차단되어 기판을 냉각시킨다. 몇몇 시스템에 있어서, 냉각을 개선하기 위해 가스가 기판 상으로 유입될 수 있다. 그러나, 프로세싱 파라미터들이 계속해서 진화함에 따라, RTP 동안의 가열 균일도 및 온도 램프업(ramp-up)은 보다 근접한 모니터링과 제어를 필요로 하고 있다. 종래의 RTP 챔버가 기판을 소정의 온도로 급속히 가열시키기 위해 복사 열 소오스에 의존하였지만, 기판이 가열 균일도를 개선하기 위한 냉각의 필요시 및/또는 기판의 급속 냉각될 필요가 있는 경우에는 개선이 요구되고 있다. 예를 들어, 상당한 온도 구배가 기판 전체에 걸쳐 존재한다면, 기판은 소성 변형 또는 구부러질 수 있는데, 이는 기판에서 수행되는 다음 프로세스에 치명적일 수 있다. 또한, 기판의 신속한 냉각 및/또는 개선된 온도 제어는 보다 높은 생산성과 개선된 도펀트 균일도를 초래할 수 있다.
그러므로, 본 기술 분야에 필요한 것은 가열 균일도에 대한 개선된 제어를 가지는, 반도체 기판의 급속 가열 및 냉각을 위한 장치와 방법이다.
본 발명은 일반적으로 기판을 열적으로 프로세싱하는 방법 및 장치를 기술한다. 장치는 내부에 배열되는 능동 가열 수단 및 능동 냉각 수단을 갖춘 챔버를 포함한다. 상기 챔버는 또한 상기 가열 수단과 냉각 수단 사이에서 이동할 수 있는 기판 지지대를 포함한다. 일 실시예에서, 상기 능동 냉각 수단은 내부에 배열되는 적어도 하나의 유체 채널을 갖춘 냉각 판이다. 다른 실시예에서, 능동 냉각 수단은 냉각 가스를 챔버의 내측 공간에 공급하기 위한 입구에 연결되는 냉각제 소오스를 포함한다. 일 실시예에서, 상기 가열 수단은 냉각 수단의 반대편에 배열되는 복수의 가열 램프이다.
일 실시예에서, 기판 프로세싱 장치가 설명된다. 상기 장치는 챔버, 상기 챔버 내에 배열되고 상기 기판을 상부 표면 상에 지지하도록 구성되는 환형 몸체를 포함하는 자기 구동 기판 지지대, 및 상기 환형 몸체에 연결되고 상기 기판의 아래에 배열되며 광과 열을 투과시키는 윈도우(window)를 포함한다.
다른 실시예에서, 기판 프로세싱 장치가 설명된다. 상기 장치는 상부와 하부를 포함하는 내측 공간을 구비한 챔버, 내측 공간에 배열되는 냉각 판과 열 소오스, 및 상부와 하부 사이로 기판을 이동시키도록 구성되는 부양식 기판 지지대를 포함하고 상기 냉각 판은 상기 열 소오스에 대향한다.
또 다른 실시예에서, 기판을 열적으로 처리하는 방법이 설명된다. 상기 방법은 내부에 배열되는 부양식 기판 지지대를 갖춘 챔버를 제공하는 단계, 기판을 제 1 위치로 이동시키는 단계, 제 1 위치에 있는 기판을 가열하는 단계, 능동 냉각 수단에 인접한 제 2 위치로 기판을 이동시키는 단계, 및 제 2 위치에 있는 기판을 냉각시키는 단계를 포함하며, 상기 제 1 위치 및 제 2 위치는 챔버 내부에 배열된다.
또 다른 실시예에서, 기판을 열적으로 처리하는 방법이 설명된다. 상기 방법은 제 1 온도의 챔버에 기판을 제공하는 단계, 제 2 온도로 제 1 시간 주기로 기판을 가열하는 단계, 제 3 온도로 제 2 시간 주기로 기판을 가열하는 단계, 제 2 온도로 제 2 시간 주기로 기판을 냉각시키는 단계, 및 제 1 온도로 제 3 시간 주기로 기판을 냉각시키는 단계를 포함하며, 상기 제 2 시간 주기는 약 2 초 미만이다.
본 발명의 전술한 특징들이 상세히 이해될 수 있도록, 위에서 간단히 요약된 본 발명들은 첨부 도면에 일부 도시되어 있는 실시예들을 참조하여 더욱 상세히 설명될 수 있다. 그러나, 첨부 도면들은 본 발명의 통상적인 실시예들만을 도시하고 다른 동등한 유효한 실시예들도 포함할 수 있기 때문에, 첨부 도면들을 본 발명의 범주를 한정하는 것으로 이해해서는 않된다는 것이 주의되어야 한다.
이해를 촉진시키기 위해, 도면에서 공통적인 동일한 소자를 나타내기 위해 가능한 한 동일한 도면 부호가 사용되었다. 일 실시예에 설명된 구성 요소들은 특별한 언급 없이도 다른 실시예에 유리하게 사용될 수 있다고 이해해야 한다.
도 1은 급속 열 프로세싱(RTP) 챔버의 일 실시예의 단순화한 등각도이다.
도 2는 기판 지지대의 일 실시예를 도시하는 등각도이다.
도 3은 RTP 챔버의 다른 실시예를 도시하는 개략적인 측면도이다.
도 4는 RTP 챔버의 또 다른 실시예를 도시하는 개략적인 부분 측면도이다.
도 1은 급속 열 프로세싱 챔버(100)의 일 실시예를 도시하는 간단화한 등각도이다. 본 발명에 유리하게 채용될 수 있는 급속 열 프로세싱 챔버의 예로는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼즈 인코포레이티드로부터 모두 이용가능한 콴텀 엑스 플러스(Quantum X plus) 및 센츄라(CENTURA®) 열 프로세싱 시스템이다. 상기 장치는 급속 열 프로세싱 챔버 내에 사용되는 것으로 설명되었지만, 본 발명에서 설명된 실시예들은 여러 예들 중에서도 특히 입자 발생의 최소화가 바람직한, 로봇 핸드오프용으로 채용되는 기판 지지 플랫폼, 방위설정 장치, 증착 챔버, 에칭 챔버, 전기화학적 프로세싱 장치 및 화학 기계적 연마 장치와 같이, 하나의 프로세싱 영역 내에 적어도 두 개의 온도 영역이 바람직한 다른 프로세싱 시스템 및 장치에 이용될 수 있다.
프로세싱 챔버(100)는 무접촉 또는 자기 부양식 기판 지지대(104), 및 내측 공간(120)을 형성하는 벽(108), 바닥(110)과 상부(112)를 갖춘 챔버 몸체(102)를 포함한다. 벽(108)은 통상적으로, 기판(140; 그 일부분이 도 1에 도시됨)의 진출입을 촉진하기 위한 적어도 하나의 기판 접근 포트(148)를 포함한다. 기판 접근 포트는 이송 챔버(도시되지 않음) 또는 로드록 챔버(도시되지 않음)에 연결될 수 있고 슬릿 밸브(도시되지 않음)와 같은 밸브로 선택적으로 밀봉될 수 있다. 일 실시예에서, 기판 지지대(104)는 환형이며 챔버(100)는 기판 지지대(104)의 내측 직경부분에 배열되는 복사 열 소오스(106)을 포함한다. 변경 가능한 RTP 챔버 및 사용 가능한 기판 지지대의 예들은 2002년 3월 29일자로 출원되어 2004년 10월 5일자로 특허허여된 미국 특허 제 6,800,833호, 및 2004년 2월 27일자로 미국 특허 출원 번호 10/788,979로 출원되어 2005년 9월 1일자로 공개된 미국 공개 특허 2005/0191044호에서 설명되고, 이들은 모두 전체적으로 본 발명에 참조된다.
기판 지지대(104)는 내측 공간(120) 내에서 자기적으로 부양 및 회전되도록 구성된다. 기판 지지대(104)는 프로세싱 동안에 수직으로 상승 및 하강하면서 회전할 수 있으며, 또는 프로세싱 이전에, 동안에 또는 그 후에 회전 없이 상승 또는 하강될 수 있다. 이러한 자기 부양 및/또는 자기 회전은 기판 지지대의 상승/하강 및/또는 회전에 통상적으로 요구되는 이동 부품의 제거 또는 감소로 인해 입자 생성을 방지 또는 감소시킨다.
챔버(100)는 또한, 적외선(IR) 스펙트럼 내에 광을 포함할 수 있는 다수의 파장의 광 및 열을 투과하는 재료로 제조되는 윈도우(114)를 포함하며, 상기 윈도우를 통해 복사 열 소오스(106)로부터의 양자가 기판(140)을 가열할 수 있다. 일 실시예에서, 윈도우(114)는 석영 재료로 제조되지만 사파이어와 같이 광을 투과하는 다른 재료가 사용될 수도 있다. 윈도우(114)는 윈도우(114)의 상부면에 연결되는 복수의 리프트 핀(144)을 또한 포함할 수 있으며, 상기 리프트 핀은 기판(140)을 선택적으로 지지 및 접촉도록 구성되어 챔버(100)의 내측 및 외측으로 기판의 이송을 촉진시킨다. 복수의 리프트 핀(144) 각각은 복사 열 소오스(106)로부터 에너지의 흡수를 최소화하도록 구성되며 석영 재료와 같은 윈도우(114)에 사용된 것과 동일한 재료로 제조될 수 있다. 복수의 리프트 핀(144)은 서로로부터 반경방향으로 이격되게 위치되어서 이송 로봇(도시되지 않음)에 연결된 엔드 이펙터의 통행을 용이하게 할 수 있다. 대안적으로, 엔드 이펙터 및/또는 로봇은 수평 및 수직 이동이 가능해 기판(140)의 이송을 용이하게 할 수 있다.
일 실시예에서, 복사 열 소오스(106)는 냉각제 소오스(183)에 연결된 냉각제 조립체(360: 도 3에 도시됨) 내에 복수의 벌집형 튜브(160)를 포함하는 하우징으로부터 형성되는 램프 조립체를 포함한다. 냉각제 소오스(183)는 물, 에틸렌 글리콜, 질소(N2), 및 헬륨(He) 중의 어느 하나 또는 이들의 조합일 수 있다. 하우징은 냉각제 소오스(183)로부터의 냉각제 유동을 위해 내부에 형성되는 적합한 냉각제 채널을 갖는 구리 재료 또는 다른 적합한 재료로 제조될 수 있다. 각각의 튜브(160)는 반사기 및 벌집형 파이프 배열체로 형성되는 고-세기 램프 조립체 또는 IR 이미터를 포함할 수 있다. 이러한 밀집 육방형 파이프 배열은 고동력 밀도 및 양호한 공간적 해상도를 갖는 복사 에너지 소스를 제공한다. 일 실시예에서, 복사 열 소오스(106)는 예를 들어, 기판(140) 상에 배열되는 실리콘 층을 어닐링하는 것과 같이, 기판을 열적으로 프로세싱하기에 충분한 복사 에너지를 제공한다. 복사 열 소오스(106)는 환형 영역을 더 포함하며, 제어기(124)에 의해 복수의 튜브(160)로 공급되는 전압이 튜브(160)로부터의 방사상 에너지 분포를 개선하도록 변경될 수 있다. 기판(140) 가열의 동적 제어는 기판(140) 전체의 온도를 측정하도록 구성된 하나 이상의 온도 센서(117)(이후에 더 상세히 설명됨)에 의해 실시될 수 있다.
고정자 조립체(118)는 챔버 몸체(102)의 벽(108)을 에워싸고 있으며 챔버 몸체(102)의 외측에 따른 고정자 조립체(118)의 상승을 제어하는 하나 이상의 액츄에이터 조립체(122)에 연결된다. 일 실시예에서(도시되지 않음), 챔버(100)는 예를 들어, 챔버 몸체(102)에 대해 약 120°의 각도로 챔버 몸체에 대해 반경 방향으로 배열되는 3 개의 액츄에이터 조립체(122)를 포함한다. 고정자 조립체(118)는 챔버 몸체(102)의 내측 공간(120) 내에 배열되는 기판 지지대(104)에 자기적으로 연결된다. 기판 지지대(104)는 회전자로서의 기능을 하기 위한 자기부를 포함하거나 자기부로 이루어짐으로써, 기판 지지대(104)를 리프팅 및/또는 회전시키기 위한 자기 베어링 조립체를 형성한다. 일 실시예에서, 기판 지지대(104)의 적어도 일부분은 기판 지지대를 위한 열교환 매체로서 채택되는, 물, 에틸렌 글리콜, 질소(N2), 및 헬륨(He) 또는 이들의 조합물을 포함할 수 있는 유체 소오스(186)에 연결되는 홈(412: 도 4에 도시됨)에 의해 부분적으로 둘러싸여 있다. 고정자 조립체(118)는 또한 고정자 조립체(118)의 다수의 부품 및 부분들을 에워싸는 하우징(190)을 포함할 수 있다. 일 실시예에서, 고정자 조립체(118)는 서스펜션 코일 조립체(170) 상에 적층되는 구동 코일 조립체(168)를 포함한다. 구동 코일 조립체(168)는 기판 지지대(104)를 회전 및/또는 상승/하강시키도록 구성되는 반면에, 서스펜션 코일 조립체(170)는 프로세싱 챔버(100) 내에 기판 지지대(104)를 수동적으로 센터링하도록 구성될 수 있다. 대안적으로, 회전 및 센터링 기능은 단일 코일 조립체를 갖는 고정자에 의해 수행될 수 있다.
대기 제어 시스템(164)은 또한 챔버 몸체(102)의 내측 공간(120)에 연결된다. 대기 제어 시스템(164)은 일반적으로 챔버 압력을 제어하기 위한 드로틀 밸브 및 진공 펌프를 포함한다. 대기 제어 시스템(164)은 내측 공간(120)으로 프로세스 가스 또는 다른 가스를 제공하기 위한 가스 소오스를 추가적으로 포함할 수 있다. 또한, 대기 제어 시스템(164)은 열적 증착 프로세스용 프로세스 가스를 배분하도록 구성될 수 있다.
챔버(100)는 또한 중앙 프로세싱 유닛(CPU: 130), 지원 회로(128) 및 메모리(126)를 일반적으로 포함하는 제어기(124)를 포함한다. CPU(130)는 다양한 작동을 제어하기 위한 산업용 세팅에 사용될 수 있는 컴퓨터 프로세서 및 서브-프로세서 중에서 임의의 한가지 형태일 수 있다. 메모리(126) 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크와 같은 하나 이상의 용이하게 이용가능한 메모리, 또는 CPU(130)에 통상적으로 연결되는 로컬 또는 원격의 임의의 형태의 디지털 저장기일 수 있다. 지원 회로(128)는 통상적인 방법으로 제어기(124)를 지원하기 위해 CPU(130)에 연결된다. 이들 회로는 캐쉬, 동력 공급원, 클록 회로, 입/출력 회로, 서브 시스템, 등을 포함한다.
일 실시예에서, 각각의 액츄에이터 조립체(122)는 일반적으로, 챔버 몸체(102)의 벽(108)들로부터 연장하는 두 개의 플랜지(134) 사이에 연결되는 정밀 리드 스크류(132)를 포함한다. 리드 스크류(132)는 스크류가 회전할 때 리드 스크류(132)를 따라 축방향으로 이동하는 너트(158)를 가진다. 커플링(136)은 고정자(118)와 너트(158) 사이에 연결되어 리드 스크류(132)가 회전할 때 커플링(136)이 리드 스크류(132)를 따라 이동함으로써 커플링(136)과의 계면에서 고정자(118)의 높이를 제어한다. 따라서, 액츄에이터(122) 중의 하나의 리드 스크류(132)가 회전하여 다른 액츄에이터(122)의 너트(158)들 사이에 상대적인 변위를 발생시키므로, 고정자(118)의 수평면은 챔버 몸체(102)의 중심 축선에 대해 변화되게 된다.
일 실시예에서, 스텝퍼 또는 서보 모터와 같은 모터(138)는 제어기(124)에 의한 신호에 응답하여 제어가능한 회전을 제공하도록 리드 스크류(132)에 연결된다. 대안적으로, 다른 것들 중에도 공압 실린더, 유압 실린더, 볼 스크류, 솔레노이드, 선형 액츄에이터 및 캠 종동자와 같은 고정자(118)의 선형 위치를 제어하기 위해 다른 형태의 액츄에이터(122)가 사용될 수 있다.
챔버(100)는 또한 일반적으로, 챔버 몸체(102)의 내측 공간(120) 내부의 기판 지지대(104: 또는 기판(140))의 높이를 검출하도록 구성되는 하나 이상의 센서(116)를 포함한다. 센서(116)는 프로세싱 챔버(100)의 챔버 몸체(102) 및/또는 다른 부분에 연결될 수 있으며 기판 지지대(104)와 챔버 몸체(102)의 상부(112) 및/또는 바닥(110) 사이의 거리를 나타내는 출력을 제공하도록 구성되며 또한 기판 지지대(104) 및/또는 기판(140)의 오정렬을 검출할 수 있다.
하나 이상의 센서(116)는 센서(116)로부터의 출력 매트릭(metric)을 수용하는 제어기(124)에 연결되며 신호 또는 신호들을 하나 이상의 액츄에이터 조립체(122)에 제공하여 기판 지지대(104)의 적어도 일부분을 상승 또는 하강시킨다. 제어기(124)는 센서(116)로부터 획득한 위치 매트릭을 이용하여 각각의 액츄에이터 조립체(122)에 있는 고정자(118)의 높이를 조절함으로써 상부에 안착된 기판(140)과 기판 지지대(104)의 높이와 편평도 모두가 복사 열 소오스(106) 및/또는 RTP 챔버(100)의 중심 축선에 대해 조절될 수 있다. 예를 들어, 제어기(124)는 액츄에이터(122)의 작동에 의해 기판 지지대를 상승시키기 위한 신호를 제공하여 기판 지지대(104)의 축방향 오정렬을 보정할 수 있게 하거나, 제어기는 기판 지지대(104)의 동시 수직 이동을 순조롭게 용이하게 하기 위한 신호를 모든 액츄에이터(122)에 제공할 수 있다.
하나 이상의 센서(116)는 챔버 몸체(102) 내의 기판 지지대(104)의 근접도를 검출할 수 있는 초음파, 레이저, 유도성, 용량성, 또는 다른 형태의 센서일 수 있다. 센서(116)는 챔버(100) 외측의 고정자(118)에 연결되는 것과 같이 챔버 몸체(102) 내부 및 주위의 다른 위치들도 적합할 수 있지만, 센서는 벽(108)에 연결되거나 또는 상부(112) 근처의 챔버 몸체(102)에 연결될 수 있다. 일 실시예에서, 하나 이상의 센서(116)는 고정자(118)에 연결될 수 있으며 벽(108)을 통과하는 기판 지지대(104: 또는 기판(140))의 높이 및/또는 위치를 감지하도록 구성된다. 이러한 실시예에서, 벽(108)은 벽(108)을 통한 위치 감지를 용이하게 하기 위한 더 얇은 횡단면을 포함할 수 있다.
챔버(100)는 또한 프로세싱 이전에, 동안에 그리고 그 후에 기판(140)의 온도를 감지하도록 구성될 수 있는 하나 이상의 온도 센서(117)를 포함한다. 도 1에 도시된 실시예에서, 온도 센서(117)는 챔버 몸체(102) 내부 및 주위의 다른 위치도 사용될 수 있지만, 상부(112)를 통해 배치된다. 온도 센서(117)는 광학 고온계(pyrometer), 예를 들어 광 섬유 탐침을 갖는 고온계일 수 있다. 센서(117)는 기판의 일부 또는 기판의 전체 직경을 감지하기 위한 구성에서 상부(112)에 연결되도록 구성될 수 있다. 센서(117)는 기판의 직경과 실질적으로 동일하거나 기판의 반경과 실질적으로 동일한 감지 영역을 형성하는 패턴을 포함할 수 있다. 예를 들어, 복수의 센서(117)는 방사상 또는 선형 구성에서 상부(112)에 연결되어서 감지 영역을 기판의 반경 또는 직경 전체로 확대할 수 있다. (도시 않은)일 실시예에서, 복수의 센서(117)는 상부(112)의 중심부 주위로부터 상부(112)의 주변부로 반경 방향으로 연장하는 라인에 배열될 수 있다. 이러한 방식으로, 기판의 반경은 센서(117)에 의해 모니터링될 수 있고, 이는 회전 동안에 기판의 직경을 감지하게 할 수 있다.
RTP 챔버(100)는 또한 상부(122)에 형성되거나 연결 또는 인접해 있는 냉각 블록(180)을 포함한다. 일반적으로, 냉각 블록(180)은 복사 열 소오스(106)의 반대편에 이격되어 있다. 냉각 블록(180)은 입구(181A) 및 출구(181B)에 연결되는 하나 이상의 냉각제 채널(184)을 포함한다. 냉각 블록(180)은 스테인레스 스틸, 알루미늄, 폴리머, 또는 세라믹 재료와 같은 프로세스 저항성(resistant) 재료로 제조될 수 있다. 냉각제 채널(184)은 나선 패턴, 장방형 패턴, 원형 패턴, 또는 이들의 조합을 포함하며, 채널(184)은 예를 들어, 냉각 블록(180)을 주조함으로써 및/또는 둘 이상의 부품으로부터 냉각 블록을 제조 및 상기 부품을 접합함으로써 냉각 블록(180) 내에 일체로 형성될 수 있다. 추가로 또는 대안적으로, 냉각제 채널(184)은 냉각 블록(180) 내측으로 천공될 수 있다.
본 명세서에 설명된 바와 같이, 챔버(100)는 기판의 증착물 수용측 또는 수용면이 냉각 블록(180) 쪽으로 지향되어 있고 기판의 "후면"이 복사 열 소오스(106)을 지향하고 있는 "페이스업(face-up)" 방위로 기판을 수용하도록 구성된다. "페이스업(face-up)" 방위는 기판 후면이 통상적으로 기판의 정면보다 덜 반사적이므로, 복사 열 소오스(106)로부터의 에너지가 기판(140)에 의해 더욱 급속히 흡수될 수 있게 할 수 있다.
냉각 블록(180)과 복사 열 소오스(106)는 각각 내측 공간(120)의 상부 및 하부에 위치되는 것으로 설명하였지만, 냉각 블록(180) 및 복사 열 소오스(106)의 위치는 역전될 수 있다. 예를 들어, 냉각 블록(180)은 기판 지지대(104)의 내측 직경 내에 위치될 수 있도록 크기가 정해지고 구성될 수 있고, 복사 열 소오스(106)는 상부(112)에 연결될 수 있다. 이러한 배열에서, 석영 윈도우(114)는 챔버(100)의 상부에 있는 복사 열 소오스(106)에 인접해 있는 것과 같이, 기판 지지대(104)와 복사 열 소오스(106) 사이에 배치될 수 있다. 기판(140)은 후면이 복사 열 소오스(106)를 향할 때 보다 쉽게 열을 흡수하지만, 기판(140)은 페이스업(face-up) 방향 또는 페이스다운(face-down) 방향 중의 어느 한 구성으로 지향될 수도 있다.
입구(181A) 및 출구(181B)는 밸브 및 적합한 플럼빙(plumbing)에 의해 냉각제 소오스(182)에 연결될 수 있으며 냉각제 소오스(182)는 제어기(124)와 연통되어 내부에 배치되는 유체의 흐름 및/또는 압력의 제어를 용이하게 한다. 유체는 물, 에틸렌 글리콜, 질소(N2), 헬륨(He), 또는 열교환 매체로서 사용되는 다른 유체일 수 있다.
일 실시예에서, 챔버(100)는 기판(140)의 열처리를 수행하도록 구성된다. 방법은 제 1 위치에 있는 기판(140)을 가열하도록 복사 열 소오스(106)에 인접한 제 1 위치로 기판(140)을 이동시키는 단계를 포함한다. 그 후에는, 상부에 가열된 기판(140)을 갖는 기판 지지대(104)는 제 2 위치에 있는 기판을 냉각시키기 위해 냉각 블록(180)과 같은 능동 냉각 수단에 인접한 제 2 위치로 이동된다.
도 2는 기판 지지대(104)의 일 실시예를 도시하는 등각도이다. 기판 지지대(104)는 복사 열 소오스 및 기타 하드웨어(본 도면에 미도시)를 수용할 수 있는 크기의 내경(209)을 갖는 환형 몸체(220)를 포함한다. 기판 지지대(104)는 자기 링부(208) 및 지지부(212)로 적어도 부분적으로 구성된다. 자기 링부(208)는 고정자(118)와 기판 지지대(104)의 자기 연결을 용이하게 하도록 철을 함유한 재료와 같은 자성 재료로 적어도 부분적으로 구성될 수 있다. 상기 철을 함유한 재료는 니켈 도금과 같은 도금을 포함할 수 있는 저탄소 스틸, 스테인레스 스틸을 포함한다. 일 실시예에서, 자기 링부(208)는 중심 축선 주위에 극 배열로 배치되는 복수의 영구 자석으로 구성된다. 자기 링부(208)는 내부에 형성되는 하나 이상의 채널(223)을 갖는 외측면을 추가적으로 포함할 수 있다. 일 실시예에서, 자기 링부(208)는 내부에 형성된 하나 이상의 채널(223)을 갖는 "E" 형상 또는 "C" 형상과 같은 형성화된 프로파일을 포함한다.
지지부(212)는 일반적으로, 복사 열 소오스(106)로부터의 열 및/또는 광과 같은 에너지 손실을 최소화하도록 구성됨으로써 복사 열 소오스(106)로부터의 에너지의 상당한 부분이 기판(140)의 하면과 복사 열 소오스(106: 본 도면에 도시되지 않음)의 상면 사이의 영역 내에 포함되게 된다. 지지부(212)는 또한 자기 링부(208)의 상부면으로부터 연장하는 환형 연장부(214)일 수 있다. 지지부(212)는 또한 일 실시예에서, 정렬을 용이하게 하고 기판(140)에 대한 안착면(202)을 제공하는 지지 링(210)을 포함할 수 있다. 일 실시예에서, 지지 링(210)의 적어도 일부분은 석영 재료와 같이, 복사 열 소오스(106)로부터의 에너지를 투과하는 재료로 제조된다. 다른 실시예에서, 지지 링(210)은 소결될 수 있는 실리콘 탄화물 재료를 포함한다. 지지 링(210)은 질소를 포함할 수 있는 산화물 코팅 또는 층을 추가로 포함할 수 있다. 사용될 수 있는 지지 링(210)의 예는 2004년 2월 5일자로 출원되어 2005년 5월 3일자로 특허 허여된 미국 특허 제 6,888,104호에 설명되어 있으며, 이 특허는 전체적으로 본 발명에 참조된다.
지지 링(210)은 일반적으로 내벽(222) 및 상기 내벽(222)으로부터 내측으로 연장하는 지지 립(219)을 포함한다. 내벽(222)은 계단식 또는 경사식으로 기판 보다 조금 큰 크기로 형성될 수 있고 기판 지지대(104)가 상승될 때 기판(140)의 정렬 및/또는 센터링을 용이하게 할 수 있다. 다음, 기판은 지지 립(219) 상에 안착될 수 있으며 기판의 센터링은 기판 지지대(104)의 리프팅 및/또는 회전 동안에 유지된다. 지지 링(210)은 또한 내벽(222)과 대향하는 지지 링(210)의 상부면으로부터 하향 연장되는 외벽(223)을 포함할 수 있다. 외벽(223)과 내벽(222) 사이의 영역에는 환형 연장부(214) 상의 지지 링(210)의 정렬을 용이하게 하는 채널(224)이 형성된다. 지지부(212)는 체결 방식, 접합 방식 또는 중력 방식에 의해 자기 링부(208)에 연결될 수 있으며 프로세싱 동안에 기판(140)을 지지하도록 구성된다. 일 실시예에서, 지지 링(210)은 에지 링으로서의 기능을 하며 용이한 제거 및 대체를 위해 환형 연장부(214)에 중력에 의해 부착될 수 있다.
지지부(212)는 기판의 잠재적인 스크래치, 화학적 또는 물리적 오염 및/또는 흠집을 감소시키는 재료로 제작될 수 있으며, 예컨대 탄화 실리콘, 스테인레스 스틸, 알루미늄, 세라믹 또는 고온 폴리머와 같은 재료가 사용될 수 있다. 대안적으로, 지지부(212)는 자기 링부(208)의 재료로 단일 부재로서 제작될 수 있다. 지지부(212)의 적어도 일부분은 반사 재료로 제작되거나 코팅될 수 있으며, 또한 프로세스 파라미터에 따라 흑체(black body)와 유사한 열을 흡수하는 블랙(black) 재료로 제작되거나 코팅될 수 있다. 본 명세서에서 사용되는 바와 같은 블랙 재료는 블랙 컬러와 같은 어두운 컬러를 포함할 수 있으나 어두운 컬러의 재료나 코팅에 한정되지 않는다는 것이 주목되어야 한다. 더욱 일반적으로, 블랙 재료, 블랙 피니쉬 또는 블랙 코팅은 반사성 결핍을 의미하거나 재료, 피니쉬 또는 코팅이 흑체와 유사하게 열 및/또는 광과 같은 에너지를 흡수할 수 있는 능력을 의미한다.
도 3은 도 1에서와 같이 내측 공간을 형성하는, 벽(108), 바닥(110), 및 상부(112)를 갖는 챔버 몸체(102)를 포함하는 RTP 챔버(300)의 다른 실시예를 도시하는 개략적인 측면도이다. 챔버(300)는 또한 도 1에서와 같이 무접촉 또는 자기 부양식 기판 지지대(104)를 포함하지만, 챔버(200) 외측의 고정자 및 다른 부품들은 간략함을 위해 도시되지 않았다. 본 실시예에서, 기판 지지대(104)가 복수의 리프트 핀(144)이 기판을 지지하여 기판 이송을 용이하게 하는 교체 위치에 도시되어 있다.
본 실시예에서, 기판 지지대(104)의 일부분 및/또는 자기 링부(208)는 챔버 몸체(102)의 바닥(110)의 상부면 또는 그 근처에 놓일 수 있으며 윈도우(114)는 자기 링부(208)의 상부면 및/또는 상기 바닥(110)의 상부면에 의해 지지되거나 연결되는 연장부(312) 중 하나에 의해 지지된다. 연장부(312)는 기판 지지대(104)의 내경에 배열되는 복사 열 소오스(106)의 일부분 주위에 있는 냉각제 조립체(360)의 측벽일 수 있거나, 연장부(312)는 기판 지지대(104)의 내경 내부 및 냉각제 조립체(360)의 외측에 있는 바닥(110)의 상부면에 연결되는 지지 부재일 수 있다. 어댑터 판(315)은 또한 챔버 바닥(110)에 연결되어 복사 열 소오스(106) 및/또는 냉각제 조립체(360)용 와이어와 다른 지지 장치의 연결을 용이하게 할 수 있다.
지지부(212)는 기판 지지대(104) 또는 자기 링부(208)의 상부면으로부터 연장하는 환형 연장부(214)일 수 있다. 지지부(212)는 또한 기판(140)을 위한 안착면과 정렬을 제공하는 지지 링(210)도 포함할 수 있다. 지지 링(210)은 내벽(222) 및 상기 내벽(222)으로부터 내측으로 연장하는 지지 립(219)을 포함한다. 내벽(222)은 기판보다 조금 큰 크기일 수 있고 기판 지지대(104)가 상승될 때 기판의 정렬 및/또는 센터링을 용이하게 할 수 있다. 다음으로, 기판(140)은 지지 립(219) 상에 안착될 수 있고, 기판의 센터링은 기판 지지대(104)의 리프팅 및/또는 회전 동안에 유지된다.
일 실시예에서, 냉각 블록(180)은 전술한 바와 같이 냉각 유체를 순환시키기 위한 복수의 냉각제 채널(348A-348C)을 포함한다. 냉각제 채널은 분리 채널 또는 별도의 유체 통로일 수 있거나 냉각제 채널은 냉각제 소오스(182)에 연결되는 복수의 폐쇄된 유동로를 포함할 수 있다. 일 실시예에서, 냉각 블록(180)은 일반적으로 냉각제 채널(348A)에 의해 형성되는 외측 영역과, 일반적으로 냉각제 채널(348C)에 의해 형성되는 내측 영역, 및 일반적으로 냉각제 채널(348B)에 의해 형성되는 중간 영역과 같은 다수의 냉각 영역을 포함한다. 외측 영역은 기판(140)의 주변부에 대응할 수 있는 반면에 내측 및 중간 영역은 기판(140)의 중심부에 대응할 수 있다. 냉각제 온도 및/또는 냉각제 흐름은 상기 영역들 내에서 제어되어, 예를 들어 기판의 중심과 비교하여 기판(140)의 주변부에 더욱 많은 냉각을 제공할 수 있다. 이러한 방식으로 냉각 블록(180)은 냉각이 필요하거나 바람직한 기판의 영역에 다소간의 냉각을 제공함으로써 기판(140)에 대한 개선된 온도 제어를 제공할 수 있다.
냉각 블록(180)은 알루미늄, 스테인레스 스틸, 니켈, 세라믹 또는 프로세스에 저항성을 갖는 폴리머와 같은 재료로 제조될 수 있다. 냉각제 블록(180)은 기판 표면 상으로 열을 반사시키도록 구성되는 반사 코팅을 포함하거나 반사 재료를 포함할 수 있다. 대안적으로, 냉각 블록(180)은 (흑체와 실질적으로 유사한 에너지를 흡수하도록 구성된 블랙 재료와 같은)블랙 재료를 포함할 수 있거나 대안적으로, 기판 및/또는 내측 공간(120)으로부터 열을 흡수하도록 구성되는 표면 또는 블랙 재료로 코팅되거나 마무리될 수 있다. 냉각 블록(180)은 또한 열 및/또는 광 형태의 복사 에너지의 반사 또는 흡수를 촉진하도록 표면 가공되거나 폴리싱될 수 있는 정면 또는 외측면(332)을 포함할 수 있다. 외측면(332)은 또한 프로세스 파라미터에 따라 반사 또는 흡수를 촉진하기 위한 코팅 또는 마무리를 포함할 수 있다. 일 실시예에서, 코팅 블록(180)은 블랙 재료 또는 블랙 재료와 유사한 재료이거나, 이와는 달리 약 0.70 내지 약 0.95 범위의 방사율과 같은 1 근처의 방사율 또는 이미턴스를 갖도록, 블랙 재료 또는 블랙 재료와 유사한 재료로 코팅 또는 마무리될 수 있다.
도 3에 도시된 바와 같이, 내측 공간(120)은 온도 전이 영역(305), 또는 기판(140)이 프로세싱 동안에 노출될 수 있는 냉각 영역(306B)과 가열 영역(306A)을 포함하는 거리(D3)로 표시되어 있는 프로세싱 영역을 포함한다. 영역(306A,306B)은 내측 공간(120) 내에서의 프로세싱 동안에 기판(140)의 급속 가열과 급속 냉각을 가능하게 한다. 예로서, 가열 영역(306A)은 프로세싱 동안에 기판면의 온도를 약 450 내지 약 1400℃ 범위가 되도록 할 수 있으며, 냉각 영역(306B)은 프로세스 파라미터에 따라 프로세싱 동안에 기판(140)의 면을 대략 실온 또는 그 이하의 온도로 냉각할 수 있다.
예를 들어, 기판(140)은 로드록 챔버, 또는 다른 주변 챔버나 이송 장치 내의 가열 수단에 의해 제공되는 실온 또는 실온 약간 위의 온도에서 RTP 챔버로 이송될 수 있다. RTP 챔버로의 기판의 이송 이전, 동안 또는 이후의 기판(140)의 온도는 RTP 프로세스가 시작되는 제 1 또는 진입 온도로 지칭될 수 있다. 일 실시예에서, 제 1 또는 진입 온도는 대략 실온으로부터 약 600℃ 사이일 수 있다. 일단 기판(140)이 챔버로 진입하면, 기판은 급속 가열되어 기판의 온도가 진입 온도로부터 약 900℃ 내지 약 1150℃ 범위와 같은 약 800℃ 내지 약 1200℃ 범위의 제 2 온도가 될 수 있다. 일 실시예에서, 복사 열 소오스로의 동력은 센서(117)로부터의 피이드백을 사용하여 변경 및 모니터링되어 가열 단계 또는 제 1 가열 주기에서 기판 전체에 걸쳐 약 900℃ 내지 약 1150℃ 범위의 제 2 온도를 가능하게 한다.
일 실시예에서, 제 1 가열 주기는 약 2 분 이하, 예를 들어, 약 50 초 내지 약 90초, 예를 들어 약 55초 내지 약 75초 내에 기판 전체에 걸친 기판의 온도를 진입 온도로부터 약 900℃ 내지 약 1150℃ 범위로 상승시키도록 구성된다. 기판이 가열 주기에서 제 2 온도에 도달한 후에, 제 2 가열 주기를 포함하는 스파이크(spike) 또는 전이 주기가 시작될 수 있다. 제 2 가열 주기는 제 2 온도보다 약 25℃ 내지 약 100℃ 높은 제 3 온도로 기판을 가열하는 단계를 포함할 수 있다. 전이 주기는 또한 제 3 온도보다 약 25℃ 내지 약 100℃ 낮은 제 4 온도로 기판의 온도를 낮추는 단계를 포함한다. 일 실시예에서, 제 3 온도 및 제 4 온도는 서로 약 5℃ 내지 약 20℃ 내에 있으며, 다른 실시예에서 제 3 온도와 제 4 온도는 실질적으로 동일하다. 전이 주기는 약 3 초 이하, 예를 들어, 약 0.1 초 내지 약 2초, 예를 들어, 약 0.3 초 내지 약 1.8초 범위의 제 3 주기를 포함할 수 있다.
전이 주기 후에, 기판은 냉각 블록(180)에 인접하게 놓일 수 있으며 냉각 블록(180) 및 (이후에 상세히 설명되는) 냉각제 소오스(315) 중의 하나 또는 둘 다에 의해 급속 냉각된다. 기판은 약 2 초 내지 약 6초와 같은 10 미만일 수 있는 제 4 주기에 제 1 또는 진입 온도와 실질적으로 동일한 온도로 냉각될 수 있다. 기판은 실온 또는 실온 근처의 온도를 포함하는 소정의 온도로 급속 냉각되거나 전이를 가능하게 하는 실온 이상의 온도로 냉각될 수 있는데, 이는 생산성을 개선할 수 있다.
하나의 적용 분야에서, 기판을 열 처리하기 위한 방법이 본 명세서에서 설명되는 바와 같은 RTP 챔버에 의해 가능하게 된다. 상기 방법은 실온 또는 실온보다 약간 높은 온도와 같은 제 1 온도의 챔버로 기판(140)을 제공하는 단계, 및 약 2분 이하와 같은 제 1 시간 주기에서 약 900℃ 내지 약 1150℃의 제 2 온도로 기판을 가열하는 단계를 포함한다. 상기 방법은 또한, 약 2 초 미만일 수 있는 제 2 시간 주기에서 상기 제 2 온도 보다 약 25℃ 내지 약 100℃ 높은 제 3 온도로 기판(140)을 가열하는 단계를 포함한다. 상기 방법은 또한, 제 2 시간 주기에서 기판(140)을 제 2 온도로 냉각하는 단계 및 약 3초 미만일 수 있는 제 3 시간 주기에서 상기 제 1 온도로 기판을 냉각하는 단계를 포함한다.
전술한 바와 같이, 기판의 급속 가열 및 냉각은 많은 이익을 제공한다. 기판의 온도는 센서(117)로부터의 피이드백에 의해 일정하게 모니터링되며, 기판 온도에 대한 개선된 제어는 냉각 블록(180) 및/또는 복사 열 소오스(106)에 대해 기판을 이동시킴으로써 용이해질 수 있다. 도펀트의 확산 제어는 기판의 제어되는 급속 가열 및 냉각에 의해 개선될 수 있으며 장치의 성능도 개선될 수 있다. 추가로, 적어진 가열 및 냉각 시간은 생산성을 증가시킬 수 있다.
기판의 급속 가열 및 냉각을 가능하게 하기 위해, 기판은 온도 전이 영역(305) 내에서 이동할 수 있다. 내부 공간(120) 및 영역(306A,306B)에서의 기판(140)의 이동은 기판의 가열과 냉각 사이의 보다 낮은 잔류 시간 및/또는 보다 예리한 전이를 용이하게 한다. 일 실시예에서, 일단 기판(140)이 프로세싱 위치에 놓이면, 온도 전이 영역(305)의 가열 영역(306A)은 예를 들어, 약 0.5 인치 내지 약 1.5 인치 사이의 기판(140)(또는 기판 지지대(104))에 대한 이동 거리(D1)를 포함할 수 있다. 온도 전이 영역의 냉각 영역(306B)은 약 0.5 인치 내지 약 1.5 인치 사이의 기판(140)(또는 기판 지지대(104))에 대한 이동 거리(D2)를 포함할 수 있다. 일 실시예에서, 복사 열 소오스(106)와 냉각 블록(180) 사이와 같은 내측 공간 내의 기판(140)(또는 기판 지지대(104))의 전체 이동은 예를 들어, 약 2 인치와 같은 약 1.0 인치 내지 약 2.75 인치 사이인 약 0.75 내지 약 3.25 인치 사이이다. 일 실시예에서, 거리(D1)는 거리(D3)의 약 1/2 을 포함하고, 거리(D2)는 거리(D3)의 약 1/2 을 포함한다. 기판 지지대(104)는 기판의 편평도와 기판의 다른 물리적 특성, 및 기판 지지대의 기계적 특성에 따라 기판(140)에 밀접한 위치로 기판을 상승시키도록 구성될 수 있다. 기판이 적합한 편평도를 가지며 기판 지지대(104)와 그 상부에 배열된 기판이 냉각 블록(180)과 실질적으로 평행하다고 가정하면, 기판은 냉각 블록(180)의 하부면으로부터 약 0.005 인치 내지 약 0.025 인치 범위 내에서 상승될 수 있다. 냉각 블록에 밀접하게 기판을 가져오는 것은 급속 열 전달과 기판의 개선된 냉각을 가능하게 한다.
일 실시예에서, 챔버(300)는 냉각제 소오스(315)에 연결되는 가스 포트(310)를 포함한다. 가스 포트(310)는 챔버 벽(108)의 상부에 연결되거나 상부에 형성되는 복수의 개구 또는 매니폴드일 수 있으며 예를 들어, 냉각 블록(180)의 외측면(332)에 인접한 냉각 영역(306b)을 통한 층류 흐름을 가능하게 하는 노즐로서 형성되거나 노즐에 연결되도록 구성될 수 있다. 더욱 개선된 흐름 경로를 위해서, 챔버는 또한 통상적으로 가스 포트(310)에 대향하는 챔버 벽(108) 내에 형성되는 출구 포트(320)를 포함한다. 출구 포트(320)는 대기압 제어 시스템(164: 도 1)을 보조하고 가스 포트(310)에 의해 제공된 과잉의 가스를 제거하도록 구성되는 진공 소오스에 연결될 수 있다. 냉각제 소오스(315)는 헬륨(He), 질소(N2)와 같은 냉각 유체 또는 다른 적합한 냉각 유체를 포함하며, 냉각 영역(306B) 내부로 흐르도록 구성되거나 지향된다. 가스 포트(310)로부터의 냉각 유체는 기판이 냉각 영역(306B) 내에 위치될 때 기판(140)의 더욱 급속한 냉각을 가능하게 한다.
도 1을 참조하여 설명한 바와 같이, 복사 열 소오스(106)는 복사 열 소오스(106)의 벌집형 튜브(160)를 냉각시키고 및/또는 적합한 온도를 유지하도록 구성되는 냉각제 조립체(360)에 연결된다. 냉각제 조립체(360)는 유체를 함유하도록 구성되는 바닥(314) 및 측벽(312)을 포함한다. 바닥(314)은 물, 에틸렌 글리콜, 또는 다른 적합한 냉각 유체일 수 있는 냉각제 소오스(183)로부터의 냉각 유체를 공급 및 제거하도록 구성되는 포트(322,324)를 포함한다. 냉각제 조립체(360)는 또한 냉각 유체 및 복사 열 소오스(106)로부터의 개선된 열 전달을 위해 내부에 형성된 복수의 유체 채널(도 4를 참조하여 설명됨)을 포함할 수 있다.
도 4는 프로세싱 위치에 있는 RTP 챔버(400)의 다른 실시예를 도시하는 부분 측면도이며, 냉각제 조립체(360)의 세부 사항은 이후에 설명될 것이다. 냉각제 조립체(360)는 다른 도면들에 도시된 바와 같이 바닥(322) 및 측벽(312)을 포함하며, 복수의 벌집형 튜브(160)를 분리하는 복수의 격벽(426)을 포함하는 몸체(427)를 또한 포함한다. 상기 몸체는 또한 사이에 공동(446)을 형성하도록 바닥(322)과 대향하는 판(423)을 포함할 수 있으며, 이는 제 1 냉각제 소오스(485A)로부터의 냉각제를 포함하고 복수의 벌집형 튜브(160)로부터 공동(446)을 분리시키도록 구성된다. 공동(446)은 바닥(322)에 연결된 포트(324)에 의해 냉각제 소오스(485A)와 연통되어 있으며, 포트(324)는 플레넘 포트(415)에 의해 공동(446)과 유체 연통되어 있는 플레넘(445)과 연통되어 있다. 판(423)은 냉각 유체에 이용가능한 표면적을 증가시키도록 내부에 형성된 복수의 채널 또는 홈(428)을 포함할 수 있으며, 이에 따라, 복사 열 소오스(106)로부터의 열 분산을 개선시킨다.
작동시, 냉각 유체는 포트(322)에 의해 제 1 소오스(485A)로부터 공동(446)으로 공급되며 냉각제는 공동(446)을 적어도 부분적으로 채운다. 냉각제는 공동 내측으로 연속적으로 유입되어 열을 분산시키며 플레넘 포트(415)를 통해 플레넘(445)으로 공동을 빠져 나갈 수 있다. 냉각제는 포트(324)에 의해 플레넘(445)으로부터 제거될 수 있으며 제 1 소오스(485A)로 복귀될 수 있다. 냉각제는 공동(446)을 통한 순환 이전에 보충 및/또는 냉각될 수 있다. 이러한 방법으로, 복사 열 소오스(106)의 온도가 제어된다.
냉각제 조립체(360)는 또한 복수의 격벽(426)의 적어도 일부분에 형성되는 복수의 유체 채널(425)을 포함할 수 있다. 유체 채널(425)은 제 2 유체 소오스(485b)로부터 물, 에틸렌 글리콜, 질소(N2), 헬륨(He)과 같은 냉각 유체, 또는 열교환 매체로서 사용되는 다른 유체를 유동시키도록 구성된다. 유체 채널(425)은 적어도 하나의 입구 및 출구(도시되지 않음)에 의해 제 2 유체 소오스(485b)에 연결된다. 제 1 및 제 2 소오스(485a,485b)로부터의 냉각제의 유동은 복사 열 소오스(106)의 개선된 온도 제어를 용이하게 한다.
챔버(100)는 또한 지지 부재(210) 및 채널 또는 홈(412) 내에 배열된 환형 몸체(220)에 연결되는 환형 연장부(212)를 갖는 자기 부양식 또는 무접촉식 기판 지지대(104)를 포함한다. 홈(412)은 냉각제를 홈(412)으로 공급하기 위한 포트(420)를 통해 유체 소오스(186)에 연결됨으로써, 복사 열 소오스(106)로부터 전달될 수 있는 열 및/또는 프로세싱 동안에 환형 몸체(220)의 회전에 의해 생성되는 열을 분산시킨다. 유체 소오스(186)는 물, 에틸렌 글리콜, 질소(N2), 헬륨(He)과 같은 냉각 유체, 또는 열교환 매체로서 사용되는 다른 유체를 포함할 수 있다. 간극(418)은 또한 냉각제 조립체(360)의 측벽(312)과 홈(412)의 측벽 사이에 형성되어 기판 지지대(104)의 환형 몸체(220)와 복사 열 소오스(106) 사이의 절연을 용이하게 할 수 있다.
이제까지의 설명은 본 발명의 실시예에 관한 것이지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 사상으로부터 벗어남이 없이 만들어질 수 있으며 본 발명의 사상은 다음의 특허청구범위에 의해 결정된다.

Claims (25)

  1. 상부와 하부를 구비하는 내부 체적을 갖는 챔버;
    상기 내부 체적의 상부 내에 배치되는 냉각 판;
    상기 내부 체적의 하부 내에 배치되는 가열 소오스;
    상기 상부와 상기 하부 사이를 이동하는 자기(magnetically) 이동식 기판 지지대로서, 상기 가열 소오스를 수용할 수 있는 크기의 내부 직경을 가지는, 기판 지지대; 및
    상기 가열 소오스와 상기 냉각 판에 대한 상기 기판 지지대의 위치를 탐지하기 위하여 상기 챔버의 몸체를 중심으로 축방향으로 배치되는 복수의 센서; 를 포함하는,
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 복수의 센서 중 하나 이상에 커플링되는 제어기를 더 포함하는,
    기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 제어기는, 상기 기판 지지대의 위치를 기초로 상기 기판 지지대의 축방향 오정렬을 보정하거나 고도를 조절하는,
    기판 처리 장치.
  4. 제 1 항에 있어서,
    상기 기판 지지대에 탈착가능하게 커플링되는 지지 링을 더 포함하는,
    기판 처리 장치.
  5. 제 1 항에 있어서,
    상기 기판 지지대는, 고정자 조립체에 자기적으로 커플링되는 환형 몸체를 더 포함하는,
    기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 챔버 내에서 상기 기판 지지대를 센터링하기 위하여 상기 고정자 조립체에 커플링되는 서스펜션 코일 조립체를 더 포함하는,
    기판 처리 장치.
  7. 상부와 하부를 구비하는 내부 체적을 갖는 챔버;
    상기 내부 체적 내에 배치되는 냉각 판 및 가열 소오스;
    상기 상부와 상기 하부 사이를 이동하는 부양식(levitating) 기판 지지대로서, 상기 가열 소오스를 수용할 수 있는 크기의 내부 직경을 가지는, 기판 지지대;
    상기 챔버의 내부 체적의 상부, 바닥, 또는 상부와 바닥 및 상기 기판 지지대 사이의 거리를 나타내는 출력을 제공하기 위하여 상기 챔버에 커플링되는 복수의 센서; 를 포함하는,
    기판 처리 장치.
  8. 제 7 항에 있어서,
    상기 냉각 판은 상기 내부 체적의 상부 내에 배치되고,
    상기 가열 소오스는 상기 내부 체적의 하부 내에 배치되는,
    기판 처리 장치.
  9. 제 7 항에 있어서,
    상기 냉각 판은 반사 표면을 포함하는,
    기판 처리 장치.
  10. 제 7 항에 있어서,
    상기 냉각 판은 블랙 재료(black material)를 포함하는,
    기판 처리 장치.
  11. 제 7 항에 있어서,
    상기 냉각 판은 내부에서 냉각제를 유동시키기 위한 하나 이상의 유체 채널을 포함하는,
    기판 처리 장치.
  12. 제 7 항에 있어서,
    상기 기판 지지대는 상기 가열 소오스를 수용할 수 있는 크기의 내경(inside diameter)을 갖는,
    기판 처리 장치.
  13. 제 7 항에 있어서,
    상기 기판 지지대는 지지 링을 포함하는,
    기판 처리 장치.
  14. 제 7 항에 있어서,
    상기 가열 소오스와 상기 기판 지지대 사이에 배치되며 자외선을 투과시키는 윈도우를 더 포함하는,
    기판 처리 장치.
  15. 내부에 배치되는 부양식 기판 지지대를 구비하는 챔버를 제공하는 단계;
    제 1 온도에서 상기 기판 지지대로 기판을 이송하는 단계;
    상기 챔버의 상부, 바닥, 또는 상부와 바닥 및 상기 기판 지지대 사이의 거리를 감지하는 단계;
    상기 챔버 내에서 상기 기판 지지대의 위치를 조정하는 단계;
    상기 기판을 제 1 위치로 이동시키는 단계;
    상기 제 1 위치에서 상기 기판을 가열하는 단계로서, 상기 기판을 제 1 시간 주기 동안 제 2 온도로 가열하는, 기판을 가열하는 단계;
    상기 기판을 능동 냉각 수단과 인접한 제 2 위치로 이동시키는 단계; 및
    상기 제 2 위치에서 상기 기판을 상기 제 1 온도와 동일한 제 3 온도로 냉각하는 단계; 를 포함하며,
    상기 제 1 위치와 상기 제 2 위치는 상기 챔버 내에 배치되는,
    기판을 열처리하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 가열하는 단계는 2분 또는 2분 미만의 시간 주기를 포함하는,
    기판을 열처리하기 위한 방법.
  17. 제 15 항에 있어서,
    상기 냉각하는 단계는 10초 또는 10초 미만의 시간 주기를 포함하는,
    기판을 열처리하기 위한 방법.
  18. 제 15 항에 있어서,
    상기 기판을 제 1 위치로 이동시키는 단계에서 기판은 제 1 거리만큼 이동하고, 상기 기판을 제 2 위치로 이동시키는 단계에서 기판은 제 2 거리만큼 이동하며,
    상기 제 1 거리와 상기 제 2 거리는 각각 상기 제 1 거리와 상기 제 2 거리의 합의 1/2과 동일한 거리를 포함하는,
    기판을 열처리하기 위한 방법.
  19. 제 15 항에 있어서,
    상기 냉각 수단은 상기 챔버 내에 배치된 하나 이상의 냉각 영역을 포함하는,
    기판을 열처리하기 위한 방법.
  20. 제 15 항에 있어서,
    상기 기판 지지대의 위치를 감지하는 단계는 상기 기판의 직경을 감지하는 단계를 포함하는,
    기판을 열처리하기 위한 방법.
  21. 내부에 배치되는 부양식 기판 지지대를 갖는 챔버로 기판을 이송하는 단계로서, 상기 기판 지지대는 상기 기판을 지지하기 위한 지지 링을 가지며 가열 소오스를 수용할 수 있는 크기의 내부 직경을 가지는, 기판을 이송하는 단계;
    상기 챔버를 중심으로 축방향으로 배치되는 복수의 센서로부터 매트릭(metric)을 수신함으로써 상기 기판 지지대의 위치를 탐지하는 단계;
    상기 기판을 상기 챔버 내의 제 1 위치로 제 1 이동 거리만큼 이동시키는 단계;
    상기 기판이 상기 지지 링 상에 있는 동안 상기 제 1 위치에 있는 상기 기판을 가열하는 단계;
    상기 기판을 상기 챔버 내의 능동 냉각 수단에 인접한 제 2 위치로 제 2 이동 거리만큼 이동시키는 단계; 및
    상기 기판이 상기 지지 링 상에 있는 동안 상기 제 2 위치에 있는 상기 기판을 냉각하는 단계; 를 포함하는,
    기판을 열처리하기 위한 방법.
  22. 제 21 항에 있어서,
    상기 가열하는 단계는 2분 또는 2분 미만의 시간 주기를 포함하는,
    기판을 열처리하기 위한 방법.
  23. 제 21 항에 있어서,
    상기 냉각하는 단계는 10초 또는 10초 미만의 시간 주기를 포함하는,
    기판을 열처리하기 위한 방법.
  24. 제 21 항에 있어서,
    상기 제 1 이동 거리 및 상기 제 2 이동 거리는 각각, 상기 제 1 이동 거리와 상기 제 2 이동 거리의 합의 1/2과 동일한 거리를 포함하는,
    기판을 열처리하기 위한 방법.
  25. 제 21 항에 있어서,
    상기 냉각 수단은 상기 챔버 내에 배치되는 하나 이상의 냉각 영역을 포함하는,
    기판을 열처리하기 위한 방법.

KR1020130113984A 2006-12-14 2013-09-25 2차 프로세스 단계를 사용하는 급속 전도 냉각 KR101464931B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/611,061 2006-12-14
US11/611,061 US7378618B1 (en) 2006-12-14 2006-12-14 Rapid conductive cooling using a secondary process plane

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020110058682A Division KR101381313B1 (ko) 2006-12-14 2011-06-16 2차 프로세스 단계를 사용하는 급속 전도 냉각

Publications (2)

Publication Number Publication Date
KR20130114628A KR20130114628A (ko) 2013-10-17
KR101464931B1 true KR101464931B1 (ko) 2014-11-25

Family

ID=39312914

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020070102466A KR20080055608A (ko) 2006-12-14 2007-10-11 2차 처리 단계를 사용하는 급속 전도 냉각
KR1020090126873A KR101168795B1 (ko) 2006-12-14 2009-12-18 2차 처리 단계를 사용하는 급속 전도 냉각
KR1020110058682A KR101381313B1 (ko) 2006-12-14 2011-06-16 2차 프로세스 단계를 사용하는 급속 전도 냉각
KR1020130113984A KR101464931B1 (ko) 2006-12-14 2013-09-25 2차 프로세스 단계를 사용하는 급속 전도 냉각

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020070102466A KR20080055608A (ko) 2006-12-14 2007-10-11 2차 처리 단계를 사용하는 급속 전도 냉각
KR1020090126873A KR101168795B1 (ko) 2006-12-14 2009-12-18 2차 처리 단계를 사용하는 급속 전도 냉각
KR1020110058682A KR101381313B1 (ko) 2006-12-14 2011-06-16 2차 프로세스 단계를 사용하는 급속 전도 냉각

Country Status (6)

Country Link
US (5) US7378618B1 (ko)
EP (1) EP1933368A3 (ko)
JP (2) JP5473206B2 (ko)
KR (4) KR20080055608A (ko)
CN (2) CN101207010A (ko)
TW (3) TWI545655B (ko)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8635784B2 (en) * 2005-10-04 2014-01-28 Applied Materials, Inc. Methods and apparatus for drying a substrate
JP4937278B2 (ja) * 2006-03-08 2012-05-23 ラム・リサーチ・アクチエンゲゼルシヤフト 板状物品の流体処理用装置
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
EP3573092B1 (en) * 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
TWI381452B (zh) * 2008-08-29 2013-01-01 Applied Materials Inc 用於擴大溫度高溫測定之方法與設備
US8217317B2 (en) * 2008-09-10 2012-07-10 Applied Materials, Inc. Apparatus with strain release feature for high temperature processes
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
JP5441243B2 (ja) * 2009-02-24 2014-03-12 信越石英株式会社 赤外線透過性部材の熱処理用石英ガラス治具
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US8062384B2 (en) 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
WO2010150590A1 (ja) * 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130074358A1 (en) * 2011-09-24 2013-03-28 Quantum Technology Holdings Limited Heated body with high heat transfer rate material and its use
CN103088308B (zh) * 2011-11-01 2016-07-13 无锡华润上华科技有限公司 除气腔内的温度监控装置
SG2014008270A (en) * 2011-11-03 2014-11-27 Applied Materials Inc Rapid thermal processing chamber
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US10124445B2 (en) * 2012-01-18 2018-11-13 Halliburton Energy Services, Inc. Heat containment apparatus
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CH706662A1 (de) * 2012-06-14 2013-12-31 Oc Oerlikon Balzers Ag Transport- und Übergabevorrichtung für scheibenförmige Substrate, Vakuumbehandlungsanlage und Verfahren zur Herstellung behandelter Substrate.
US9200965B2 (en) * 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9606587B2 (en) * 2012-10-26 2017-03-28 Google Inc. Insulator module having structure enclosing atomspheric pressure gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
SG11201508481PA (en) * 2013-05-10 2015-11-27 Applied Materials Inc Dome cooling using compliant material
KR102271250B1 (ko) 2013-05-15 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 램프 가열 어셈블리를 위한 확산기
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
CN105074869A (zh) * 2013-06-26 2015-11-18 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9385004B2 (en) 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US9430006B1 (en) 2013-09-30 2016-08-30 Google Inc. Computing device with heat spreader
KR102317055B1 (ko) * 2013-09-30 2021-10-26 어플라이드 머티어리얼스, 인코포레이티드 캡슐화된 광 배리어를 갖는 지지체 링
US8861191B1 (en) 2013-09-30 2014-10-14 Google Inc. Apparatus related to a structure of a base portion of a computing device
WO2015069456A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Sol gel coated support ring
KR102258247B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258246B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258248B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258245B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258243B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102359295B1 (ko) * 2013-12-06 2022-02-08 세메스 주식회사 기판 가열 유닛
KR102258244B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
JP6219178B2 (ja) * 2014-01-20 2017-10-25 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
CN106463400A (zh) 2014-05-27 2017-02-22 应用材料公司 利用顺应性材料的窗冷却
US9442514B1 (en) 2014-07-23 2016-09-13 Google Inc. Graphite layer between carbon layers
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102413455B1 (ko) * 2015-01-02 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478455B1 (en) * 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6655996B2 (ja) * 2016-01-19 2020-03-04 東京エレクトロン株式会社 基板温調装置及び基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6513041B2 (ja) * 2016-02-19 2019-05-15 信越半導体株式会社 半導体ウェーハの熱処理方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107557871B (zh) * 2016-07-01 2019-10-25 上海微电子装备(集团)股份有限公司 激光退火装置及方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102145276B1 (ko) 2016-07-22 2020-08-18 어플라이드 머티어리얼스, 인코포레이티드 에피 균일성 조정을 개선하기 위한 가열 변조기
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109565174A (zh) 2016-08-15 2019-04-02 力特有限公司 具有电池管理系统的柔性正温度系数设备
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10661223B2 (en) 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102406942B1 (ko) * 2019-09-16 2022-06-10 에이피시스템 주식회사 엣지 링 및 이를 포함하는 열처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TWI717246B (zh) * 2020-03-30 2021-01-21 群翊工業股份有限公司 具有溫控模組的烤箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11915953B2 (en) * 2020-04-17 2024-02-27 Applied Materials, Inc. Apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220019254A (ko) * 2020-05-20 2022-02-16 세메스 주식회사 기판 가열 유닛
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102615845B1 (ko) * 2020-11-19 2023-12-22 세메스 주식회사 지지 유닛 및 기판 처리 장치
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102512991B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512992B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102569912B1 (ko) * 2020-12-29 2023-08-28 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230304741A1 (en) * 2022-03-25 2023-09-28 Tokyo Electron Limited Magnetic Annealing Equipment and Method
US11649855B1 (en) * 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020033425A (ko) * 2000-10-19 2002-05-06 나카네 히사시 열처리장치 및 열처리방법
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
WO2005083752A2 (en) * 2004-02-24 2005-09-09 Applied Materials, Inc. Contaminant reducing support system

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62282437A (ja) * 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63269515A (ja) * 1987-04-27 1988-11-07 Nikon Corp 光照射装置
JPH01123321A (ja) 1987-11-09 1989-05-16 Matsushita Electric Ind Co Ltd データ処理装置
JPH01276623A (ja) * 1988-04-27 1989-11-07 Mitsubishi Electric Corp ビームアニール装置
JPH0613324A (ja) * 1992-06-26 1994-01-21 Fujitsu Ltd 真空加熱装置
JPH0778831A (ja) * 1993-06-25 1995-03-20 Sony Corp 熱処理方法
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH08316157A (ja) * 1995-05-23 1996-11-29 Souei Tsusho Kk 熱処理炉
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JP2001524259A (ja) * 1995-07-10 2001-11-27 シーヴィシー、プラダクツ、インク マイクロエレクトロニクス製造装置用プログラマブル超クリーン電磁サブストレート回転装置及び方法
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
US5818137A (en) * 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US6133550A (en) * 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
JPH10251853A (ja) * 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US6157106A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH111775A (ja) * 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6323496B1 (en) * 1999-04-19 2001-11-27 Applied Materials, Inc. Apparatus for reducing distortion in fluid bearing surfaces
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
KR20020003425A (ko) 2000-06-29 2002-01-12 서정은 인터넷을 이용한 다자간 판매방법 및 시스템
JP3869655B2 (ja) * 2000-12-28 2007-01-17 大日本スクリーン製造株式会社 ランプアニール装置
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP3660254B2 (ja) * 2001-02-23 2005-06-15 大日本スクリーン製造株式会社 基板の熱処理装置
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US6809035B2 (en) 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
JP2004079677A (ja) * 2002-08-13 2004-03-11 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003142468A (ja) * 2002-10-08 2003-05-16 Mitsubishi Electric Corp 化学気相成長装置
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP2005303082A (ja) * 2004-04-13 2005-10-27 Tokyo Electron Ltd 基板載置台および熱処理装置
JP4733405B2 (ja) * 2005-02-22 2011-07-27 株式会社国際電気セミコンダクターサービス 熱処理装置及び熱処理方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020033425A (ko) * 2000-10-19 2002-05-06 나카네 히사시 열처리장치 및 열처리방법
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
WO2005083752A2 (en) * 2004-02-24 2005-09-09 Applied Materials, Inc. Contaminant reducing support system
KR20070097296A (ko) * 2004-02-24 2007-10-04 어플라이드 머티어리얼스, 인코포레이티드 오염물을 감소시키는 기판 이송 및 지지 시스템

Also Published As

Publication number Publication date
CN101207010A (zh) 2008-06-25
KR20080055608A (ko) 2008-06-19
TW201322338A (zh) 2013-06-01
US20080142497A1 (en) 2008-06-19
US20120270166A1 (en) 2012-10-25
TW200826199A (en) 2008-06-16
TWI545655B (zh) 2016-08-11
KR101381313B1 (ko) 2014-04-04
US20140199786A1 (en) 2014-07-17
JP2014057073A (ja) 2014-03-27
KR20110079597A (ko) 2011-07-07
TW201351507A (zh) 2013-12-16
EP1933368A3 (en) 2008-08-27
US8227729B2 (en) 2012-07-24
US9209049B2 (en) 2015-12-08
KR20100014208A (ko) 2010-02-10
JP2008166706A (ja) 2008-07-17
EP1933368A2 (en) 2008-06-18
TWI407511B (zh) 2013-09-01
CN103943537B (zh) 2017-08-11
KR101168795B1 (ko) 2012-07-25
US20110008740A1 (en) 2011-01-13
TWI401746B (zh) 2013-07-11
JP5473206B2 (ja) 2014-04-16
US20080141556A1 (en) 2008-06-19
US8658947B2 (en) 2014-02-25
CN103943537A (zh) 2014-07-23
US7378618B1 (en) 2008-05-27
US7812286B2 (en) 2010-10-12
KR20130114628A (ko) 2013-10-17

Similar Documents

Publication Publication Date Title
KR101464931B1 (ko) 2차 프로세스 단계를 사용하는 급속 전도 냉각
EP2311076B1 (en) Rapid thermal processing chamber with shower head
US9390950B2 (en) Rapid thermal processing chamber with micro-positioning system
KR20160022885A (ko) 열 챔버 어플리케이션들 및 프로세스들을 위한 광 파이프 윈도우 구조
WO2013119975A1 (en) Spike anneal residence time reduction in rapid thermal processing chambers
KR20170008834A (ko) 저압 열 프로세스들을 위한 광 파이프 구조물 윈도우
US10327284B2 (en) Diffuser for lamp heating assembly

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181112

Year of fee payment: 5