KR102413455B1 - 처리 챔버 - Google Patents

처리 챔버 Download PDF

Info

Publication number
KR102413455B1
KR102413455B1 KR1020177021591A KR20177021591A KR102413455B1 KR 102413455 B1 KR102413455 B1 KR 102413455B1 KR 1020177021591 A KR1020177021591 A KR 1020177021591A KR 20177021591 A KR20177021591 A KR 20177021591A KR 102413455 B1 KR102413455 B1 KR 102413455B1
Authority
KR
South Korea
Prior art keywords
substrate support
gas
chamber
substrate
air bearing
Prior art date
Application number
KR1020177021591A
Other languages
English (en)
Other versions
KR20170101988A (ko
Inventor
메흐메트 투그룰 사미르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170101988A publication Critical patent/KR20170101988A/ko
Application granted granted Critical
Publication of KR102413455B1 publication Critical patent/KR102413455B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)

Abstract

본 개시내용의 실시예들은 함께 결합되어 인클로저를 정의하는 최상부, 최하부, 및 측벽; 측벽 주위의 가스 분배기; 인클로저 내에 배치되는 기판 지지체 - 기판 지지체는 중심 개구, 및 중심 개구 주위에 분포된 복수의 기판 위치를 가짐 -; 기판 지지체 아래의 펌핑 포트; 및 최상부 또는 최하부에 결합된 에너지 소스를 구비하는 처리 챔버를 제공한다. 에너지 소스는 복사 소스, 열 소스, UV 소스, 또는 플라즈마 소스일 수 있다. 기판 지지체는 자기 회전자 및 에어 베어링을 이용하여 회전될 수 있다. 가스 분배기는 가스 분배기의 둘레 주위에 분포된 복수의 통로를 가질 수 있다.

Description

처리 챔버
본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 방법 및 장치에 관한 것이다. 더 구체적으로, 본 명세서에 설명된 실시예들은 원자 층 에피택시(atomic layer epitaxy)를 수행하기 위한 방법 및 장치에 관한 것이다.
에피택시는 층들 내의 표면에 재료를 화학적으로 추가하는 것을 포함하는 프로세스이다. 반도체 처리에서 그러한 프로세스들은 흔한 것이며, 거기에서 그러한 프로세스들은 로직 및 메모리 디바이스들의 특정 컴포넌트들을 구축하기 위해 이용된다. 로직 디바이스를 제조하기 위한 전형적인 프로세스에서, 실리콘 층은 정렬된 결정 구조를 제공하도록 기판 상에 에피택시 성장된다. 전형적으로, 이러한 실리콘 층은 트랜지스터의 채널 컴포넌트가 된다.
에피택시는 느린 프로세스이다. 현재의 가장 진보된 제조 설비에서, 에피택시 프로세스는 전형적으로 300mm 원형 기판을 처리하는 데에 약 1시간을 소비한다. 에피택시 프로세스에서의 수율을 증가시킬 필요가 있다.
본 개시내용의 실시예들은 처리 챔버로서, 함께 결합되어 인클로저를 정의하는 최상부(top), 최하부(bottom), 및 측벽, 측벽 주위의 가스 분배기, 인클로저 내에 배치되는 기판 지지체 - 기판 지지체는 중심 개구, 및 중심 개구 주위에 분포된 복수의 기판 위치를 가짐 -, 기판 지지체 아래의 펌핑 포트, 및 최상부 또는 최하부에 결합된 에너지 소스를 구비하는 처리 챔버를 제공한다. 에너지 소스는 복사 소스, 열 소스, UV 소스, 또는 플라즈마 소스일 수 있다. 기판 지지체는 자기 회전자(magnetic rotator) 및 에어 베어링을 이용하여 회전될 수 있다. 가스 분배기는 가스 분배기의 둘레 주위에 분포된 복수의 통로를 가질 수 있다.
또한, 처리 챔버로서, 함께 결합되어 인클로저를 정의하는 최상부, 최하부, 및 측벽, 측벽 주위의 가스 분배기, 인클로저 내에 배치되는 기판 지지체 - 기판 지지체는 중심 개구, 중심 개구 주위에 분포된 복수의 기판 위치, 및 자기 고정자를 가짐 -, 기판 지지체 아래의 펌핑 포트, 및 최상부 또는 최하부에 결합된 에너지 소스를 구비하는 처리 챔버가 개시된다.
또한, 처리 챔버로서, 함께 결합되어 인클로저를 정의하는 최상부, 최하부, 및 측벽; 최하부를 따라 환형 인클로저 내에 배치된 자기 회전자; 환형 인클로저 상에 배치된 환형 에어 베어링; 에어 베어링의 내측 반경에 결합된 가스 도관 - 가스 도관은 에어 베어링의 내측 반경으로부터 프로세스 챔버의 중심 축을 향해, 그리고 최하부를 통해 연장됨 -; 최하부 내의 배출 포트(exhaust port); 측벽 내의 펌핑 포트에 결합되는, 측벽 주위의 펌핑 플레넘; 처리 평면을 정의하는 상측 표면 - 상측 표면은 복수의 기판 위치를 가짐 -, 상측 표면의 중심 영역을 통해 형성되는 배출구, 상측 표면의 주변부(periphery) 주위에, 그리고 처리 평면으로부터 떨어져 연장되는 기판 지지체 측벽 - 기판 지지체 측벽은 복수의 배기구(vent)를 갖고 제1 단부에서 상측 표면에 결합됨 -, 및 제1 단부에 대향하는 기판 지지체 측벽의 제2 단부에 결합되는 환형 자기 고정자 - 환형 자기 고정자는 에어 베어링의 가스 출구 표면을 향하는 지지 표면, 및 에어 베어링, 자기 고정자, 기판 지지체 측벽 및 상측 표면의 주위에 연장되어, 상측 표면의 배출구로부터 배기구들을 통해 펌핑 플레넘까지 가스 배출 통로를 정의하는 배플을 가짐 - 를 포함하는 기판 지지체; 펌핑 플레넘 위에서 측벽에 결합되는 레지(ledge); 레지 위에서 측벽 내에 형성되는 리세스; 리세스에 대향하며 리세스와 함께 가스 플레넘을 정의하는, 레지 상의 원통형의 제거가능한 가스 분배기 - 가스 분배기는 가스 분배기를 관통하여 형성된 복수의 통로를 갖고, 통로들은 가스 분배기의 둘레 주위에서 가스 분배기의 축을 따라 분포되고, 기판 지지체, 가스 분배기, 및 최상부는 함께 통로들에 의해 가스 플레넘에 유체 연결되는 프로세스 용적을 정의함 -; 및 최상부에 결합된 에너지 소스를 구비하는 처리 챔버가 개시된다.
도 1은 일 실시예에 따른 처리 챔버의 사시 단면도이다.
도 2a는 일 실시예에 따른 가스 분배기의 사시 단면도이다.
도 2b는 도 2a의 챔버의 일부분의 상세도이다.
도 3은 일 실시예에 따른 가스 분배기의 내부 표면의 단면도이다.
도 4는 다른 실시예에 따른 가스 분배기의 상부 단면도이다.
도 5는 다른 실시예에 따른 처리 챔버의 사시 단면도이다.
도 6은 다른 실시예에 따른 처리 챔버의 사시 단면도이다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 요소들은 구체적인 언급 없이도 다른 실시예들에서 유익하게 이용될 수 있다고 고려된다.
본 개시내용에서, "최상부(top)", "최하부(bottom)", "측부(side)", "위에(above)", "아래에(below)", "위(up)", "아래(down)", "상향(upward)", "하향(downward)", "수평(horizontal)", "수직(vertical)" 등의 용어는 절대적인 방향들을 지칭하지 않는다. 대신에, 이러한 용어들은 챔버의 기준 평면, 예를 들어 챔버의 기판 처리 표면에 평행한 평면에 대한 방향들을 지칭한다.
도 1은 일 실시예에 따른 처리 챔버(100)의 사시 단면도이다. 일반적으로, 처리 챔버(100)는 처리 표면(106) 상에 복수의 기판 위치(104)를 갖는 기판 지지체(102)를 특징으로 하며, 기판 지지체(102)는 처리 표면(106)에 걸쳐 균일한 가스 유동 및 노출을 제공하는 중심 개구(108)를 갖는다. 또한, 일반적으로, 처리 챔버(100)는 처리 챔버(100)의 측벽(112) 주위의 가스 분배기(110)를 특징으로 하고, 가스 분배기(110)는 가스 분배기(110)의 둘레 주위에, 그리고 가스 분배기(110)의 축을 따라 분포된 복수의 가스 통로(114)를 갖는다.
챔버(100)는 최상부(116) 및 최하부(118)를 갖고, 이들은 측벽(112)과 함께 처리 챔버(100)의 용적(120)을 정의한다. 기판 지지체(102)는 용적(120) 내에 배치된다. 처리 챔버(100)의 최상부(116)에서, 기판 지지체(102)를 향해 용적(120) 내로 에너지를 투입하는 에너지 소스(122)가 결합된다. 에너지 소스(122)는 복사 소스, 열 소스, 또는 플라즈마 소스일 수 있다. 복사 소스들은 UV, IR, 및 가시(visible) 주파수 램프들, 레이저들 및 LED들, 또는 그들의 조합들을 포함할 수 있다. 열 소스들은 레이저들, LED들, 및 필라멘트 램프들, 또는 그들의 조합들일 수 있다. 플라즈마 소스들은 용량성, 유도성, 또는 그들의 조합일 수 있다. 처리 챔버(100)는 복수의 램프(124)를 갖는 에너지 소스(122)와 함께 도시된다. 이 경우, 램프들(124)은 처리 표면(106)에 대체로 평행한 평면을 따라 배열되고 방사상으로(radially) 배향되며, 각각의 램프(124)는 에너지 소스(122)의 주변부에 있는 전력 연결부(125), 및 에너지 소스(122)의 반경을 따라 에너지 소스의 중심을 향해 연장되는 복사 방출기(127)를 갖는다. 다른 종류의 에너지 소스들을 갖는 처리 챔버들이 이하에 설명된다. 에너지 소스(122), 또는 임의의 에너지 소스가 또한 최하부(118)에서 챔버(100)에 결합될 수 있음에 주의해야 한다. 그러한 실시예는 이하에서 도 5에 관련하여 설명된다.
램프들(124)은 임의의 편리한 방식으로 배향될 수 있다. 램프들(124)은 예를 들어 행들로 또는 열들로 배향될 수 있다. 더 높은 전력 밀도가 요구되는 경우, 복수의 램프 층이 행들로 또는 열들로 배향될 수 있다. 대안적으로, 램프들은 수직으로 배향될 수 있고, 그러한 경우에서 전력 연결부들은 챔버의 최상부를 향하고, 방출기들은 처리 표면(106)을 향한다. 에너지 소스는 처리 표면(106)에의 전력 전달의 효율성을 증가시키기 위한 반사성 내부 표면들을 가질 수 있다. 수직 배향된 램프들을 갖는 실시예에서, 각각의 램프는 각각의 램프로부터의 전력 전달을 최대화하기 위해 반사성 튜브 내에 배치될 수 있다.
요구되는 경우, 기판 지지체(102)의 중심 개구(108)를 통해 과도한 전력을 방사하는 것을 방지하기 위해, 에너지 소스의 중심 영역에서는 전력 전달이 약해질 수 있다. 램프들의 복사 방출이 챔버(100)의 중심까지 연장되지 않도록, 방사상 배향된 선형 램프들은 에너지 소스(122)의 반경의 일부인 길이로 규정될 수 있다. 환형의 복사 에너지 패턴을 기판 지지체(102)를 향해 제공하기 위해, 다른 램프 배열들이 선택될 수 있다. 일부 경우들에서, 에너지 소스(122)는 환형일 수 있다. 환형 에너지 소스의 일례가 도 5에 관련하여 아래에 설명된다.
에너지 소스(122)는 램프들의 경우에서의 에너지 방출, 또는 유도성 플라즈마의 경우에서의 인가(application)를 위해 에너지 챔버(128)를 형성하는 인클로저(126)를 일반적으로 포함한다. 분할기(129)는 에너지 소스(122)를 처리 표면(106)에 인접한 용적(120)으로부터 분리할 수 있다. 분할기(129) 및 처리 표면(106)은 함께 처리 영역(130)을 정의한다. 분할기(129)는 석영과 같은 열 저항성 재료일 수 있고, 에너지를 처리 영역(130) 내로 전달하기 위해 에너지 챔버(128) 내에서 방출되는 에너지에 대해 투명할 수 있다. 분할기(129)는 에너지 챔버(128)와 처리 영역(130) 사이의 가스 유동에 대한 배리어일 수 있고, 또는 분할기(129)는 에너지 챔버(128)와 처리 영역(130) 사이의 가스 유동을 허용하는 통로들을 가질 수 있다. 도 1의 실시예와 같은 복사 에너지 실시예에서는 분할기(129)가 가스 유동에 대한 배리어이지만, 유도성 플라즈마 실시예에서, 분할기(129)는 플라즈마가 에너지 챔버(128)로부터 통로들을 통해 처리 영역(130)으로 유동하는 것을 허용할 수 있다. 유도성 플라즈마 실시예에서, 분할기(129)는 바이어스 부재, 예를 들어 그리드 또는 천공된 평판(perforated plate)일 수 있고, 플라즈마로부터의 이온들이 처리 영역(130) 내로 유동하는 것을 촉진하기 위한 전기 바이어스로 에너지를 공급받을(energized) 수 있다.
도 1의 실시예에서, 불활성 가스는 가스 소스(131)로부터 에너지 챔버(128)로, 가스 도관(132) 및 포털(134)을 통해 에너지 챔버(128)로 제공될 수 있다. 포털(134)은 에너지 챔버(128)의 최상부(116) 또는 측벽(136) 내에 형성될 수 있다. 배출 포털(138)은 최상부(116) 또는 측벽(136) 내에 형성될 수 있고, 에너지 챔버 배출 도관(140)에 의해 진공 소스(도시되지 않음)에 결합될 수 있다. 불활성 가스는 에너지 챔버(128) 내의 복사 방출기들(127)의 냉각을 위해, 분할기(129)의 프로세스 대면 표면(process-facing surface) 상의 원하지 않는 퇴적을 방지하도록 분할기(129)를 냉각하기 위해, 그리고 분할기(129)에의 손상을 방지하기 위해 에너지 챔버(128)를 위한 압력 제어를 제공하기 위해 제공될 수 있다. 압력 조절기(142)는 에너지 챔버(128) 내의 압력을 조절하기 위해 에너지 챔버 배출 도관(140) 내에 배치될 수 있다. 제1 압력 센서(144)는 에너지 챔버(128) 내의 압력을 모니터링하기 위해 이용될 수 있다. 제2 압력 센서(146)는 처리 영역(130) 내의 압력을 모니터링할 수 있다. 제어기(148)는 처리 가스들이 에너지 챔버(128) 내에 침입하는 것을 방지하기 위해, 에너지 챔버(128) 내의 압력을 처리 영역(130) 내의 압력보다 약간 높게 유지하도록 압력 조절기(142)를 제어할 수 있다.
분할기(129)는 측벽(112)의 상측 단부(150)와 최상부(116) 사이에 위치된다. 제1 밀봉부(152)는 분할기(129)와 측벽(112) 사이에 배치될 수 있다. 제2 밀봉부(154)는 분할기(129)와 최상부(116) 사이에 배치될 수 있다. 최상부(116)는 측벽(112)의 상측 단부(150)에 접촉하는 주변 부분(peripheral portion)(156)을 가질 수 있다. 주변 부분(156)은 하나 이상의 파스너(158), 예를 들어 볼트들 또는 스크류들에 의해 측벽(112)에 체결될 수 있다. 파스너들(158)은 밀봉부들(152, 154) 상에 밀봉력을 제공할 수 있다. 분할기(129)는 방출기들(127)로부터의 에너지가 기판들의 처리를 위해 처리 영역(130)에 들어가는 것을 허용하기 위해, 복사 방출기들(127)의 선택된 방출들에 대해 일반적으로 투명하거나 투과성이다.
요구되는 경우, 에너지 챔버(128)의 내부 표면들은 분할기(129)의 표면을 제외하고 반사성 재료로 라이닝되거나(lined) 코팅될 수 있다. 반사성 재료는 에너지 챔버(128)의 환경을 견딜 수 있는 임의의 반사성 재료일 수 있다. 냉각 가스 유동은 에너지 챔버(128)의 내부 표면들의 온도를 내부 표면들에의 손상을 피하기 위한 원하는 레벨로 유지하도록 선택될 수 있다. 사용될 수 있는 반사성 재료들은 금, 은, 또는 다른 금속들, 및 유전성 반사체들(dielectric reflectors)을 포함한다. 요구되는 경우, 에너지 챔버(128)를 향하는 분할기(129)의 표면은 반사 방지 재료로 코팅될 수 있다.
가스 분배기(110)는 처리 영역(130)의 주변부 주위에서 측벽(112)에 인접하여 배치된다. 제1 가스 플레넘(160)은 가스 분배기(110) 주위에 균일한 가스 분포를 제공하기 위해, 가스 분배기(110)에 인접한 측벽(112) 내에 형성될 수 있다. 가스 분배기 내에 형성된 복수의 가스 통로(114)는 제1 가스 플레넘(160)과 처리 영역(130) 사이의 유체 연결을 제공한다. 가스 분배기(110)는 열 저항성 재료 및/또는 화학 저항성 재료일 수 있다. 에피택시와 같은 열 프로세스에 있어서, 가스 분배기(110)는 석영, 사파이어, 석영 및 사파이어의 조합, 또는 다른 열 저항성 및 화학 저항성 재료로 이루어질 수 있다. 플라즈마 프로세스에 있어서, 가스 분배기(110)는 이트리아 또는 다른 세라믹 재료와 같은 플라즈마 저항성 재료로 이루어지거나 그러한 재료로 코팅될 수 있다. 가스 분배기(110)는 측벽(112)으로부터 방사상 내측으로 연장되는 레지(ledge)(111) 및 레지(143) 상에 놓일 수 있다. 챔버 라이너(113)는 또한 레지(111)를 따라 배치될 수 있다. 슬릿 밸브(115)는 기판들이 챔버(100) 내로 들어가거나 챔버로부터 나오기 위한 통로를 제공하기 위해 측벽(112) 내에 형성될 수 있다. 슬릿 밸브(115)는 또한 라이너(117)를 가질 수 있다. 라이너들(113 및 117)은 석영, 사파이어, 또는 임의의 열 저항성 및 화학 저항성 재료일 수 있다.
가스 통로들(114)은 기판 지지체(102) 상의 기판들에 균일한 가스 노출을 제공하기 위한 임의의 편리한 배열에 따라 배열될 수 있다. 도 1의 실시예에서, 가스 통로들(114)은 하프-피치로 엇갈리게 배열되는(staggered by half-pitch), 균일하게 이격된 통로들의 5개의 행으로 배열된다. 요구되는 경우, 제1 가스 플레넘(160)은 유동 선택가능성(flow selectability)을 제공하기 위해, 하나 이상의 분할기(161)에 의해 복수의 구역으로 분할될 수 있다. 도 1의 실시예에서, 제1 가스 플레넘(160)은 제1 구역(162), 제2 구역(164), 및 제3 구역(166)을 갖는다. 제1 가스 플레넘(160)의 분할기들(161)은 측벽(112)의 둘레 주위에서 제1 가스 플레넘(160)을 통해 배치된 수평 환형 벽들이다. 각각의 분할기(161)는 측벽(112)으로부터 제1 가스 플레넘(160)에 걸쳐 가스 분배기(110)로 연장된다. 요구되는 경우, 하나 이상의 리세스(도시되지 않음)는 분할기들(161)을 수용하기 위해 가스 분배기(110) 내에 형성될 수 있고, 그에 의해 구역들(162, 164, 및 166) 사이에 개선된 격리를 제공한다. 개별 가스 도관들은 가스 유입 플레넘의 구역들(162, 164, 166)에 선택가능한 가스들을 제공할 수 있다. 제1 가스 도관(174)은 제1 구역(162)에 결합될 수 있고, 제2 가스 도관(176)은 제2 구역(164)에 결합될 수 있고, 제3 가스 도관(178)은 제3 구역(166)에 결합될 수 있다. 각각의 가스 도관(174, 176, 178)은 특정 프로세스의 필요에 따라 반응성 또는 불활성일 수 있는 선택된 가스 또는 가스 혼합물을 제공할 수 있다. 가스 도관들(174, 176, 178)과 같은 가스 도관은 구역들(162, 164, 및 166)과 같은 각각의 개별 구역에 대해 제공된다. 가스 플레넘(160)이 분할기들(161) 없이 단 하나의 구역만을 갖는 경우, 단 하나의 도관이 제공된다. 따라서, 가스 플레넘(160)은 특정 프로세스들의 필요에 따라, 챔버(100)에의 가스 입력, 또는 챔버(100)로부터의 가스 배출을 위해 이용될 수 있다.
제2 가스 플레넘(180)은 측벽(112) 내에 형성되고, 진공 소스(도시되지 않음)는 도 1의 실시예에서는 제4 가스 도관인 가스 도관(182)에 의해, 제2 가스 플레넘(180)에 결합될 수 있다. 프로세스 가스들은 도관들(174, 176, 180) 중 하나 이상을 통해 가스 플레넘(160) 내로, 그리고 가스 통로들(114)을 통해 기판 지지체(102)를 향해 유동될 수 있다. 기판 지지체(102)의 기판 위치들(104) 상에 배치된 기판들은, 기판 지지체(102)의 처리 표면(106)을 따라 개구(108)를 통해 유동하는 프로세스 가스들에 노출된다. 개구(108)를 통해 유동하는 가스를 위한 출구 경로를 제공하기 위해, 하나 이상의 배기구(184)가 기판 지지체(102)의 측벽(186) 내에 제공될 수 있다. 다음으로, 가스는 기판 지지체(102)의 내부(188) 내로, 배기구들(184)을 통해 제2 가스 플레넘(180) 내로, 그리고 가스 도관(182)을 통해 밖으로 유동될 수 있다.
챔버(100)의 내부 표면들은 라이닝될 수 있다. 하부 라이너(147)는 측벽(112)을 따라 배치될 수 있다. 하부 라이너(147)는 측벽(112)의 레지(111) 상에 놓이는 립(lip)(145)을 가질 수 있다. 하부 라이너(147)는 레지(111)로부터 챔버(100)의 최하부(118)까지 연장될 수 있다. 일 양태에서, 하부 라이너(147)는 2개의 단편(piece)으로 이루어질 수 있고, 첫번째 단편은 원통형이며 챔버(100)의 최하부에서 측벽(112)을 따라 배치되고, 두번째 단편은 레지(111) 상에 놓이는 립(145)을 갖는다. 2개의 단편 사이의 갭은 가스가 처리 영역(130)과 제2 가스 플레넘(180) 사이에서 유동되는 것을 허용할 수 있다. 다른 양태에서, 하부 라이너(147)는 레지(111)로부터 챔버의 최하부까지 연장되는 1개의 단편일 수 있고, 여기서 하부 라이너(147) 내의 개구들은 가스가 처리 영역(130)과 제2 가스 플레넘(180) 사이에서 유동하는 것을 허용한다. 플레넘 라이너(141)는 물론 제2 가스 플레넘(180) 내에 배치될 수 있다. 라이너들(147, 117, 및 141)은 석영, 사파이어, 또는 그들의 조합과 같은 열 저항성 및 화학 저항성 재료로 이루어질 수 있다. 하부 라이너(147)는 약 3mm 내지 약 10mm, 예를 들어 약 5mm의 두께를 가질 수 있다. 플레넘 라이너(141)는 약 1mm 내지 약 5mm, 예를 들어 약 2mm의 두께를 가질 수 있다.
기판 지지체(102)는 회전가능하고, 회전 어셈블리(190)에 에너지가 공급될 때, 회전 어셈블리(190)에 의해 회전될 수 있다. 회전 어셈블리(190)는 기판 지지체(102)의 하측 부분(192)에 형성된다. 하측 부분(192)은 배기구들(184) 아래에서 기판 지지체 측벽(186)으로부터 하향 연장되고, 요구되는 경우, 가스 유동을 제2 가스 플레넘(180)을 향해 지향시키기 위해, 하측 부분(192)의 숄더(shoulder)(194)가 제공될 수 있다.
회전 어셈블리(190)는 자기적으로 작동될(magnetically actuated) 수 있고, 에어 베어링 지지체에 의해 지지될 수 있다. 도 1의 기판 지지체(102)는 하측 부분(192) 내에 배치된 자기 고정자(198)를 갖는다. 자기 고정자(198)는 하측 부분(192) 내부에서 기판 지지체(102)의 둘레 주위의 환형 링 내에 배향된 복수의 자석일 수 있다. 하측 부분(192) 및 측벽(186)은 함께, 처리 표면(106) 아래에 기판 지지체(102)의 내부(188)를 정의하는 환형 인클로저를 형성한다. 자기 고정자(198)는 격납 링(containment ring)(195)에 의해 정의되는 자석 인클로저(197) 내에 배치되는 자기 회전자(magnetic rotor)(199)와 자기 결합(magnetically couple)되도록 위치된다. 격납 링(195)은 볼트 또는 스크류와 같은 하나 이상의 파스너(193)에 의해 최하부(118)에 부착될 수 있는 환형 부재이다. 자기 회전자(199)는 최하부(118)를 통해 돌출되고 자석 인클로저(197) 내부에서 자기 회전자(199)에 결합되는 기계적 회전자(191)에 의해 작동될 수 있다. 자기 회전자(199)는 하나 이상의 베어링 부재(187)를 포함하는 볼-베어링 트랙일 수 있는 베어링(189)에 의해 지지될 수 있다. 자기 회전자(199)는 하나 이상의 베어링 부재(187)를 수용하는 리세스(185)를 포함할 수 있다. 자기 회전자(199)는 또한 자기 회전자(199)를 위한 회전을 제공하기 위해 기계적 회전자(191)에 결합하는 구동 표면(183)을 포함할 수 있다. 자기 회전자(199) 및 자기 고정자(198)의 자기 결합은 자기 회전자(199)로부터 기판 지지체(102)로 회전 이동을 전달한다.
에어 베어링(181)에 의해, 기판 지지체(102)는 회전 처리 동안 격납 링(195)에 접촉하지 못하게 될 수 있다. 에어 베어링(181)은 하나보다 많은 구성을 가질 수 있다. 본 명세서에서는 에어 베어링의 3가지 상이한 구성이 설명된다. 도 1의 에어 베어링(181)은 하나의 구성이다. 에어 베어링(181)은 기판 지지체(102)의 하측 부분(192)과 격납 링(195) 사이에 배치된 에어 베어링 링(179)을 포함한다. 에어 베어링(181)은 또한 에어 베어링 링(179)에 가스를 제공하기 위해 에어 베어링 링(179)에 결합된 하나 이상의 가스 도관(177)을 포함한다. 가스 도관들(177)은 도 1에 도시된 바와 같이 공통의 가스 공급부에 결합될 수 있다. 기판 지지체(102)의 하측 부분(192)의 부동 표면(float surface)(171)을 향하는 에어 베어링 링(179)의 표면(173) 내에 복수의 홀(175)이 형성된다. 가스 도관(177)으로부터의 가스는 에어 베어링 링(179)의 내부(169) 내로 유동하고, 에어 베어링 링(179)의 내부(169)를 통해 에어 베어링 링(179)의 둘레 주위에 분포된다. 가스는 홀들(175)을 통해 유동되고, 기판 지지체(102)의 부동 표면(171)에 대하여 분리력(separation force)을 제공한다. 따라서, 동작 시에, 기판 지지체(102)는 에어 베어링 링(179)과 부동 표면(171) 사이의 가스 쿠션 상에서 부동된다(float). 가스가 에어 베어링 링(179)으로부터 방사상 외측으로 유동하기 위한 제한된 유동 경로를 제공하기 위해, 스커트(skirt)(167)가 부동 표면(171)으로부터 에어 베어링 링(179) 옆으로 연장된다. 방사상 외측 방향으로의 제한된 유동 경로는 에어 베어링 가스가 기판 지지체(102)의 내부(188)까지 방사상 내측으로 유동되는 것을 촉진한다. 진공 소스는 에어 베어링 배출 도관(165) 및 포털(163)을 통해 기판 지지체(102)의 내부(188)에 결합되어 에어 베어링 가스를 제거한다. 기판 지지체(102)의 내부(188)를 통해 에어 베어링 가스를 제거하면, 제2 가스 플레넘(180) 내로의 대량의 가스 유동이 처리 영역(130) 내의 압력 제어를 복잡하게 하는 것이 방지될 수 있다. 요구되는 경우, 압력 균형을 제공하기 위한 적절한 밸브조절(valving)과 함께, 유틸리티 가스들 둘 다를 위한 하나의 진공 소스를 이용하기 위해, 에너지 챔버 배출 도관(140)이 에어 베어링 배출 도관(165)에 결합될 수 있다.
반사기(159)는 개구(108)를 통해 전파되거나 기판들 또는 기판 지지체(102)에 의해 전달 또는 복사되는 임의의 복사를 기판 지지체(102)의 지지 표면(106)을 향해 다시 반사시키기 위해 기판 지지체(102)의 내부(188) 내에 배치될 수 있다. 반사기(159)는 반사성 부재(157) 및 지지 부재(155)를 가질 수 있다. 지지 부재(155)는 챔버(100)의 최하부(118)에 결합될 수 있거나, 최하부(118)를 통해 선택적인 액추에이터(153)로 연장될 수 있으며, 액추에이터는 요구되는 경우 반사성 부재(157)를 연장(extend) 또는 수축(retract)시킬 수 있다.
반사기(159)는 반사성 부재(157)로부터 기판 지지체(102)의 지지 표면(106)을 향해 연장되는 하나 이상의 리프트 핀(151)을 포함할 수 있다. 하나 이상의 리프트 핀(151) 각각은 기판 위치(104) 내의 개구(149)와 정합되어(in registration with) 배치될 수 있고, 그에 의해 기판 지지체(102)가 반사기(159) 및 리프트 핀들(151)에 대해 축방향으로 이동할 때, 리프트 핀들(151)은 개구들(149)을 통해 돌출하여, 기판 위치(104) 내에 배치된 기판에 접촉하고 기판을 기판 지지체(102)로부터 분리시킬 수 있다. 다음으로, 로봇 블레이드(도시되지 않음)가 슬릿 밸브(115)를 통해 연장되어, 기판을 회수(retrieve)하고 기판을 챔버(100)로부터 제거할 수 있다. 또한, 기판은 연장된 리프트 핀들(151) 상에 배치될 수 있고, 그러면, 리프트 핀들은 기판을 기판 위치(104) 내에 위치키시도록 수축될 수 있다. 일 양태에서, 리프트 핀들(151)은 에어 베어링(181) 상에서의 기판 지지체(102)의 이동에 의해 개구들(149)을 통해 연장 및 수축될 수 있다. 에어 베어링(181)은 기판 지지체(102)가 에어 베어링 링(179) 상에 놓이도록 비활성화될 수 있다. 리프트 핀들(151)의 치수들이 적절하게 선택된다면, 리프트 핀들(151)은 개구들(149)을 통해 돌출되어 기판 이송을 제공할 수 있다. 다음으로, 에어 베어링(181)이 활성화될 때, 기판 지지체(102)는 기판과 접촉하도록 이동할 수 있고, 리프트 핀들(151)은 지지 표면(106) 아래로 수축할 수 있다. 다음으로, 기판 지지체(102)는 처리 위치에 있게 되고, 리프트 핀들(151)에 접촉하지 않고서 자유롭게 회전할 수 있다. 다른 양태에서, 반사기(159)는 요구되는 대로 리프트 핀들(151)을 연장 및 수축시키도록 작동될 수 있다.
챔버(100)는 아래에서 위로(from the bottom up) 조립될 수 있다. 기계적 액추에이터(191)가 최하부(118)를 통해 배치될 수 있고, 베어링(189)이 제자리에 배치될 수 있다. 자기 회전자(199)가 베어링(189) 상에 배치될 수 있고, 다음으로 격납 링(195)이 제자리에 고정될 수 있다. 에어 베어링 링(179)은 격납 링(195) 상에 위치될 수 있고, 도관들(177)은 에어 베어링 링(179)에 결합될 수 있다. 다음으로, 반사기(159)가 제자리에 배치될 수 있고, 기판 지지체(102)가 에어 베어링 링(179) 상에 배치될 수 있다. 하부 라이너(147) 및 플레넘 라이너(141)가 제자리에 배치될 수 있고, 다음으로 가스 분배기(110)가 삽입될 수 있고, 분할기(129)가 가스 분배기(110) 위에 배치되며, 다음으로 최상부(116)가 제자리에 고정되어, 챔버(100)를 폐쇄할 수 있다. 다음으로, 가스들, 진공, 및 전력은 챔버(100)의 외부에서 다양한 포털들 및 연결들에 결합될 수 있다.
고온계들과 같은 온도 센서들은 특정한 프로세스들에 중요할 수 있는 다양한 온도들을 모니터링하기 위해 챔버(100) 내의 다양한 위치들에 배치될 수 있다. 제1 온도 센서(139)가 기판의 온도를 모니터링하기 위해 기판에 제한없이 액세스하는 것을 허용하기 위해, 온도 센서(139)는 기판 위치들(104) 중 하나 이상의 내부에 및/또는 기판 위치들 중 하나 이상을 통해 배치될 수 있다. 기판 지지체(102)가 회전되는 경우, 제1 온도 센서(139)는 무선 전력 및 데이터 전송을 가질 수 있다. 제2 온도 센서(137)는 기판 지지체 표면(106)의 온도를 모니터링하기 위해 기판 지지체 표면(106)의 밑면을 보도록 반사성 부재(157) 내에, 반사성 부재 상에, 또는 반사성 부재를 통해 배치될 수 있다. 제3 온도 센서(135)는 기판 위치들(104) 및/또는 기판 지지체 표면(106) 내에 배치된 기판들을 보도록 분할기(129) 내에, 분할기 상에, 또는 분할기를 통해 배치되어, 그러한 컴포넌트들의 온도를 모니터링할 수 있다. 제2 및 제3 온도 센서들(137, 135)은 유선 또는 무선일 수 있다.
도 2a는 다른 실시예에 따른 처리 챔버(200)의 사시 단면도이다. 챔버(200)는 도 1의 챔버(100)와 유사한 다기판 처리 챔버(multi-substrate processing chamber)이고, 많은 유사점들과 몇몇 차이점을 갖는다. 챔버(200)와 챔버(100)의 주된 차이점은 가스 유동, 에어 베어링 구성, 및 회전 구동 구성에 있다. 챔버(200)는 또한 아래에 더 설명되는 유동 피쳐를 포함하는 것으로 인해 챔버(100)의 가스 분배기(110)와는 다른 가스 분배기(296)를 갖는다.
챔버(200)는 챔버(100)의 환형 가스 유동 배열이 아니라, 중심 가스 유동 구성을 갖는다. 중심 도관(202)은 챔버의 최하부(118)를 통해 제공되며, 단부(204)는 개구(108)에 근접해 있다. 가스는 기판 지지체 측벽 내의 개구들을 통해서가 아니라, 중심 도관(202)을 통해, 그리고 개구(108)를 통해 유동될 수 있다. 따라서, 챔버(200)는 챔버(100)에서와 같은 개구들을 갖는 측벽이 아니라, 솔리드(solid) 측벽(208)을 갖는 기판 지지체(206)를 갖는다. 챔버(200)의 가스 유동 패턴은 기판 지지체(206)의 내부(188)를 프로세스 가스들에 덜 노출시키고, 이는 기판 지지체(206)의 내부 표면들 상에서의 원하지 않는 퇴적을 감소시킬 수 있다.
기판 지지체(206)는 챔버(100)의 회전 드라이브(190)와 같이 자성인 회전 드라이브(210)를 갖지만, 자기 고정자(198)는 측벽(208) 주위에 형성된 개방 채널(212) 내에 배치된 별개의 단편이다. 채널(212)은 그 위에 자기 고정자(198)가 놓이는 최하부(118), 및 외측 벽(216)을 갖는다. 에어 베어링을 기판 지지체(206)의 내부(188)로 이동시킴으로써, 자기 고정자(198)가 챔버(200) 내에서 자기 회전자(199)에 더 가깝게 위치된다. 자기 회전자(199)를 위한 격납 링(213)과 기판 지지체(206)의 하측 부분(211) 사이에 최소한의 갭(209)이 유지된다. 따라서, 회전 드라이브(210) 내에서 더 가까운 자기 결합이 달성될 수 있다.
채널(212)은 도 2a에서 하부 라이너(220)의 방사상 연장부(radial extension)로서 도시된 커버(218)에 의해 처리 영역(130) 내의 프로세스 가스들로부터 차폐될 수 있다. 도 2a의 커버(218)는 하부 라이너(220)로부터 측벽(208)을 향해 방사상 내측으로 연장되어, 측벽(208)과 함께 작은 갭(222)을 형성한다. 커버(218), 측벽(208), 하부 라이너(220), 격납 링(213), 및 챔버 최하부(118)는 함께 회전 드라이브 챔버(224)를 정의한다. 가스 퍼지는 퍼지 도관(226) 및 포털(228)에 의해 회전 드라이브 챔버(224)에 결합되어, 퍼지 가스를 회전 드라이브 챔버(224)를 통하고 갭(222)을 통해 유동시킬 수 있다. 퍼지 가스 유동은 프로세스 가스들이 처리 영역(130)으로부터 갭(222)을 통해 회전 드라이브 챔버(224) 내로 침입하는 것을 방지할 수 있다. 이것은 프로세스 가스들에 의한 화학적 공격으로부터 자기 고정자를 보호할 수 있다.
도 2b는 도 2a의 챔버(200)의 일부분의 상세도이다. 에어 베어링(230)은 기판 지지체(206)의 내측 반경(232)을 따라 위치된다. 에어 베어링(230)은 격납 링(213)으로부터 방사상 내측으로 연장되는 격납 링(213)의 연장부(234)와 기판 지지체(206)의 측벽(208)으로부터 방사상 내측으로 연장되는 레지(235) 사이에 배치된다. 레지(235)는 별개의 단편일 수 있거나, 기판 지지체(206)와 일체화될 수 있다. 에어 베어링(230)은 제1 에어 베어링 링(236) 및 제2 에어 베어링 링(238)을 포함한다. 제1 에어 베어링 링(236)은 센터링 베어링(centering bearing)인 한편, 제2 에어 베어링 링(238)은 부동 베어링(float bearing)이다. 도 2a 및 도 2b에서 제2 에어 베어링 링(238)은 제1 에어 베어링 링(236) 상에 배치된다.
제1 에어 베어링 가스 소스(240)는 제1 에어 베어링 링(236)에 결합되고, 제2 에어 베어링 가스 소스(242)는 제2 에어 베어링 링(238)에 결합된다. 2개의 가스 소스(240, 242)는 센터링 베어링 추력(thrust) 및 부동 베어링 추력의 독립적인 제어를 제공한다. 공통의 가스 소스가 또한 이용될 수 있다. 제1 에어 베어링 링(236)은 가스를 측벽(208)을 향해 지향시키기 위해 제1 에어 베어링 링(236)의 외측 반경(244)을 따라 복수의 개구(243)를 갖는다. 제1 에어 베어링 링(236)으로부터의 가스 유동은 측벽(208)과 에어 베어링 링들(236, 238) 사이의 가스 쿠션을 생성하고, 그에 따라 동작 동안 기판 지지체(206)를 위한 센터링을 제공한다. 제2 에어 베어링 링(238)은 레지(235)를 향하는 제2 에어 베어링 링(238)의 부동 표면(247) 내에 복수의 개구(246)를 갖는다. 제2 에어 베어링 링(238)으로부터의 가스 유동은 제2 에어 베어링 링(238)과 레지(235) 사이에 가스 쿠션을 생성하여, 동작 동안 기판 지지체(206)와 제2 에어 베어링 링(238) 사이의 접촉을 방지한다. 2개의 에어 베어링 링(236, 238)으로부터의 가스는 제2 에어 베어링 링(238)과 레지(235) 사이에서 기판 지지체(206)의 내부(188)로, 그리고 포털(163)을 통해 밖으로 유동될 수 있다. 가스는 측벽(208)과 제1 에어 베어링 링(236) 사이에서 갭(209)을 통해 회전 구동 챔버(224) 내로, 그리고 갭(222)을 통해 처리 영역(130) 내로 유동될 수 있다. 처리 영역(130) 내의 가스는 기판 지지체(206) 내의 개구(108)를 통해, 그리고 도관(202)을 통해 밖으로 유동될 수 있다(도 2a). 대안적으로, 프로세스 가스들은 도관(202) 및 단부(204)를 통해 챔버(200) 내로, 개구(108)를 통해 처리 영역(130) 내로, 그리고 다음으로 가스 분배기(110) 및 제1 가스 플레넘(160)을 통해 밖으로 유동될 수 있다.
챔버(200)는 챔버(100)의 반사기(159)를 갖지 않는다. 대신에, 챔버(200)의 최하부(118)는, 대체로 격납 링(213)의 내측 반경 내부에 배치되는, 반사성 코팅 또는 반사성 라이너일 수 있는 반사성 내측 표면(250)을 가질 수 있다. 격납 링(213)의 내측 반경은 또한 반사성 재료로 코팅되거나 라이닝될 수 있다. 리프트 핀들(151)은 축방향 지지체(axial support)(254) 및 횡방향 지지체(lateral support)(256)를 포함하는 리프트 핀 어셈블리(252) 상에 배치된다. 축방향 지지체(254)는 최하부(118)에 결합되거나, 최하부(118)를 통해 액추에이터(153)에 결합될 수 있다.
다시 도 2a를 참조하면, 챔버(200)는 가스 유동을 원하는 방식으로 지향시키기 위한 선택적인 유동 피쳐들을 포함할 수 있다. 도 2a는 3개의 개략적인 유동 피쳐를 도시한다. 제1 유동 피쳐(297)는 가스 분배기(296) 상에 제공된다. 제1 유동 피쳐(297)는 챔버(200) 내에 유리한 가스 유동 패턴을 제공하기 위해 임의의 원하는 방식으로 성형, 만곡(curved), 배향, 및/또는 컨투어링될(contoured) 수 있다. 제1 유동 피쳐(297)는 가스 분배기(296)와 일체형일 수 있거나 가스 분배기(296)에 부착될 수 있다. 제1 유동 피쳐(297)는 가스 분배기(296)와 동일한 재료일 수 있거나, 상이한 재료일 수 있다.
기판 지지체(206)는 제2 유동 피쳐(298) 및 제3 유동 피쳐(299)를 가질 수 있다. 기판 지지체 표면(106) 상에 배치된 제2 유동 피쳐(298)가 개략적으로 도시되어 있다. 개략적으로 기판 지지체(206)의 중심 개구(108) 내에 배치된 제3 유동 피쳐(299)가 도시된다. 제2 및 제3 유동 피쳐(298, 299)는 유리한 가스 유동 패턴을 제공하기 위해 임의의 원하는 방식으로 유사하게 성형, 만곡, 배향, 및/또는 컨투어링될 수 있다. 제2 및 제3 유동 피쳐(298, 299)는 기판 지지체(206)와 일체형일 수 있거나 기판 지지체(206)에 부착될 수 있고, 기판 지지체(206)와 동일한 재료일 수 있거나 상이한 재료일 수 있다. 각각의 유동 피쳐들(297, 298, 299) 중 하나가 도시되어 있지만, 임의의 개수의 각각의 유동 피쳐가 제공될 수 있다.
도 3은 일 실시예에 따른 가스 분배기(300)의 내부 표면의 단면도이다. 가스 분배기(300)는 챔버들(100 또는 200) 중 어느 하나의 내부에서 가스 분배기(110)로서 이용될 수 있다. 가스 분배기(300)는 가스 분배기(300)를 통해 가스를 유동시키기 위한 복수의 통로(302)를 갖는다. 통로들(302)은 원하는 방향으로 가스를 지향시키도록 기울어진다. 도 3의 실시예에서, 가스 분배기(300)의 둘레 주위에 최상부 행(302A)을 형성하는 통로들(302)은 가스 분배기(300)의 최상부 에지(304)를 향해 기울어지는 한편, 가스 분배기(300)의 둘레 주위에 최하부 행(302B)을 형성하는 통로들(302)은 가스 분배기(300)의 최하부 에지(306)를 향해 기울어진다.
통로들(302)은 지향성 가스 유동을 발생시키기 위해 임의의 원하는 방향으로 기울어질 수 있다. 가스 분배기(300)가 챔버들(100 또는 200) 중 어느 하나에서 이용되고, 분할기들(161)이 제1 가스 플레넘(160) 내에 배치되는 경우, 통로들(302)의 최상부 행(302A)은 분할기(129) 상의 퇴적을 방지하기 위한 플러시 가스(flush gas)로서 불활성 가스를 프로세스 챔버에 제공하기 위해 이용될 수 있다. 그러한 실시예에서, 플러시 가스는 분할기(129)를 향해, 분할기(129)를 따라 챔버(100 또는 200)의 중심 축을 향해 유동되고, 그리고 다음으로 개구(108)를 통해 챔버를 빠져나간다. 그러한 가스 유동 패턴은 또한 반응성 가스들을 기판 지지체 표면(106), 및 그 위에서 기판 위치들(104) 내에 배치된 임의의 기판을 향해 지향시키기 위한 유동 엔벨로프를 생성할 것이다. 임의의 개수의 개구, 및 임의의 개수의 통로들(302) 또는 통로들(114)의 행이 가스 분배기(300)와 같은 가스 분배기 내에 제공될 수 있다. 가스 분배기(300)는 97개의 가시적(visible) 통로(302)를 갖고, 따라서 전체 가스 분배기(300)는 5개의 행 내에 총 190개의 통로(302)를 가질 것이다. 통로들(302)(또는 114)은 특정 구현을 위한 필요에 따라, 1개의 행, 2개의 행, 3개의 행, 4개의 행, 또는 5개를 초과하는 임의의 개수의 행으로 배열될 수 있다. 가스 분배기(300)[및 가스 분배기(110)]는 통로들(302)의 일정한 밀도를 갖지만, 요구되는 경우 변화하는 밀도를 가질 수 있다. 통로들(302)은 원하는 가스 유동을 제공하기 위해, 통로들(302)의 전체 개수에 의존하여 크기가 정해질 수 있다. 통로들(302)은 약 2mm 내지 약 2cm, 예컨대 약 5mm 내지 약 1cm, 예를 들어 약 7mm의 직경을 가질 수 있다.
위에서 언급된 바와 같이, 가스 분배기(300)는 석영, 사파이어, 또는 그 둘의 조합과 같은 열 저항성 및 화학 저항성 재료로 이루어질 수 있다. 가스 분배기(300), 및 가스 분배기(110), 또는 본 명세서에 설명된 가스 분배기들 중 임의의 것은 가스들이 통로들(302)에서 빠져나오기 전에 가스들의 가열을 방지하기 위해, 요구되는 경우 기포 석영(bubble quartz)과 같은 불투명 재료로 이루어질 수 있다. 대안적으로, 가스 분배기(300)(또는 본 명세서에 설명되는 다른 가스 분배기들)의 내측 반경은 금 또는 유전성 반사체와 같은 반사성, 내화성(refractory), 또는 불투과성 재료로 코팅될 수 있다.
도 4는 다른 실시예에 따른 가스 분배기(400)의 상부 단면도이다. 가스 분배기(400)는 가스 분배기(400)의 반경(404)에 대해 각도 γ를 형성하는 복수의 통로(402)를 갖는다. 따라서, 통로들(402)은 가스 분배기(400)를 통해 유동되는 가스에 회전 유동을 제공한다. 가스 분배기(400)가 챔버(100) 또는 챔버(200)(또는 본 명세서에 설명된 임의의 챔버) 중 어느 하나에서 이용되는 경우, 가스가 처리 영역(130)에 진입할 때 회전 유동이 가스에 부여되어, 기판 지지체(102 또는 206)의 회전을 보완하거나 상쇄시키거나 다르게 상호작용할 수 있다. 일부 경우들에서는, 그러한 유동 패턴이 처리 균일성을 개선할 수 있다. 도 4의 각도지정(angling)은 임의의 원하는 방식으로 도 3의 각도 지정과 결합될 수 있다.
도 5는 다른 실시예에 따른 처리 챔버(500)의 사시 단면도이다. 챔버(500)는 많은 면에서 챔버들(100 및 200)과 유사하다. 챔버(500)는 챔버들(100 및 200)에서와 같이 중심 개구(108)를 갖는 자기 회전가능한(magnetically rotatable) 기판 지지체(502)를 갖는 다기판 처리 챔버이다. 챔버(500)는 챔버(200)와 마찬가지로 중심 도관(504)을 갖는다. 챔버(500)는 주로 에너지 소스의 배치에 있어서 챔버들(100 및 200)과 다르다. 챔버(500)는 챔버들(100 및 200)의 최상부(116)에 결합된 에너지 소스들을 갖는 챔버들(100 및 200)과는 대조적으로, 챔버 최하부(118) 부근에 위치된 에너지 소스(506)를 갖는다. 챔버(500)는 측벽(112)의 상측 단부(150)에 체결되는 리드(508)를 특징으로 한다.
에너지 소스(506)는 램프들일 수 있는 방출기들(512)을 갖는 에너지 챔버(510)를 형성하는 인클로저(508)를 특징으로 한다. 도 5의 실시예에서, 에너지 소스(506)는 중심 도관(504)을 수용하는 중심 통로(516)를 구비하는 환형 부재이다. 챔버(500)의 리프트 핀 어셈블리(518)는 중심 통로(516)를 통과하는 축방향 지지 부재(520)를 포함한다. 도 5의 실시예에서, 중심 도관(504)을 통과하는 축방향 지지 부재(520)가 도시되어 있다. 다른 실시예에서, 중심 도관(504)은 리프트 핀 어셈블리의 칼라 지지체(collar support)를 통해 배치될 수 있고, 칼라 지지체는 중심 도관(504) 주위에 배치된다. 축방향 지지 부재(520)는 리프트 핀들(151)을 지지하는 횡방향 지지 부재(522)를 지지한다. 리프트 핀 어셈블리(518)는 축방향 지지 부재(520)을 연장시키고 수축시킴으로써 리프트 핀들(151)을 연장시키고 수축시키는 액추에이터(524)를 또한 가질 수 있다. 방출기들(512)은 에너지 소스(506)의 주변부 주위에 배치된다. 전력 도관들(도시되지 않음)은 에너지 소스(506)의 외측 벽(526)을 통해, 그리고 챔버 최하부(118)를 통해 전력 연결부들(514)로 라우팅될 수 있다. 에너지 소스(506)는 예를 들어 중심 도관(504)을 둘러싸는 넥(530)에 결합된 플랜지(528)에 의해, 챔버 최하부(118)에 체결될 수 있다. 넥(530)은 에너지 소스(506)의 내측 벽(532)으로부터 연장되고, 내측 벽(532)과 함께 중심 통로(516)를 정의한다.
에너지 소스(506)는 처리 영역(130) 내에서의 프로세스 가스들에 대한 방출기들(512)의 노출을 방지하는 분할기(534)를 가질 수 있다. 에너지 챔버(510)는 밀봉부들(536)에 의해 밀봉될 수 있고, 불활성 가스는 에너지 소스(506)의 하부 표면(542) 내의 포털(540)에 결합되고 챔버 최하부(118)를 통해 가스 소스(도시되지 않음)로 가는 가스 도관(538)을 이용하여 에너지 챔버(510)를 통해 유동될 수 있다. 가스는 방출기들(512)을 위한 냉각, 및 에너지 챔버(510)를 위한 압력 제어를 제공할 수 있다. 가스는, 챔버들(100 및 200)에서와 같이 압력 센서들(144 및 146)에 기초하여 제어기(148)에 의해 제어되는 압력 조절기(142)를 가질 수 있는 배출 포털(544) 및 배출 도관(546)을 통해 배출될 수 있다.
챔버(500)는 챔버들(100 및 200)의 에어 베어링들과는 다른 에어 베어링(550)을 갖는다. 챔버들(100 및 200)의 에어 베어링들과는 대조적으로, 에어 베어링(550)은 기판 지지체(502)의 외측 벽(216) 주위에 배치된다. 레지(552)는 외측 벽(216)으로부터 방사상 외측으로 연장된다. 챔버(500)는 격납 링(558)을 갖고, 격납 링은 격납 링(558)의 외측 반경(553)에서 연장부(554)를 갖는다. 에어 베어링(550)은 연장부(554)와 레지(552) 사이에서 연장부(554) 상에 배치된다. 에어 베어링(550)은 연장부(554) 상에 배치된 제1 에어 베어링 링(555)을 포함하고, 제1 에어 베이링 링은 기판 지지체(502)의 센터링을 위해 외측 벽(216)에 대하여 가스 유동을 지향시키기 위해 내측 반경에 개구를 갖는다. 에어 베어링(550)은 제1 에어 베어링 링(555) 상에 배치된 제2 에어 베어링 링(556)을 가지며, 제2 에어 베이링 링은 가스 유동을 레지(552)에 대하여 지향시키기 위해 상측 표면 내에 개구들을 갖는다. 제2 에어 베어링 링(556)은 활성화될 때, 기판 지지체(502)를 부동시키기 위해 제2 에어 베어링 링(556)과 레지(552) 사이에 에어 쿠션을 생성한다. 제1 에어 베어링 링(555)은 활성화될 때, 기판 지지체(506)를 센터링하기 위해 에어 베어링(550)과 외측 벽(216) 사이에 가스 쿠션을 생성한다.
도 6은 다른 실시예에 따른 처리 챔버(600)의 사시 단면도이다. 처리 챔버(600)는 처리 챔버(200)와 유사하지만, 상이한 에너지 소스를 갖는다. 챔버(600)는 챔버(600)의 최상부(604)에 결합된 유도성 플라즈마 에너지 소스(602)를 갖는다. 최상부(604)는 돔일 수 있고, 유도성 플라즈마 에너지 소스(602)는 최상부(604) 주위에 배치되고 RF 소스(608)에 전기 결합된 복수의 전도성 코일(606)을 포함할 수 있다. 가스 소스(610)는 최상부(604) 내에 형성된 포털(614) 및 도관(612)에 의해 최상부(604)에 결합될 수 있다. 가스 소스(610)는 최상부(604) 내부의 에너지 챔버(616)에 플라즈마 가스를 제공할 수 있다. 에너지 챔버(616) 내의 플라즈마 가스에 RF 에너지를 결합시키기 위해, 전도성 코일들(606)에 에너지가 공급될 수 있다. 플라즈마는 에너지 챔버(616) 내에 형성될 수 있고, 처리 영역(130) 내의 프로세스 가스들을 활성화시키기 위해 이용될 수 있다. 플라즈마로부터의 이온들 및 전자들은 챔버(600) 내의 압력 기울기(pressure gradient)에 따라 에너지 챔버(616)로부터 처리 영역(130) 내로 유동될 수 있고, 가스 분배기(296)를 통해 챔버(600)에 진입하는 프로세스 가스들과 상호작용하여 그 프로세스 가스들을 활성화할 수 있다. 요구되는 경우, 이온들이 처리 영역(130) 내로 유동되는 것을 촉진하기 위해, 바이어스 부재(129)(도 6에는 도시되지 않음)와 같은 바이어스 부재가 챔버(600) 내에 포함될 수 있다. 바이어스 부재는 절연체로 코팅될 수 있는 전도성 그리드 또는 천공된 평판일 수 있으며, 최상부(604)와 측벽(212) 사이에 위치될 수 있다.
챔버들(100, 200, 500 및 600)의 외부 표면들은 대체로 금속, 예컨대 스테인레스 스틸이다. 따라서, 챔버 바디 및 측벽들, 최하부, 및 리드는 대체로 금속이다. 챔버들(100, 200, 및 500)의 내부 표면들은 대체로 석영, 사파이어, 또는 그들의 조합과 같은 열 저항성 및 화학 저항성 재료로 커버되거나 라이닝되거나 코팅된다. 기판 지지체들(102, 206, 502)은 전형적으로 실리콘 카바이드, 또는 실리콘 카바이드로 코팅된 흑연과 같은 고 방사율 저 열용량 재료로 이루어진다. 분할기들(127 및 534)은 전형적으로 선택된 에너지 파장들에 대해 투명하거나 투과성이고, 석영, 사파이어, 또는 그들의 조합과 같은 열 저항성 및 화학 저항성 재료로 이루어질 수 있다. 격납 링들(195, 213, 558), 및 에어 베어링 링들(179, 236, 238, 555, 및 556)과 같은 다른 챔버 내부 부품들은 금속, 예를 들어 스테인레스 스틸일 수 있고, 또는 반응성 가스들에 대한 상당한 노출이 예상되는 경우에는 열적 및 화학적 저항성을 가질 수 있다. 격납 링들의 자석 인클로저들 내부의 컴포넌트들은 임의의 편리한 재료일 수 있다. 자석들은 임의의 알려진 영구 자성 재료들일 수 있다.
상술한 것은 특정 실시예들에 관한 것이지만, 다른 실시예들 및 추가의 실시예들은 본 개시내용의 기본 범위로부터 벗어나지 않고서 만들어질 수 있다.

Claims (16)

  1. 처리 챔버로서,
    함께 결합되어 용적을 정의하는 최상부, 최하부, 및 측벽;
    상기 측벽 주위의 가스 분배기 - 상기 가스 분배기는 상기 가스 분배기의 둘레 주위에 분포된 복수의 가스 통로를 가지고, 상기 가스 통로들의 일부분은 상기 가스 분배기의 최상부 표면을 향해 기울어짐 -;
    상기 용적 내에 배치되는 기판 지지체 - 상기 기판 지지체는 중심 개구, 상기 중심 개구 주위에 분포된 복수의 기판 위치, 및 자기 고정자를 가지고, 상기 기판 위치들의 각각은 리프트 핀들과 정렬되는 복수의 개구들을 포함함 -;
    상기 기판 지지체의 주변부 내에 있고, 상기 최하부 및 상기 기판 지지체 아래의 펌핑 포트;
    상기 최상부 또는 상기 최하부에 결합된 복사 에너지 소스; 및
    상기 기판 지지체의 상기 측벽 내에 배치된 반사판 - 상기 기판 위치들과 상기 반사판 사이의 상기 기판 지지체의 상기 측벽 내에 복수의 배기구가 형성됨 -
    을 포함하는 처리 챔버.
  2. 제1항에 있어서, 상기 최하부를 따르는 채널 내의 자기 회전자, 및 상기 자기 회전자와 상기 기판 지지체 사이의 에어 베어링을 더 포함하는 처리 챔버.
  3. 제1항에 있어서, 상기 복수의 배기구들의 각각은 상기 기판 지지체의 중심 개구와 유체 연통되는, 처리 챔버.
  4. 제3항에 있어서, 상기 기판 지지체 아래에 제2 펌핑 포트를 더 포함하는 처리 챔버.
  5. 제4항에 있어서, 상기 기판 지지체는 상기 자기 고정자로부터 연장되는 둘레 배플(circumferential baffle)을 포함하는 처리 챔버.
  6. 제1항에 있어서, 상기 반사판은 상기 기판 지지체와 상기 최하부 사이에 위치한, 처리 챔버.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
KR1020177021591A 2015-01-02 2015-11-24 처리 챔버 KR102413455B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562099264P 2015-01-02 2015-01-02
US62/099,264 2015-01-02
US201562105906P 2015-01-21 2015-01-21
US62/105,906 2015-01-21
PCT/US2015/062390 WO2016109063A1 (en) 2015-01-02 2015-11-24 Processing chamber

Publications (2)

Publication Number Publication Date
KR20170101988A KR20170101988A (ko) 2017-09-06
KR102413455B1 true KR102413455B1 (ko) 2022-06-27

Family

ID=56284889

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021591A KR102413455B1 (ko) 2015-01-02 2015-11-24 처리 챔버

Country Status (6)

Country Link
US (1) US10923386B2 (ko)
KR (1) KR102413455B1 (ko)
CN (1) CN107109645B (ko)
SG (1) SG11201704367QA (ko)
TW (1) TWI695093B (ko)
WO (1) WO2016109063A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016200568A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. An injector for semiconductor epitaxy growth
US10781533B2 (en) * 2015-07-31 2020-09-22 Applied Materials, Inc. Batch processing chamber
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
TWI604630B (zh) * 2016-12-13 2017-11-01 茂迪股份有限公司 半導體基板的處理裝置
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
CN108461387B (zh) * 2018-03-19 2020-06-19 北京北方华创微电子装备有限公司 功率馈入机构、旋转基座装置及半导体加工设备
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
US10732046B2 (en) * 2018-09-10 2020-08-04 Asm Ip Holding Bv System and method for thermally calibrating semiconductor process chambers
KR102546322B1 (ko) * 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20210095374A1 (en) * 2019-04-01 2021-04-01 Veeco Instruments, Inc. CVD Reactor Single Substrate Carrier and Rotating Tube for Stable Rotation
WO2020214209A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. In-situ metrology and process control
CN111501020A (zh) * 2020-06-10 2020-08-07 北京北方华创微电子装备有限公司 半导体设备
US11971057B2 (en) 2020-11-13 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gas transport system
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
US20220205478A1 (en) * 2020-12-28 2022-06-30 Mattson Technology, Inc. Workpiece Support For A Thermal Processing System
TWI787823B (zh) * 2021-05-17 2022-12-21 天虹科技股份有限公司 可減少微塵的基板處理腔室及其遮擋機構
KR102606837B1 (ko) * 2021-11-02 2023-11-29 피에스케이 주식회사 상부 전극 유닛, 그리고 이를 포함하는 기판 처리 장치
CN114420604A (zh) * 2022-01-17 2022-04-29 北京北方华创微电子装备有限公司 工艺腔室组件、半导体工艺设备及其方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
US5468299A (en) * 1995-01-09 1995-11-21 Tsai; Charles S. Device comprising a flat susceptor rotating parallel to a reference surface about a shaft perpendicular to this surface
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
KR100538865B1 (ko) * 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR101015063B1 (ko) * 2003-08-27 2011-02-16 주성엔지니어링(주) 복수 기판 홀더 및 이를 장착한 챔버
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101053047B1 (ko) * 2008-05-06 2011-08-01 삼성엘이디 주식회사 화학 기상 증착 장치
US8388853B2 (en) * 2009-02-11 2013-03-05 Applied Materials, Inc. Non-contact substrate processing
KR20110041665A (ko) * 2009-10-16 2011-04-22 주식회사 아토 기판처리장치
CN102439710B (zh) * 2010-03-25 2017-03-29 应用材料公司 用于多个基材处理的分段基材负载
KR20110116901A (ko) * 2010-04-20 2011-10-26 엘아이지에이디피 주식회사 서셉터 회전장치 및 이를 이용한 화학기상 증착장치
KR101928348B1 (ko) * 2011-04-08 2018-12-12 어플라이드 머티어리얼스, 인코포레이티드 자외선 처리, 화학적 처리, 및 증착을 위한 장치 및 방법
US20130087093A1 (en) * 2011-10-10 2013-04-11 Applied Materials, Inc. Apparatus and method for hvpe processing using a plasma
CN106935532B (zh) * 2012-01-26 2021-01-26 应用材料公司 具有顶部基板支撑组件的热处理腔室
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN107658245A (zh) 2013-01-16 2018-02-02 应用材料公司 石英上拱形结构及下拱形结构
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
KR101819095B1 (ko) * 2013-03-15 2018-01-16 어플라이드 머티어리얼스, 인코포레이티드 Epi 프로세스를 위한 균일성 튜닝 렌즈를 갖는 서셉터 지지 샤프트
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN105164309B (zh) 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计

Also Published As

Publication number Publication date
KR20170101988A (ko) 2017-09-06
CN107109645B (zh) 2021-02-26
US20160197001A1 (en) 2016-07-07
CN107109645A (zh) 2017-08-29
TWI695093B (zh) 2020-06-01
SG11201704367QA (en) 2017-07-28
TW201625824A (zh) 2016-07-16
WO2016109063A1 (en) 2016-07-07
US10923386B2 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
KR102413455B1 (ko) 처리 챔버
JP6368773B2 (ja) 空間的に分散されたガス流路を有する流量制御ライナー
US10626500B2 (en) Showerhead design
US11495479B2 (en) Light pipe window structure for thermal chamber applications and processes
KR102343692B1 (ko) 저압 열 프로세스들을 위한 광 파이프 구조물 윈도우
TWI747282B (zh) 加熱裝置及包括其之有機金屬化合物化學氣相沉積設備
KR20190082333A (ko) 챔버 내 히터 및 웨이퍼 회전 메커니즘을 위한 프로세스 키트 설계
US20220262657A1 (en) Pedestal with multi-zone heating
US20200045776A1 (en) Multizone lamp control and individual lamp control in a lamphead
US20160348240A1 (en) High speed epi system and chamber concepts
US20150368830A1 (en) One-piece injector assembly and one-piece exhaust liner
KR102495469B1 (ko) 일괄 처리 챔버
KR20140037906A (ko) 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant