KR20070097296A - 오염물을 감소시키는 기판 이송 및 지지 시스템 - Google Patents

오염물을 감소시키는 기판 이송 및 지지 시스템 Download PDF

Info

Publication number
KR20070097296A
KR20070097296A KR1020067019440A KR20067019440A KR20070097296A KR 20070097296 A KR20070097296 A KR 20070097296A KR 1020067019440 A KR1020067019440 A KR 1020067019440A KR 20067019440 A KR20067019440 A KR 20067019440A KR 20070097296 A KR20070097296 A KR 20070097296A
Authority
KR
South Korea
Prior art keywords
substrate
support
coating
diamond
chamber
Prior art date
Application number
KR1020067019440A
Other languages
English (en)
Other versions
KR101400256B1 (ko
Inventor
비제이 디. 팍헤
매튜 레오폴드
티모씨 로난
토드 더블유. 마틴
에드워드 엔쥐
니틴 쿠르라나
송문 서
리챠드 페이
크리스토퍼 헤케르티
미챌 라이스
다릴 안젤로
쿠트 제이. 아하맨
매튜 씨. 티사이
스티브 산소니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070097296A publication Critical patent/KR20070097296A/ko
Application granted granted Critical
Publication of KR101400256B1 publication Critical patent/KR101400256B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

기판 지지체는 지지 구조물 및 상기 지지 구조물 상에 탄소-수소 네트워크를 가지는 코팅을 포함한다. 코팅은 약 0.3 미만의 마찰 계수 및 적어도 약 8Gpa의 경도를 가지는 콘택 표면을 포함한다. 코팅의 콘택 표면은 콘택 표면과 접촉하는 기판의 마찰 및 오염을 감소시킬 수 있다. 일 형태에서, 지지 구조물은 전극을 커버하는 유전체를 포함한다. 유전체 상의 다수의 메사는 그 상부에 콘택 표면을 가지는 코팅을 포함한다. 또 다른 형태에서, 기판 오염물은 기판을 지지하기 위해 상승된 돌출부를 가지는 한 쌍의 아치형 핀(fin)을 갖춘 기판 리프팅 어셈블리를 제공함으로써 감소된다.

Description

오염물을 감소시키는 기판 이송 및 지지 시스템{CONTAMINANT REDUCING SUBSTRATE TRANSPORT AND SUPPORT SYSTEM}
본 발명의 실시예들은 프로세스 챔버들내에서 기판 이송 및 지지에 이용되는 부품에 관한 것이다.
CPU, 디스플레이 및 메모리들의 전자 회로들은 기판 상에 재료들을 증착 또는 형성한 다음 상기 재료들을 선택적으로 에칭함으로써 프로세스 챔버에서 제조된다. 기판은 반도체 웨이퍼 및 전기 보드를 포함한다. 기판 재료는 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 산화, 질화 및 이온주입과 같은 프로세스에 의해 증착 또는 형성된다. 다음 기판 재료들은 에칭되어 기판 상에 전기 회로 라인, 비아, 및 다른 피쳐들을 형성한다. 통상적인 프로세스 챔버는 기판 지지체, 가스 분배기 및 배기 포트를 에웨싸는 엔크로져 벽을 포함하며, 고주파(RF) 또는 마이크로파 에너지에 의해 챔버내의 프로세스 가스를 활성화시키는 가스 에너자이저를 포함한다.
이송 및 지지 구조물의 콘택 표면은 통상적인 프로세스 주기 에서 기판을 이송 및 지지하는 동안 기판과 접촉한다. 통상적으로, 기판은 로드락 챔버내의 카세트의 기판 스택으로부터 로봇 암에 의해 작동하는 이송 블레이드 상의 프로세스 챔 버로 이송된다. 이송된 기판은 리프트 핀들의 설정점(set) 상에 위치되며, 상기 리프트 핀들은 기판 지지체의 수용 표면상에 기판 후면이 위치되도록 기판 지지체의 홀들을 통해 하강된다. 기판 지지체는 페데스탈, 기판을 흡착하여 하강시키기 위한(suck down) 진공 포트를 가지는 진공 척, 또는 기판을 보유하는 정전기력을 생성하기 위한 전압이 인가되는 전극을 커버하는 유전체를 포함하는 정전기 척을 포함할 수 있다. 일부 프로세스에서, 기판은 기판의 가스 배기를 위해 탈가스(degassing) 히터 플레이트로 먼저 이송되고 위치된다. 또한 기판은 급속 열 처리 또는 다른 고온 프로세스 이후 기판을 냉각시키기 위해 쿨-다운 페데스탈로 이송될 수 있다. 또한 기판이 지지체 상에 보유되지 않을 때 기판 지지체의 수용 표면을 보호하기 위해 셔터 디스크가 사용될 수도 있다.
기판과 접촉하는 콘택 표면들은 미립자 오염물로 기판 표면을 직접적으로 또는 간접적으로 오염시킨다. 예를 들어, 기판 지지 페데스탈의 스테인레스 스틸 표면, 냉각 플레이트, 또는 탈가스 히터는 기판 뒤쪽 표면상의 소량의(trace amount)철, 크롬 또는 구리를 남겨둘 수 있다. 니켈 코팅된 로봇 블레이드는 이들이 기판의 상승 및 이송에 사용될 때 잔류하는 니켈 미립자로 기판을 오염시킬 수 있다. 유사하게, 알루미늄 페데스탈은 기판 뒤쪽 표면 상에 알루미늄 미립자를 남겨둘 수 있다. 비록 미립자 오염물이 비활성적인 기판 뒤쪽 표면상에 증착되더라도, 이들은 순차적인 고온 어닐링 프로세스 에서 활성적인 정면측으로 확산될 수 있어, 기판에 형성되는 회로 또는 디스플레이의 단락 또는 결함을 야기시킬 수 있다. 로봇 이송 블레이드 및 리프팅 어셈블리들과 같은 이송 부품들과 뒤쪽 에지의 마찰로 인 해, 기판 뒤쪽 에지는 특히 다수의 오염 입자들을 포함할 수 있다. 또한 오염물들은 기판으로부터 부서져 다른 기판 상에 떨어져 이를 오염시킬 수 있다. 이러한 오염물들은 기판으로부터 얻어지는 회로들 또는 디스플레이들의 유효 수율을 결국 감소시킨다.
따라서, 기판 수율 및 프로세스 효율을 증가시키기 위해 기판 뒤쪽의 오염을 감소시키는 것이 바람직하다.
일 형태에서, 기판 지지체는 지지 구조물 및 상기 지지 구조물 상에 탄소-수소 네트워크를 가지는 코팅을 포함한다. 코팅은 약 0.3 미만의 마찰 계수 및 적어도 약 8GPa의 경도(hardness)를 가지는 콘택 표면을 포함한다. 코팅의 콘택 표면은 콘택 표면과 접촉하는 기판의 오염물 및 마모를 감소시킬 수 있다. 일 형태에서, 기판 지지체는 전극을 커버하는 유전체 및 상기 유전체 상의 다수의 메사를 포함한다. 메사는 티타늄층 위에 다이아몬드-형 재료의 코팅을 포함한다.
또 다른 형태에서, 기판 지지체는 세라믹 콘택 표면을 가지는 지지 구조물이다. 세라믹 콘택 표면은 기판의 오염을 감소시키기 위해 금속이 약 5×1012 atoms/㎠ 미만인 금속 농도 레벨을 포함한다.
또 다른 형태에서, 기판 열교환 페데스탈은 다이아몬드-형 재료의 코팅을 갖는 지지 구조물이다. 또한 열교환 페데스탈은 지지 구조물내에 기판을 가열 또는 냉각시킬 수 있는 열 교환기를 포함한다.
또 다른 형태에서, 열교환 페데스탈은 실리콘 카바이드 코팅을 갖는 지지 구조물이다. 상기 코팅은 금속이 약 5×1012 atoms/㎠ 미만인 금속 오염물 농도 레벨을 가지는 콘택 표면이다. 또한 열교환 페데스탈은 지지 구조물내에 기판을 가열 또는 냉각할 수 있는 열 교환기를 포함한다.
또 다른 형태에서, 기판 열교환 페데스탈은 실리콘 질화물을 포함하는 코팅을 가지는 지지 구조물이다. 코팅은 금속이 약 5×1012 atoms/㎠ 미만인 금속 오염물 농도 레벨을 가지는 콘택 표면이다. 콘택 표면은 기판의 농도를 감소시킬 수 있다. 열교환 페데스탈은 지지 구조물에서의 열 교환기로, 상기 열 교환기는 기판을 가열 또는 냉각시킬 수 있다.
또 다른 형태에서, 기판 지지체의 표면 상에 재료의 증착을 방지하고 마찰 오염을 감소시키기 위한 셔터는 지지 표면의 적어도 일부를 커버하는 금속 디스크를 포함한다. 디스크는 약 0.3 미만의 마찰 계수 및 적어도 약 8GPa의 경도를 가지는 다이아몬드-형 재료의 코팅을 포함하는 하부 표면을 구비한다. 코팅은 하부 표면이 지지 표면과 접촉할 때 금속 미립자에 의한 지지 표면의 오염을 감소시킬 수 있다.
또 다른 형태에서, 기판 지지체 표면상에 재료 증착을 방지하고 마찰 오염을 감소시키기 위한 셔터는 지지 표면의 적어도 일부를 커버하는 금속 디스크를 포함한다. 디스크는 약 5×1012 atoms/㎠ 미만의 오염 금속을 가지는 세라믹 코팅을 갖춘 하부 표면을 포함한다. 하부 표면은 상기 하부 표면이 지지 표면과 접촉할 때 금속 미립자에 의한 지지 표면의 오염을 감소시킬 수 있다.
또 다른 형태에서, 지지체로부터 기판을 들어올리는 리프트 핀 어셈블리는 다수의 리프트 핀을 포함한다. 각각의 리프트 핀은 기판이 접촉하도록 콘택 표면을 가지는 팁(tip)을 갖춘 이동식 연장(elongated) 부재를 포함한다. 콘택 표면은 다이아몬드-형 재료를 포함한다.
또 다른 형태에서, 지지체로부터 기판을 상승시키는 리프트 핀 어셈블리는 다수의 리프트 핀을 포함하며, 이들 각각의 리프트 핀은 기판이 접촉하도록 세라믹 콘택 표면을 가지는 팁을 갖춘 이동식 연장 부재를 포함한다. 세라믹 콘택 표면은 금속이 약 5×1012 atoms/㎠ 미만인 금속 오염 농도 레벨을 갖는다.
또 다른 형태에서, 기판과 열을 교환할 수 있는 열교환 페데스탈은 상부 표면을 갖춘 금속 바디를 포함한다. 기판과 접촉하는 콘택 표면을 가지는 코팅은 금속 바디의 상부 표면을 커버한다. 열 교환기는 콘택 표면 아래에 있으며 기판을 가열 또는 냉각시킬 수 있다.
또 다른 형태에서, 플라즈마 영역에서 지지 부품을 코팅하는 방법은 플라즈마 영역에서 표면을 가지는 지지 구조물을 위치시키는 단계를 포함한다. 금속은 지지 구조물의 표면 상에 스퍼터링된 금속을 증착하도록 스퍼터링된다. 스퍼터링된 금속과 동시에 지지 구조물의 표면상에 화학적 기상 증착 재료를 증착하기 위한 스퍼터링을 하면서 프로세스 가스가 도입된다.
또 다른 형태에서, 기판의 미립자 오염을 감소시킬 수 있는 기판 지지 구조물은 바디 및 상기 바디 상의 다이아몬드-형 코팅을 포함한다. 다이아몬드-형 코팅은 (i) 탄소 및 수소, 및 (ii) 실리콘 및 산소의 인터링크된 네트워크를 포함한다. 또한 다이아몬드-형 코팅은 (i) 약 0.3 미만의 마찰 계수, (ii) 적어도 약 8 GPa의 경도, 및 (iii) 금속이 약 5×1012 atoms/㎠ 미만인 금속 농도 레벨을 갖는 콘택 표면을 포함한다. 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시킨다.
또 다른 형태에서, 기판의 미립자 오염을 감소시킬 수 있는 탈가스 페데스탈은 리세스형 리지를 가지는 디스크를 구비한 바디를 포함한다. 바디 상에는 다이아몬드-형 코팅이 제공되며, 다이아몬드-형 코팅은 (i) 탄소 및 수소, 및 (ii) 실리콘 및 산소의 인터링크된 네트워크를 포함한다. 또한 다이아몬드-형 코팅은 (i) 약 0.3 미만의 마찰 계수, (ii) 적어도 약 8 GPa의 경도, 및 (iii) 금속이 약 5×1012 atoms/㎠ 미만인 금속 농도 레벨을 갖는 콘택 표면을 포함한다. 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시킨다.
또 다른 형태에서, 기판 지지체로부터 기판을 상승시켜 기판을 이송하는 기판 리프팅 어셈블리는 기판 지지체의 주변부 부근에 고정되는 크기의 후프(hoop), 및 상기 후프 상에 장착되는 한 쌍의 아치형 핀(fins)을 포함한다. 각각의 아치형 핀(fin)은 방사상 안쪽 방향으로 연장되는 레지들(ledges)을 가지는 한 쌍의 마주하는 단부를 포함하며, 각각의 레지는 기판을 상승시키는 상승된 돌출부를 포함하며, 기판은 실질적으로 상승된 돌출부에만 접촉되어, 한 쌍의 핀이 기판 지지체로부터 기판을 들어올리는데 이용될 때, 레지와의 접촉을 최소화시킨다.
또 다른 형태에서, 열교환 지지체는 그루브 패턴의 기판 수용 표면을 가지는 바디를 포함한다. 다이아몬드-형 코팅은 기판 수용 표면을 커버하며, 다이아몬드-형 코팅은 탄소, 수소, 실리콘 및 산소 네트워크를 포함하며, 기판 수용 표면 상부는 그루브 패턴을 포함한다. 또한 열교환 지지체는 바디와 열을 교환하는 열 교환기를 포함한다.
또 다른 형태에서, 기판 이송 시스템은 프로세스 챔버의 기판 지지체 상으로 기판을 이송한다. 이송 시스템은 챔버 속으로 기판을 이송하는 이송 암, 챔버에서 이송 암의 위치를 검출하고 상기 위치와 관련된 신호를 생성하는 검출기, 이송 암으로부터 기판을 수용하고 지지체 상으로 기판을 하강시키도록 구성된 리프팅 어셈블리, 이송 암, 검출기를 제어하는 프로그램 코드를 포함하는 제어기, 및 기판 지지체 상으로 기판을 이송하는 리프팅 어셈블리를 포함한다. 프로그램 코드는, (1) 검출기로부터의 신호를 수신하고 프로세스 챔버에서 기판의 위치를 검출하고, (2) 기판의 검출된 위치와 프로세스 챔버의 중심부 간의 차(difference)를 포함하는 오프셋 간격을 계산하고, (3) 실질적으로 지지체의 중심부 위의 기판 위치로 이송 암의 이동을 제어하기 위해 오프셋 간격과 관련된 제어 신호를 생성함으로써, 실질적으로 지지체의 중심부 위의 기판 위치로 기판 이송 암의 이동을 제어하는 기판 센터링 제어 코드를 포함한다.
또 다른 형태에서, 기판 프로세싱 장치는 가스 공급부, 가스 에너자이저, 챔버내의 기판을 지지하는 기판 지지체 - 상기 지지체는 리세스형 주변 리지(ledge)를 가지는 디스크 바디를 포함함 - 가스 배기구, 및 지지체로부터 기판을 상승시키는 리프팅 어셈블리를 갖춘 프로세스 챔버를 포함한다. 리프팅 어셈블리는 (1)기판 지지체의 주변부 부근에 고정되는 크기의 후프, (2) 상기 후프상에 장착되는 한 쌍의 아치형 핀들(fins)을 포함하며, 각각의 아치형 핀은 방사상 안쪽 방향으로 연장되는 리지들을 가지는 한 쌍의 대향 단부를 가지며, 각각의 리지는 기판을 상승시키는 상승된 돌출부를 포함하여, 실질적으로 기판은 상승된 돌출부에만 접촉되어, 한 쌍의 핀이 기판 지지체로부터 기판을 상승시키는데 이용될 때, 리지와의 접촉이 최소화된다. 또한 상기 장치는 챔버 속으로 기판을 이송하는 이송 암, 챔버에서 이송 암의 위치를 검출하고 상기 위치와 관련된 신호를 생성하는 검출기, 및 프로세스 챔버 속으로 그리고 기판 지지체 상으로 기판을 이송하기 위해, 가스 공급부, 가스 에너자이저, 지지체, 기판 리프팅 어셈블리, 이송 암 및 검출기를 제어하는 프로그램 코드를 갖춘 제어기를 포함한다. 프로그램 코드는, (1) 검출기로부터의 신호를 수신하고 프로세스 챔버에서 기판의 위치를 검출하고, (2) 기판의 검출된 위치와 프로세스 챔버의 중심부 간의 차(difference)를 포함하는 오프셋 간격을 계산하고, (3) 실질적으로 지지체의 중심부 위의 기판 위치로 이송 암의 이동을 제어하기 위해 오프셋 간격과 관련된 제어 신호를 생성함으로써, 실질적으로 지지체의 중심부 위의 기판 위치로 기판 이송 암의 이동을 제어하는 기판 센터링 제어 코드를 포함한다.
또 다른 형태에서, 프로세스 챔버 안팎으로 기판을 이송할 수 있는 기판 이송 암은 이송 블레이드, 및 상기 이송 블레이드 상의 다이아몬드-형 코팅을 포함한다. 다이아몬드-형 코팅은 (i) 탄소 및 수소, (ii) 실리콘 및 산소가 인터링크된 네트워크를 포함하며, 다이아몬드-형 코팅은 (i) 약 0.3 미만의 마찰 계수, (ii) 적어도 약 8GPa의 경도, 및 (iii) 금속이 약 5×1012 atoms/㎠ 미만인 금속 농도 레벨을 갖는 콘택 표면을 포함한다. 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시킨다.
또 다른 형태에서, 멀티-챔버 기판 프로세싱 장치는, (ⅰ) 챔버들 사이에서 기판을 이송시키는 이송 암을 가지는 이송 챔버, (ⅱ) 기판을 가열하는 가열 챔버 - 상기 가열 챔버는 그위에서 기판을 지지하기 위해 가열 페데스탈을 포함함 - , (ⅲ) 활성화된(energized) 가스에 기판을 노출시킴으로써 기판을 세정하는 예비-세정 챔버 - 상기 예비-세정 챔버는 그 상부에서 기판을 지지하기 위해 예비-세정 지지체를 포함함 - , (ⅳ) 기판 상에 재료를 증착하는 증착 챔버 - 상기 증착 챔버는 그 상부에서 기판을 지지하기 위해 증착 지지체를 포함함 - , (ⅴ) 기판을 냉각시키는 냉각(cool-down) 챔버 - 상기 냉각 챔버는 그 상부에서 기판을 지지하기 위해 냉각 페데스탈을 포함함 - , (ⅵ) 페데스탈들 및 지지체들중 적어도 하나 위로 기판을 상승 및 하강시키는 챔버내의 하나 이상의 리프팅 어셈블리들, 및 (ⅶ) 챔버들 각각으로 기판을 이송하고 페데스탈들 및 지지체들 상에 기판을 위치시키기 위해 이송 암 및 리프팅 어셈블리들을 제어하도록 구성된 제어기를 포함한다. 이송 암, 리프팅 어셈블리들, 가열 페데스탈, 냉각 페데스탈, 예비-세정 지지체 및 증착 지지체중 적어도 하나는 오염-감소 재료를 가지는 코팅을 포함한다. 이송 암에 의해 각각의 챔버로 이송되는 기판은 리프팅 어셈블리들에 의해 상승되고, 페데스탈상에서 처리되고 각각의 챔버에서 지지되며, 약 1×1011 atoms/㎠ 미만의 금속 오염 레벨을 갖는다.
본 발명의 상기 특징, 형태, 및 장점들은 하기의 상세한 설명 및 첨부되는 특허청구항 및 본 발명의 실시예들을 나타내는 첨부되는 도면들을 참조로 이해될 것이다. 그러나, 각각의 특징들은 일반적으로 본 발명에 사용될 수 있으며, 특정한 도면과 관련된 것이 아니며, 본 발명은 상기 특징들의 임의의 조합을 포함한다.
도 1은 오염 감소 코팅을 포함하는 다수의 메사를 갖춘 기판 지지체 실시예의 개략적 측단면도;
도 2a는 오염 감소 코팅을 포함하는 가열 페데스탈 실시예의 개략적 측단면도;
도 2b는 오염 감소 코팅을 갖춘 냉각 페데스탈 실시예의 개략적 측단면도;
도 3은 오염 감소 코팅을 가준 리프트 핀들(pins)을 갖춘 리프트 핀 어셈블리 실시예의 개략적 측단면도;
도 4는 오염 감소 코팅을 갖춘 셔터 실시예의 개략적 측단면도;
도 5는 오염 감소 코팅에 의해 커버된 베이스층을 포함하는 보호 캡을 갖춘 부품 실시예의 개략적 측단면도;
도 6은 멀티-챔버 장치 실시예의 개략적 상부도;
도 7a는 부품 프로세싱 챔버 실시예의 개략적 측단면도;
도 7b는 기판 프로세싱 챔버 실시예의 개략적 측단면도;
도 8은 내부에 그루브 패턴이 형성된 지지체 실시예의 상부도;
도 9a는 리세스형 주변 리지(ledge)를 갖춘 지지체 실시예의 상부도;
도 9b는 상부에 기판을 포함하는 도 9a 지지체 실시예의 개략적 측단면도;
도 10a는 아치형 핀들(fins)을 가지는 기판 리프팅 어셈블리, 및 그루브 패턴을 갖춘 지지체 실시예의 측단면도;
도 10b는 도 10a의 기판 리프팅 어셈블리로부터 아치형 핀 실시예의 상부도; 및
도 11은 기판 위치를 검출하는 검출기를 갖춘 이송 시스템 실시예의 측단면도.
기판 프로세싱 방법들에서, 기판(104)은 이송되고 다양한 지지 부품(20)에 의해 고정된다. 예를 들어, 챔버(106)에서의 프로세싱 동안, 기판 지지체(100)이며, 도 1에 도시된 것처럼 정전기 척(102)으로서의 역할을 할 수 있는 지지 구조물(25)을 포함하는 지지 부품(20)상에 기판(104)이 보유될 수 있다. 또한 기판(104)은 도 2a 및 도 2b에 도시된 것처럼, 고온 프로세스 이후 기판(104)을 가열 또는 냉각 시킴으로써 기판(104)의 탈가스화에 이용되는, 가열 페데스탈(151) 또는 냉각 페데스탈(152)과 같은 열교환 페데스탈(150)인 지지 구조물(25)을 포함하는 지지 부품(20)에 의해 지지될 수 있다. 또 다른 형태의 지지 부품(20)은 도 3에 도시된 것처럼 리프트 핀(160)과 같이, 기판 이송에 적합한 지지 구조물(25), 및 지지체(100) 상에 기판(104)을 위치시키고 제거하는데 이용될 수 있고, 또한 멀티-챔버 장치(101)에서 챔버들(106) 사이에서 기판(104)을 이송하는데 이용될 수 있는 로봇 블레이드들을 갖춘 로봇 암들을 포함한다. 또 다른 지지 부품(20)으로는 도 4에 도시된 지지 셔터(180)가 있으며, 이는 챔버 세정 프로세스 동안 기판(104)이 제공되지 않을 때 기판 지지체(100)의 일부를 커버한다. 본 명세서에서 개시되는 다양한 지지 부품들(20)의 실시예는 본 발명의 설명을 위해 제공되는 것으로, 본 발명의 범주를 제한하기 위해 이용되는 것은 아니며, 당업자에게 명배한 다른 형태의 지지 부품들이 본 발명의 범주내에서 이루어질 수 있다는 것을 이해해야 한다.
기판(104)의 프로세싱 수율은 감소될 수 있는, 심지어 소거될 수 있는 콘택 표면(22)을 갖춘 지지 부품(20)으로 실질적으로 개선되며, 오염물 형성 및/또는 증착 잔류물은 지지 부품(20)의 콘택 표면(22)과 기판(104) 사이의 마찰력 및 마모력 으로부터 야기된다. 예를 들어, 부품(20)이 금속 함유 재료로 형성될 경우, 기판(104)이 지지 부품(20)의 콘택 표면(22)에 대해 접촉하면 기판(104) 상에 금속 오염 미립자가 증착된다. 콘택 표면(22)이 과도하게 부드러운(soft) 경우, 마찰 잔류물은 보다 큰 미립자 크기 또는 개수를 가지며, 표면 마모를 야기하는 높은 마찰 계수를 가지며, 또는 높은 레벨의 불순물을 포함한다는 것이 발견되었다. 이러한 오염을 감소시키기 위해, 지지 부품(20)의 콘택 표면(22)에는 원하는 마모 또는 경도, 마찰 특성 및/또는 낮은-레벨의 오염물을 가지는 표면 코팅이 제공된다. 상기 코팅(24)을 포함하는 콘택 표면(22)은 기판(104)과의 직접 또는 심지어 간접 접촉 될 때 기판(104) 오염물을 바람직하게 감소시킨다. 예를 들어, 오염 감소 코팅(24)상에 콘택 표면(22)을 가지는 지지 셔터(180)는 기판이 위치되는 지지 표면(28)의 오염을 감소시킴으로써 기판(104) 오염을 간접적으로 감소시킬 수 있다. 오염 감소 코팅(24)은, 도 2a에 도시된 것처럼, 부품 구조물(25) 표면(26)의 적어도 일부를 커버하거나, 또는 기판(104)과 접촉되는 실질적으로 전체 표면을 균일하게 커버할 수 있다. 또한 코팅(24)은 하부에 놓이는 지지 구조물에 의해 오염으로부터 기판(104)을 보호할 수 있도록 충분히 두껍다. 예를 들면, 코팅(24)은 약 0.02 미크론 내지 약 1000 미크론과 같이 적어도 약 0.02 미크론, 및 약 1 내지 약 20 미크론과 같이 심지어 약 0.02 미크론 내지 약 20 미크론, 및 심지어 약 1.5 미크론의 두께를 포함할 수 있다. 코팅 두께는 기판(104)과 접촉함으로써 코팅을 마모에 대해 바람직한 저항을 제공하도록 선택될 수 있다.
일 형태에서, 오염 감소 코팅은 기판(104) 상에서 마찰 또는 마모를 야기하는 미립자들의 형성 및 증착을 감소시키기 위해 충분히 낮은 마찰 계수를 가지는 재료를 포함한다. 낮은-마찰 재료가 기판(104) 상에 증착되어 표면(22)의 박편화(flake) 또는 "벗겨짐(rub-off)"이 덜 발생하는 낮은-마찰 재료만이 기판(104)과 접촉됨으로써 기판 프로세싱 수율을 증가시킬 수 있다. 바람직하게 표면(22)에 적합한 낮은-마찰 재료는 약 0.05 내지 약 0.2와 같이 약 0.3 미만의 마찰 계수를 포함한다. 마찰 계수는 서로의 표면에 대해 표면(22)이 이동할 때 제한 마찰력 대 노말 콘택력(normal contact force)의 비율이다. 비교해 보면, 스테인레스 스틸로 구성되며, 앞서 언급된 코팅이 사용되지 않는 가열 페데스탈(151)의 지지 표면은 적어도 약 0.7의 마찰 계수를 포함할 수 있다. 또한 오염 감소 코팅은 예를 들어, 약 0.4 마이크로미터 미만의 평균 표면 조도(roughness)와 같이 낮은 평균 표면 조도를 포함할 수 있다. 낮은 표면 조도는 콘택 표면(22) 상에 또는 콘택 표면(22)으로부터 기판이 이송될 때, 코팅의 콘택 표면(22)이 기판(104)을 덜 잡거나 또는 덜 손상시키게 한다.
또한 오염 감소 코팅은 기판(104)에 의한 스크래칭 및 마모에 대해 보다 나은 저항성을 제공하기 위해 바람직하게 강한 경도를 갖는다. 기판이 비교적 강성의 재료인 경우, 콘택 표면(22)은 상기 표면(22)의 스크래칭으로 인해 분산된(loose) 미립자 또는 박편이 덜 생성되도록 비교적 강한 경도를 가지는 재료로 구성되는 것이 바람직하다. 적절한 오염 감소 코팅은 약 8GPa 내지 약 25GPa와 같이 적어도 약 8GPa, 심지어 약 18GPa 내지 약 25GPa와 같이 적어도 약 10GPa의 경도를 포함할 수 있다. 표면(22)은 바람직하게 처리되는 기판(10)과 관련하여 선택되는 경도를 포함한다. 예를 들어, 반도체 웨이퍼를 포함하는 기판(104)을 처리하기 위한 부품의 표면(22)은 디스플레이용으로 사용되는 유전체 글라스 패널을 포함하는 기판(104)을 처리하기 위한 표면(22)의 경도와 상이한 경도를 가질 수 있다.
표면(22)의 경도는 예를 들어 경도 로드 및 변위 압입(indentation) 테스트에 의해 측정될 수 있다. 경도 테스트를 수행하는 적절한 기구로는 예를 들어 Nano Instruments, Inc.(TN, Oak Ridge)로 부터 입수가능한 "Nano Indenter II"가 있을 수 있다. 상기 테스트에서, 압입자(indenter) 프로브의 팁이 표면(22)에 대해 배치되고, 상기 압입자 프로브에 로드가 인가되어 표면(22)으로 팁을 가압하여 표면(22)에 압입부를 형성한다. 압입자 프로브의 팁은 예를 들어 피라미드 형상일 수 있으며, 적절한 로드는 마이크로그램 범위일 수 있다. 표면(22)의 경도는, 예를 들어, 본 명세서에서 참조되는 Journal of Research of the National Institute of Standards and Technology, Vol.108, No 4(2003년 7월-8월)의 Review of Instrumented Indentation의 예에서 개시된 것처럼, 힘으로부터 야기되는 압입 면적으로 분할된 압입자 프로브에 인가되는 힘의 비율을 취함으로써, 압입부의 평가에 의해 검출할 수 있다. 압입 면적은 예를 들어 광학적으로 또는 표면에서 압입자 프로브의 깊이를 모니터링하고 압입자 프로브 팁의 인지된 기하학구조를 사용함으로써 평가될 수 있다.
또한, 콘택 표면(22)은 낮은 농도의 불순물들, 특히 Fe, Cr, Ni, Co, Ti, W, Zn, Cu, Mn, Al, Na, Ca, K 및 B와 같은 낮은 농도의 금속 불순물들의 고순도를 가지는 낮은 레벨의 오염-감소 금속을 포함하는 것이 바람직하다. 금속 불순물들은 부품을 지지하는 표면상에서 벗겨져(rub off) 상기 표면으로부터 기판 속으로 이동하여 기판을 오염시킬 수 있다. 적절한 오염 감소 코팅은 코팅 표면(22)에서 약 5×1012 atoms/㎠ 미만, 또는 약 5×1010 atoms/㎠ 미만의 금속 원자들의 금속 농도 레벨을 갖는다. 오염-감소 재료는 바람직하게 활성화된 프로세스 가스들에 의한 부식에 대해 저항성을 갖는다. 원하는 낮은 레벨의 금속 원자를 가지는 세라믹 재료를 포함하는 코팅이 기판 상에서 오염 작용을 감소시키기 위해 금속 또는 세라믹 지지 구조물에 인가될 수 있는 반면, 전극이 내장된 세라믹 정전기 척과 같은 세라 믹 지지 부품의 표면은 표면의 오염 레벨을 감소시키기 위해 표면을 세정하도록 처리될 수도 있다.
오염 감소 코팅(24)은 예를 들어, 코팅 두께, 열팽창 계수, 또는 인장 강도를 제어함으로써 하부에 놓인 지지 구조물(25)에 바람직한 접착을 제공하도록 조절될 수 있다. 예를 들어, 오염 감소 코팅을 포함하는 코팅(24)은 부품(22)으로부터 코팅(24)의 갈라짐 또는 깨짐을 감소시키기 위해 하부에 놓이는 부품(22)의 열팽창 계수에 충분히 매칭되는 바람직한 열팽창 계수를 포함한다. 너무 높거나 너무 낮은 계수는 구조물로부터 코팅(24)의 갈라짐 및 박편화를 야기할 수 있어, 결과적으로 부품(22)을 가열 또는 냉각하는 동안 코팅 및 하부에 놓인 구조물 재료의 팽창/수축 비율이 불균일해진다. 코팅(24)의 두께는 코팅(24)의 흡착에 영향을 미칠 수 있다. 예를 들어, 알루미늄 질화물을 포함하는 하부 구조물에 대해, 오염 감소 코팅을 포함하는 적절한 코팅(24)은 ℃ 당 약 4ppm 내지 약 6ppm의 열팽창 계수를 포함할 수 있다. 알루미늄 또는 스테인레스 스틸과 같은 금속을 포함하는 하부에 놓인 구조물에 대해, 오염 감소 코팅의 적절한 코팅(24)은 약 4ppm 내지 약 6ppm의 유사한 열팽창 계수를 포함할 수 있으며, 코팅(24)의 깨짐을 방지하기 위해 감소된 두께를 포함할 수도 있다.
일 형태에서, 오염-감소 재료는 다이아몬드-형 탄소(DLC로도 불림)와 같은 다이아몬드-형 재료를 포함한다. 다이아몬드-형 재료는 탄소 및 수소 원자의 네트워크를 가지는 탄소-기반 재료이다. 이들은 통상적으로, 적어도 약 50%의 sp3 혼성 탄소 내지 적어도 약 98%의 sp3 혼성 탄소와 같이 상당부의 sp3 혼성 탄소(hybridized carbon)를 포함한다. 따라서, 상기 네트워크에서 다수의 탄소 원자들은, 실질적으로 그래파이트로서 동일 평면에 있는 원자와의 결합이 제한되는 것과는 대조적으로, 다이아몬드와 유사하게 몇 개 방향에서 다른 탄소 또는 수소 원자들과 결합된다. 그러나 결합된 탄소 원자들은 마이크로-결정 또는 결정체(crystallite) 형태 정도의 단지 짧은 범위를 가지며, 통상적으로는 긴 범위를 가지는 다이아몬드의 전체 3차원 결정 격자를 형성하지 않는다. 제조 조건에 따라, 다이아몬드-형 재료는 비정질이거나 또는 나노스케일 크기를 가지는 결정체를 포함할 수 있다. 또한, 다이아몬드-형 재료는 적어도 약 2 원자%의 수소 함량, 예를 들면 약 2 원자% 내지 약 25 원자%와 같이, 상당량의 수소를 포함할 수도 있다. 또한, 다이아몬드-형 탄소(DLC)는 높은 경도 및 상기 재료를 포함하는 표면(22)으로부터 기판(104)의 오염을 감소시킬 수 있는 낮은 마찰 계수를 갖는다. 예를 들어, 다이아몬드-형 탄소는 약 18GPa 내지 약 25GPa와 같이, 적어도 약 18GPa의 경도를 가질 수 있다. 다이아몬드-형 탄소 표면의 마찰 계수는 약 0.05 내지 약 0.2와 같이, 약 0.3 미만의 바람직하게 낮은 계수를 갖는다. 또한, 다이아몬드-형 탄소 재료는 약 0.05 내지 약 0.4 마이크로미터와 같이 약 0.4 마이크로미터 미만의 평균 표면 조도와 같은 낮은 표면 조도를 포함할 수 있다. 또한 다이아몬드-형 탄소는 약 5×1012 atoms/㎠ 미만의 금속 불순물과 같이 소량의 급속, 및 심지어 약 5×1011 atoms/㎠ 미만의 금속 원자로 제조될 수 있다. 예를 들어, 금속은 약 10 원 자% 미만의 티타늄 원자, 및 심지어 약 6 원자% 미만의 티타늄 농도를 포함할 수 있다. 따라서, 다이아몬드-형 탄소와 같은 다이아몬드-형 재료는 낮은 마찰 계수, 높은 경도 및 표면(22) 상에 오염-감소 재료에 적합한 고순도와 같은 특성을 제공한다.
일 형태에서, 다이아몬드-형 탄소 재료는 금속 오염 감소 부품 표면을 제공하기 위해 하부에 놓이는 부품 표면(26) 위에 코팅(24)으로서 형성된다. 다이아몬드-형 탄소 코팅(24)은 화학적 기상 증착, 탄소 이온 빔 증착, 그래파이트로부터 이온-보조 스퍼터링 및 그래파이트의 레이저 제거를 포함하는 방법에 의해 형성될 수 있다. 화학적 기상 증착 방법에 의해 다이아몬드-형 탄소 코팅층을 증착하는 방법의 예는 본 명세서에서 참조되며 N.V. Bekaert S.A.에게 양도된 1998년 1월 23일자로 PCT 출원된 미국 특허 No. 6,228,471호에 개시된다. 제조 프로세스는 형성되는 코팅의 특성을 조절하도록 제어될 수 있다. 예를 들어, 제조 조건은 코팅(24)에 통합되는 수소량을 조절하도록 제어될 수 있다. 또한, 제조 조건은 예를 들어, 정전기 척(102)에 대해 바람직할 수 있는 전기적 특성을 제공하기 위해 코팅(24)의 전기적 특성을 조절하도록 제어될 수 있다. 예를 들어, 코팅(24)의 전기적 저항률은 sp3 내지 sp2 혼성 탄소 원자의 비율(proportion)을 제어함으로써 조절될 수 있다. 보다 높은 비율의 sp3 혼성 탄소 원자는 보다 높은 저항률을 제공하는 반면, 보다 높은 비율의 sp2 혼성 탄소 원자는 보다 낮은 저항률을 제공한다.
또 다른 형태에서, 오염 감소 코팅은 (i) 탄소 및 수소 네트워크, 및 (ii) 실리콘 및 산소 네트워크 모두를 포함하는 다이아몬드-형 나노복합물(nanocomposite)을 포함하는 다이아몬드-형 재료를 포함할 수 있다. 다이아몬드-형 나노복합물은 다이아몬드 형 탄소와 유사하며, 상당부가 sp3 혼성되나 순수 다이아몬드 정도의 실질적으로 긴 범위를 갖지는 않는 결합된 탄소 원자 네트워크를 포함하며, 또한 결합된 수소 원자를 포함할 수도 있다. 제조 조건에 따라, 다이아몬드-형 나노복합물은 완전히 비정질이거나 또는 예를 들어 나노스케일 레벨에서 다이아몬드 결정체를 포함할 수 있다. 다이아몬드-형 나노복합물은 고온 안정성, 높은 경도 및 낮은 마찰 계수를 가지는 복합 재료를 형성하기 위해, 실질적으로 랜덤한 형태로 탄소 네트워크에 침투하는 실리콘이 결합된 산소 네트워크를 포함한다. 나노복합물에서 C, H, Si 및 O 각각의 퍼센테이지는 원하는 조성 특성을 제공하도록 선택될 수 있다. 적절한 다이아몬드-형 나노복합물은 예를 들어, 약 50 원자 % 내지 약 90 원자 %의 탄소, 약 5 원자% 내지 약 10 원자%의 수소, 약 10 원자% 내지 약 20 원자%의 실리콘 및 약 5 원자% 내지 약 10 원자%의 산소의 조성을 포함할 수 있다. 다이아몬드 형 나노복합물은 약 0.05 내지 약 0.2와 같이 약 0.3 미만의 양의 낮은 농도, 및 약 0.05 마이크로미터 내지 약 0.4 마이크로미터와 같이 약 0.4 마이크로미터 미만, 심지어 약 0.1 마이크로미터 미만의 낮은 평균 표면 조도를 포함할 수 있다. 다이아몬드-형 나노복합물은 또한 약 8 내지 약 18 GPa와 같이 적어도 약 8 Gpa의 마이크로경도(microhardness)를 포함할 수 있다. 또한 다이아몬드-형 나노복합물은 고순도를 포함할 수 있으며, 예를 들어, 다이아몬드-형 나 노복합물은 약 5×1012 atoms/㎠ 미만 심지어 약 5×1011 atoms/㎠ 미만의 금속 불순물을 포함할 수 있다. 예를 들어, 재료는 티타늄과 같은 금속 불순물이 약 10 원자% 미만, 심지어 약 7 원자% 미만의 티타늄을 포함할 수 있다.
일 형태에서, 다이아몬드-형 탄소 재료를 포함하는 코팅(24)은 기판(104)을 처리하는데 이용될 때 코팅(24)의 마모 감소를 제공하는 마모 팩터(wear factor)를 더 포함할 수 있다. 마모 팩터는 다른 표면을 따라 슬라이드 또는 러빙처리될(rubbed) 때 표면에 의해 겪게되는 마모량의 측정치이다. 예를 들어, 마모 팩터는 통상적으로 노말 로드 및 일정한 슬라이딩 속도를 유지하는 동안, 기준 표면에 대한 표면을 슬라이딩하고 선형 영역의 볼륨 손실 대 슬라이딩 거리의 기울기를 측정함으로써 얻어진다. 다이아몬드 형 나노 복합물을 포함하는 코팅(24)에 대해 적절한 마모율은 예를 들어 약 5×10-6 ㎣/Nm 미만이다.
다이아몬드-형 나노복합물은 화학적 기상 증착 방법을 포함하여 다이아몬드-형 탄소 재료에 대해 앞서 개시된 것과 유사한 방법에 의해 형성될 수 있으며, 부품(20)상에 코팅(24)으로서 형성될 수 있다. 다이아몬드-형 나노복합물 코팅을 형성하는 방법의 예는, 본 명세서에서 참조되며, Veniamin Dorfman에게 양도되며 1994년 10월 4일자로 출원된 Dorfman 등의 미국 특허 No. 5,352,493호 및 N.V. Bekaert S. A.에게 양도되어 1998년 1월 23일자로 PCT 출원된 Neerinck 등의 미국 특허 No. 6,228,471호에 개시된다. 다이아몬드-형 나노복합물 재료는 Bekaert Advanced Coating Technologies(Belgium)으로부터의 DLN 또는 Dylyn
Figure 112006068206765-PCT00001
과 같이 상업 적으로 입수가능한 재료일 수도 있다.
다이아몬드-형 탄소 및 다이아몬드형 나노복합물을 포함하는 다이아몬드-형 재료는 상기 재료에 금속 첨가제를 포함시킴으로써 조절될 수 있다. 금속 첨가제는 원하는 전기적 저항률 또는 재료의 전도력과 같이 원하는 특성을 제공하도록 부가될 수 있다. 금속 첨가제는 다이아몬드-형 재료 부근에 분포되며, 탄소 및 실리콘 네트워크들중 적어도 하나에 침투되는 금속이 결합된 개별 네트워크를 균일하게 형성할 수 있다. 적절한 금속 첨가제는 예를 들어, B, Li, N, Si, Ge, Te, Mo, W, Ta, Nb, Pd, Ir, Pt, V, Fe, Co, Mg, Mn, Ni, Ti, Zr, Cr, Re, Hf, Cu, Ag 및 Au중 적어도 하나를 포함할 수 있다. 다이아몬드-형 재료는 약 0.1 원자% 내지 약 10 원자%의 금속 첨가제, 예를 들면 티타늄을 포함할 수 있다. 또한 금속 첨가제를 가지는 다이아몬드-형 재료는 비교적 낮은 마찰 계수 및 비교적 높은 경도를 포함한다. 예를 들어 금속 첨가제를 가지는 C:H 및 Si:O 네트워크를 포함하는 다이아몬드-형 나노복합물은 약 0.05 내지 약 0.2와 같이 약 0.3 미만의 마찰 계수를 포함할 수 있다. 금속 첨가제를 가지는 다이아몬드-형 나노복합물은 약 12 내지 약 18 GPa와 같이 적어도 약 12 GPa의 마이크로경도를 포함할 수 있다. 금속 첨가제는 다이아몬드-형 재료를 가지는 금속을 공동-증착함으로써, 또는 다른 적절한 제조 방법에 의해 다이아몬드-형 네트워크들 속에 주입된다. 금속 첨가제를 포함시키는 방법의 실시예들은 본 명세서에서 참조되는 미국 특허 No 5,352,493호 및 No. 6,228,471호에 개시된다.
다이아몬드 형 재료를 포함하는 코팅(24)을 형성하는 방법의 일 형태에서, 부품 구조물(25)은 프로세스 챔버의 플라즈마 영역(213)에 위치되며, 실시예는 도 7a에 도시된다. 챔버(106)는 플라즈마 영역(213)을 둘러싸는 챔버 벽(218)을 포함한다. 부품(20)은 챔버(106)의 지지체(202)에 고정될 수 있다. 프로세스 가스 공급부(130)는 챔버(106) 속으로 증착 가스를 제공하며, 가스 소스, 상기 소스로부터 챔버로 유도되는 하나 이상의 도관들, 유량계(flow meter), 및 챔버(106)내의 하나 이상의 가스 입구들을 포함할 수 있다. 프로세스 가스는 코팅(24)에서 탄소가 결합된 네트워크를 형성할 수 있는, 탄소-함유 가스와 같이 적어도 탄소-함유 화합물을 포함한다. 또한 프로세스 가스는 수소-함유 가스와 같은 수소-함유 화합물을 포함할 수도 있다. 예를 들어, 프로세스 가스는 메탄, 프로판, 아세틸렌, 부탄 및 엔틸렌 중 적어도 하나와 같이, 탄소 및 수소 원자들 모두를 포함하는 가스를 포함할 수 있다. 실리콘 및 산소 네트워크를 포함하는 다이아몬드형 나노복합물을 형성하기 위해, 프로세스 가스는 실리콘-함유 화합물을 더 포함할 수 있다. 예를 들어, 프로세스 가스는 본 명세서에서 참조되며, Advanced Refractory Technologies에 양도된 1995년 10월 3일자로 출원된 Goel 등의 미국 특허 No. 5,638,251호에 개시된 헥사메틸디실록산 또는 폴리페닐메틸실록산을 포함할 수 있다. 프로세스 가스는 예를 들어 아르곤과 같은 첨가제 가스를 더 포함할 수 있다.
가스 에너자이저(216)는 플라즈마 강화 화학적 기상 증착에 의해 부품 표면(26) 상에 다이아몬드 형 재료가 증착되는 프로세스 영역(213)에 활성화된 가스를 형성하기 위해 프로세스 가스를 활성화시킨다. 예를 들어, 가스 에너자이저(216)는 탄소, 수소, 실리콘 및 산소 함유 화합물을 포함하는 프로세스 가스를 분해시켜 표면(26) 상에 다이아몬드 형 나노복합물을 포함하는 화학적 기상 증착 재료를 증착한다. 가스 에너자이저(216)는 예를 들어 하나 이상의 인덕터 안테나 및 활성화된 가스를 형성하기 위해 RF 에너지와 결합할 수 있는 전극을 포함할 수 있다. 배기구(220)는 챔버로부터 가스들을 배기시키기 위해 제공될 수 있고, 배기 펌프로 유도되는 배기 포트, 및 챔버(106)의 압력을 제어하는 트로틀 밸브를 포함할 수 있다. 제어기(294)는 부품(20) 상에 코팅(24)을 증착하기 위해 챔버(106)의 부품들을 제어할 수 있다.
일 형태에서, 챔버(106)는 금속 첨가제를 포함하는 다이아몬드 형 재료를 형성하기 위해, 기상 증착된 재료와 동시에 표면(26) 상에 스퍼터링된 금속을 공동-증착하기 위해 활성화된 가스에 의해 타겟(214)으로부터 스퍼터링될 수 있는 재료를 가지는 타겟(214)을 포함한다. 이러한 형태에서, 다이아몬드-형 재료는 플라즈마 강화 화학적 기상 증착 환경에서 금속 첨가제의 물리적 기상 증착과 조합되는 프로세스에 의해 금속 첨가제와 공동-증착된다. 타겟(214)은 예를 들어, 적어도 하나의 티타늄 및 텅스텐을 포함하는 금속 재료를 포함할 수 있다. 일 형태에서, 타겟(214)은 가스 에너자이저(216)의 일부로서의 역할을 할 수 있고 타겟 재료의 스퍼터링을 유도하기 위해 전기적으로 바이어스될 수 있다. 자기장 생성기를 포함하는 마그네트론(217)은 가스 에너자이저(216)의 일부로서 제공될 수 있다. 마그네트론(217)에 인가되는 전력은 타겟(214)으로부터 재료를 스퍼터링하기 위해 가스를 활성화시키고 가스 밀도를 유지할 수 있다. 금속 재료는 예를 들어 금속 소스의 열적 증발, 또는 금속 이온 빔과 같이 스퍼터링 이외의 다른 방법들에 의해 코 팅(24)에 공동-증착될 수 있다.
일 형태에서, 다이아몬드-형 재료를 함유하는 코팅(24)을 포함하는 부품(20)은 다수의 기판(104) 처리 이후, 도 7a에 도시된 챔버 실시예에서 재생될 수 있다(refurbished). 코팅(24)은 예를 들어 활성화된 가스의 노출에 의해, 기판을 처리하는 동안 부식될 수 있는 코팅(24) 부분들을 수리 또는 교체하기 위해 재생될 수 있다. 또한 표면(26)으로부터 임의의 잔류 코팅을 제거하기 위해 세정 단계가 수행될 수도 있다. 예를 들어, 표면은 코팅을 분해하는 화학적 용액으로 세정되거나, 또는 코팅이 표면(26)으로부터 그리트 블라스트처리(grit blasted)될 수 있다. 세정 프로세스의 또 다른 형태에서, 잔류 코팅이 나머지 코팅(24)을 에칭하기 위해 활성화된 에칭 가스에 노출되는 반응성 이온 에칭에 의해 제거될 수 있다. 재생(refurbishment) 프로세스에서, 다이아몬드-형 재료를 포함하는 코팅(24)이 예를 들어, 스퍼터링된 금속과 동시에 화학적 기상 증착 재료를 공동 증착하는 것을 포함하여, 앞서 개시된 방법에 의해, 부품(20)의 표면(26)상에 재증착된다.
또 다른 형태에서, C:H 및 Si:O 네트워크들을 포함하는 다이아몬드-형 나노복합물을 포함하는 코팅(24)은 코팅(24)의 표면(22)을 밀봉하도록 처리될 수 있다. 예를 들어, 코팅(24) 표면(22)은 예를 들어 CO 및 CO2와 같은 기체 부산물을 형성하기 위해 다이아몬드-형 재료에서 탄소 원자들과 반응하는 수증기와 같은 산소-함유 반응물에 노출될 수 있다. 기체 부산물은 보다 높은 실리콘 함량 및 감소된 양의 탄소를 함유하는 "조밀화된(densified)" 다이아몬드-형 표면 재료를 제공하는 표면 (22)을 유도한다. 예를 들어, 코팅(24) 표면(22)은 적어도 약 90 원자%의 Si 및 O를 포함할 수 있다. "조밀화된(densified)" 표면(22)은 추가적인 수분에 대한 밀봉제(sealant)로서의 역할을 하며, 코팅(24)을 제공하는 부품의 개선된 프로세싱 성능을 제공한다.
또 다른 형태에서, 탄소 감소 코팅은 고순도 재료를 함유하는 표면(22)으로부터 기판(104)의 오염물을 감소시키는 특성을 가지는 고순도 세라믹을 포함한다. 일 형태에서, 고순도 세라믹을 함유하는 오염-감소 재료는 고순도 실리콘 카바이드를 포함한다. 오염-감소 실리콘 카바이드 재료는 적어도 약 99%의 순도 및 심지어 약 99.999%의 순도를 포함하며, 약 5×1010 atoms/㎠ 미만의 금속 원자와 같이, 약 5×1012 atoms/㎠ 미만 내지 약 5×109 atoms/㎠ 미만의 금속 원자를 포함한다. 실리콘 카바이드 재료는 바람직하게 약 98%의 밀도 내지 이론상 밀도의 적어도 약 99%와 같이 약 100% 이론상 밀도의 고밀도를 포함한다. 금속 오염 감소 실리콘 카바이드 재료를 함유하는 표면(22)은 약 0.05 내지 약 0.2와 같이 약 0.3 미만의 낮은 마찰 계수를 제공하도록 연마되며, 약 0.2 마이크로미터 미만의 평균 표면 조도와 같이, 낮은 표면 조도를 가지는 실질적으로 평탄한 표면을 제공할 수 있다.
적절한 오염-감소 실리콘 카바이드 재료는, 본 명세서에서 참조되며 Bridgestone Corporation에게 양도되어 1997년 5월 9일자로 Takahashi 등에 의해 출원된 US 특허 No. 6,001,756호에 개시된 고순도 카바이드 소결 방법에 의해 제조될 수 있다. 예를 들어, 오염-감소 실리콘 카바이드 재료는 고순도의 소결된 실리 콘 카바이드 층을 가지는 코팅(24)을 포함할 수 있다. 또한, 고순도 실리콘 카바이드의 코팅은 예를 들어, 증착된 실리콘 카바이드 코팅을 형성하기 위해 탄소 및 실리콘-함유 전구체들과 반응하는 화학적 기상 증착 방법에 의해, 부품(20)의 표면(26) 상에 증착될 수 있다. 또한 코팅은 본 명세서에서 참조되며, Le Carbone Lorraine에게 양도되어 1994년 10월 26일자로 Bou 등에 의해 출원된 US 특허 No. 5,705,262호에 개시된 변환을 예로써, 예를 들어 실리콘 함유 반응물과 그래파이트와 같은 탄소질(carbonaceous) 재료의 열화학적 변환(thermochemical conversion)에 의해 형성될 수 있다.
일 형태에서, 오염 가소 재료는 실리콘 질화물을 함유하는 고순도 세라믹을 포함한다. 고순도 실리콘 질화물 재료는 약 5×1012 atoms/㎠ 미만의 오염 금속, 심지어 약 5×1010 atoms/㎠ 미만의 오염 금속과 같이, 원하는 오염-감소 특성을 가질 수 있다. 또한 실리콘 질화물 재료는 적어도 약 99%의 이론상 밀도와 같이 약 98%의 이론상 밀도 내지 약 100%의 이론상 밀도를 가질 수 있다. 고순도 실리콘 질화물 재료는 약 0.05 내지 약 0.2와 같이 약 0.3 미만의 마찰 계수 및 적어도 약 16GPa와 같이 약 10 GPa 내지 약 18 GPa의 경도를 가질 수 있다. 또한, 실리콘 질화물 표면은 약 0.4 마이크로미터 미만의 평균 표면 조도를 제공하도록 연마될 수 있다. 또한, 금속 오염-감소 Si3N4를 함유하는 코팅(24)은 적어도 약 550°의 온도에서 스테인레스 스틸과 같은 금속 표면에 바람직한 접착력을 나타낼 수 있다. 실리콘 질화물을 포함하는 표면(22)은 예를 들어 화학적 기상 증착 프로세스에 의해 형성된 코팅(24)과 같은 실리콘 질화물 코팅(24)을 포함할 수 있다.
오염 감소 코팅으로서 작용할 수 있는 다른 고순도 세라믹 재료는 예를 들어 실리콘 및 실리콘 산화물중 적어도 하나를 포함할 수 있다. 실리콘 및 실리콘 산화물 재료는 약 5×1012 atoms/㎠ 미만의 오염 금속을 갖는 높은 순도를 포함한다. 또한 상기 재료들은 약 0.3 미만의 바람직한 마찰 계수, 및 약 0.4 마이크로미터 미만의 평균 표면 조도를 제공하도록 바람직하게 연마된다.
일 형태에서, 오염 감소 코팅을 포함하는 코팅(24)은 도 5의 실시예에 도시된 것처럼, 보호 캡(133)을 형성하도록 부품(20)의 표면(26)을 베이스층(130)을 코팅할 수 있다. 캡(133)은 하부에 놓인 부품 구조물(25)의 보호를 제공하는 반면, 기판(104)의 오염을 감소시키는 오염 감소 표면(22)을 제공한다. 또한 캡(133)은 구조물(25)을 보호하기 위해 하부에 놓인 구조물(25)의 주변 에지(137)를 커버하는 컨포멀한 리지(136)를 포함할 수 있다. 일 형태에서, 캡(133)은 오염-감소 재료를 함유하는 코팅 표면(22)을 제공하기 위해, 예를 들어 그래파이트 베이스층(130) 표면의 화학적 기상 증착 또는 열화학적 전환에 의해, 그래파이트 베이스층(130) 위에 형성되는 고순도 실리콘 카바이드층을 함유하는 코팅(24)을 포함한다. 또 다른 형태에서, 캡(133)은 고순도 실리콘 카바이드 코팅(24)에 의해 코팅되는 금속 침투형(infiltrated) 실리콘 카바이드 재료를 함유하는 베이스층(130)을 포함한다. 침투형 실리콘 카바이드 베이스층(130)은 실리콘 금속과 같은 금속으로 다공성의 소결된 실리콘 카바이드 재료의 구멍을 침투시킴으로써 형성된다. 예를 들어, 실리 콘 금속은 베이스층 재료의 약 20% 내지 약 80%의 볼륨 퍼센트를 제공하도록 침투될 수 있다. 실리콘 카바이드 함유 코팅(24)은 오염을 감소시키는 고순도 실리콘 카바이드층을 형성하기 위해, 예를 들어 화학적 기상 증착에 의해 침투형 실리콘 카바이드 재료를 함유하는 베이스(130) 위에 형성된다. 선택적으로, 캡(133)은 코팅(24)을 형성하기 위해, 소결된 실리콘 카바이드와 같은 실리콘 카바이드로 실질적으로 전체가 구성되거나, 또는 실리콘 카바이드 코팅(24)으로 커버된 소결된 실리콘 카바이드 베이스층(130)을 포함할 수 있다.
일 형태에서, 캡(133)은 도 5의 실시예에 도시된 것처럼, 코팅(24)으로 실질적으로 전체가 커버된 베이스층(130)을 포함한다. 상기 형태에서, 코팅(24)은 상부 표면(131), 하부 표면(134) 및 베이스층(130)의 측표면(135)을 커버할 수 있다. 이러한 코팅(24) 제공은 코팅(24)과 베이스층(130) 사이에서 전개될 수 있는 열적 스트레스가 감소될 수 있기 때문에 유용할 수 있다. 예를 들어, 화학적 기상 증착 방법에 의해 코팅(24)이 인가된 이후 수행되는 냉각 단계 동안, 코팅(24)과 베이스층(130)의 열팽창 계수의 차는 코팅 표면(22)의 휨 또는 다른 변형을 유도할 수 있는 스트레스를 야기시킬 수 있다. 베이스층(130)의 하부 표면(134) 및 상부 표면(131)에 코팅(24)을 인가함으로써, 상부 표면(131)에서의 스트레스는 상부 및 하부 표면(131, 134)에서 스트레스의 변동을 없애고 코팅 표면(22)의 변형을 감소시키기 위해 적어도 부분적으로 보상될 수 있다.
일 형태에서, 하부에 놓인 부품 구조물에 오염-감소 재료를 함유하는 코팅(24)을 고정시키기 위해 접착층(140)이 제공된다. 예를 들어, 도 1 및 도 2에 도 시된 것처럼, 접착층(140)은 부품(22)의 상부 표면(26)에 인가될 수 있고, 코팅(24)은 표면(26)에 코팅(24)을 부착시키기 위해 그위에 형성될 수 있다. 예를 들어, 접착층(140)은 티타늄, 알루미늄, 지르코늄 및 크롬 중 적어도 하나를 포함할 수 있다. 일 형태에서, 접착층(140)은 금속 및 비금속 재료 모두에 바람직하게 결합되는 티타늄과 같은 금속을 포함한다. 접착층(140)은 예를 들어 약 0.25 내지 약 4 미크론의 두께를 포함할 수 있다. 코팅(24)은 예를 들어 커넥터 핀들로 하부에 놓이는 부품 구조물(25)에 기계적으로 부착될 수 있다.
일 형태에서, 오염 감소 재료를 함유하는 부품(20)은 정전기 척(102)을 가지는 기판 지지체(100)를 갖춘 지지 구조물(25)을 포함하며, 실시예는 도 1에 도시된다. 정전기 척(102)은 유전체 바디(109)에 의해 적어도 부분적으로 커버된 전극(108)을 포함하며, 유전체 바디(109)에 의해 실질적으로 완전히 커버될 수 있다. 전극(108)은 척(102) 상에서 기판(104)을 정전기적으로 보유하기 위해 전압 공급부에 의해 충전될 수 있다. 일 형태에서, 유전체 바디(109)는 예를 들어, 알루미늄 질화물 및 보론 질화물중 적어도 하나와 같이, 약 1012 Ohms·cm 이하의 비교적 낮은 저항률을 가지는 유전체 재료를 포함한다. 비교적 낮은 저항률의 유전체 바디는 전기적 전하가 기판(104)을 보유하기 위해 유전체 바디(109)를 통해 적어도 부분적으로 이동하게 허용함으로써, 척(102) 상에서 기판을 보유하는 존슨-라벡 효과를 조장할 수 있다. 유전체 바디에 적합한 다른 낮은-저항률 유전체 재료는 예를 들어, 티타늄 산화물 및 크롬 산화물중 적어도 하나로 도핑된 알루미늄 산화물을 포함할 수 있다.
정전기 척(102)은 기판(104)을 지지하는 유전체 바디(109)의 상부 표면(26) 상에 다수의 메사(112)를 포함한다. 다수의 메사(112)는 최적의 정전기 척킹력(chucking force)를 제공하도록 형상화되고 분포될 수 있으며, 유전체 바디의 상부 표면에 원하는 열 전달 가스 흐름 분포를 제공할 수도 있다. 예를 들어, 메사(112)는 상부 표면(26) 상에서 이격된 동심 링으로 배열될 수 있다. 메사(112)의 조성 및 메사(112)의 높이 및 폭은 원하는 정전기 척킹력을 제공하도록 선택될 수 있다. 예를 들어, 메사(112)는 혼성 존슨-라벡 정전기척을 형성하기 위해, 비교적 높은 저항률을 가지는 유전체 재료를 포함할 수 있다. 지지 메사(112)를 가지는 혼성 존슨-라벡 정전기 척의 예는 본 명세서에서 참조되며, Applied Materials에 공동으로 양도되어 1997년 9월 25일자로 출원된 Grimard 등의 미국 특허 No. 5,903,428호에 개시된다. 또한, 메사(112)는 본 명세서에서 참조되며, Applied Materials에 공동으로 양도되어 2000년 6월 29일자로 출원된 Tsai의 타이완 특허 No. 0466667호에 예시적으로 개시된 TiAlN과 같이, 낮은 저항률을 가지는 금속-함유 재료와 같은 TiAlN 재료를 포함할 수 있다.
일 형태에서, 메사(112)는 상기 개시된 적어도 하나의 오염-감소 재료를 함유하는 코팅(24)을 포함한다. 예를 들어, 실질적으로 전체 메사(112)는 오염-감소 재료로부터 형성된 코팅(24)을 포함할 수 있다. 실질적으로 전체적으로 오염-감소 재료를 포함하는 적절한 메사(112)의 높이는 약 0.25 마이크로미터 내지 약 6 마이크로미터일 수 있다. 선택적으로, 메사(112)는 메사(112) 위치(rest) 위에 놓이는 오염-감소 재료의 표면 코팅(24)을 포함할 수 있다. 메사(112)는 예를 들어, 다이아몬드-형 탄소, 다이아몬드-형 나노복합물 및 금속-함유 다이아몬드-형 재료와 같은, 적어도 하나의 다이아몬드-형 나노복합물을 함유하는 오염-감소 재료를 포함할 수 있다. 또한 메사(112)는 실리콘 카바이드, 실리콘 질화물, 상기 개시된 실리콘 및 실리콘 산화물 재료들중 적어도 하나와 같이, 고순도 세라믹을 함유하는 오염-감소 재료를 포함할 수 있다. 또한 메사(112)는 코팅(24)의 접착력을 개선시키는, 예를 들어 티타늄을 함유하는 접착층(140)을 포함할 수 있다.
일 형태에서, 메사(112)는 약 102 Ohms·cm 내지 약 1010 Ohms·cm의 저항률과 같이 원하는 저항률을 제공하도록 조절되는 다이아몬드-형 탄소 또는 다이아몬드-형 나노복합물 재료의, 다이아몬드-형 재료를 포함한다. 예를 들어, 메사(112)는 약 5% 내지 약 10%의 sp2 혼성 탄소 원자들의 퍼센트와 같이, 약 104 Ohms·cm 내지 약 108 Ohms·cm의 메사(112)의 전기적 저항률을 제공하도록 선택된 sp2 혼성 탄소 원자들의 비율(proportion)을 가지는 다이아몬드-형 재료를 포함할 수 있다. 또 다른 예로서, 다이아몬드-형 재료에서 금속 첨가제의 농도는 재료의 원하는 저항률을 제공하도록 변할 수 있다. 예를 들어, 적절한 다이아몬드-형 재료는 약 106 Ohms·cm와 같이, 약 104 Ohms·cm 내지 약 108 Ohms·cm의 저항률을 제공하도록, 약 1 원자% 내지 약 10 원자%의 티타늄과 같은 금속 첨가제를 포함할 수 있다.
또 다른 형태에서, 메사(112)는 실리콘 카바이드, 실리콘 질화물, 실리콘 및 실리콘 산화물중 적어도 하나와 같이, 고순도 세라믹을 포함하며 메사(112)의 표면(22)은 표면으로부터 기판(104)의 오염을 감소시키기 위해, 낮은 평균 표면 조도를 제공하도록 연마될 수 있다. 메사 표면(22)의 평균 표면 조도는, 정전기 척킹력이 지지체(100) 상에 기판(104)을 보유하기 때문에, 비교적 낮을 수 있다. 예를 들어 실리콘 질화물과 같이 고순도 세라믹을 함유하는 메사(112) 표면(22)은 약 0.4 마이크로미터 미만, 심지어 약 0.1 마이크로미터 미만의 평균 표면 조도를 포함할 수 있다.
일 형태에서, 오염-감소 재료를 함유하는 부품(20)은 도 2a의 실시예에 도시된 예를 들어 가열 페데스탈(151)과 같이, 열교환 페데스탈(150), 도 2b의 실시예에 도시된 냉각 페데스탈(152)을 포함하는 지지 구조물(25)을 포함한다. 열교환 페데스탈은 기판(104)의 원하는 온도를 제공하기 위해 기판(104)과 열을 교환하도록 구성된다. 예를 들어, 가열 페데스탈(151)은 기판을 프로세싱하기 전에 기판(104)으로부터 오염 재료를 제거 또는 탈가스화하기 위해 기판(104)을 가열할 수 있다. 냉각 플레이트(152)는 프로세싱 이후 기판을 처리하기에 적합한 원하는 온도로 기판(104)을 냉각시킬 수 있다. 열교환 페데스탈(150)은 기판(104)과 열을 교환하도록 구성된 열적으로 도전성인 페데스탈 바디(154) 및 기판을 수용하는 수용 표면을 포함한다. 열교환 페데스탈(150)은 열 교환 유체가 흐를 수 있는 도관(158) 및 적어도 하나의 히터(155)를 포함하는 열 교환기(157)를 더 포함한다. 일 형태에서, 페데스탈 바디(154)는 스테인레스 스틸, 알루미늄 및 티타늄 중 저어도 하나와 같이, 금속 재료를 포함한다. 예를 들어, 적절한 열교환 페데스탈(151)은 스테인레스 스틸을 함유하는 페데스탈 바디(154)를 포함하며, 적절한 냉각 페데스탈(152)은 알루미늄을 함유하는 페데스탈 바디(154)를 포함할 수 있다.
가열 페데스탈(151)은 가열된 유체가 흐를 수 있는 도관들(미도시), 또는 저항성 히터와 같은 히터(155)를 더 포함한다. 가열 페데스탈은 오버헤드 가열 램프(미도시)에 의해 가열될 수도 있다. 가열 페데스탈은 적어도 약 200℃ 내지 적어도 약 400℃의 온도로 기판(104)을 가열할 수 있다. 냉각 페데스탈(152)은 통상적으로 기판을 냉각시키기 위한 냉각 유체가 흐를 수 있는 냉각 도관들(158)을 포함할 수 있다. 냉각 페데스탈은 약 80℃ 미만의 온도로 기판(104)을 냉각시킬 수 있다. 하나 이상의 가열 및 냉각 페데스탈(151, 152)은 프로세스 챔버(106)에서 기판(104)을 프로세싱하기 이전 또는 이후에 기판을 냉각 또는 원하는 열처리를 제공하기 위해, 도 6의 실시예에 도시된 것처럼, 통합된 진공 멀티-챔버 시스템의 개별 챔버에 위치될 수 있다.
일 형태에서, 열교환 페데스탈(150)은 오염 감소 코팅들중 적어도 하나를 함유하는 코팅(24)을 포함한다. 예를 들어, 열교환 페데스탈(150)은 다이아몬드-형 재료 및 고순도 세라믹 재료중 적어도 하나를 함유하는 코팅(24)을 포함할 수 있다. 코팅(24)은 기판(104)을 보호하기 위해 페데스탈 바디(154)의 상부 표면(26) 위에 형성될 수 있으며 페데스탈 바디(154)의 실질적인 전체 상부 표면(26)을 균일하게 커버할 수 있다. 또한, 코팅(24)은 도 5에 도시된 것처럼, 표면(26)을 커버하는 보호 캡(133)의 일부로서 제공될 수 있다. 코팅(24)의 두께는 기판(104)의 양호한 가열을 제공하면서 기판(104)의 가열 바디 재료의 이동을 방지하도록 선택 된다. 예를 들어, 코팅(24)의 적절한 두께는 약 0.25 마이크로미터 내지 약 6 마이크로미터일 수 있다. 접착층(140)은 페데스탈(150)에 코팅(24)을 고정하기 위해 열교환 페데스탈(150)의 표면(26)상에 제공될 수 있다. 티타늄을 함유하는 층과 같이, 접착층(140)의 적절한 두께는 약 0.25 마이크로미터 내지 약 1 마이크로미터일 수 있다. 일 형태에서, 열교환 페데스탈(150)은 다이아몬드-형 재료의 코팅(24)을 포함한다. 또 다른 형태에서, 열교환 페데스탈은 고순도 실리콘 카바이드의 코팅(24)을 포함한다. 또 다른 형태에서, 열교환 페데스탈은 고순도 실리콘 질화물 코팅(24)을 포함한다. 또 다른 형태에서, 열교환 페데스탈(150)은 그래파이트 또는 실리콘 침투형 실리콘 카바이드를 함유하는 베이스층(130)을 포함하는 캡(133) 및 베이스층(130)을 실질적으로 완전히 커버하는 실리콘 카바이드의 코팅(24)을 포함한다.
또한, 통상적으로 열교환 페데스탈(150)이 기판(104)을 정전기적으로 보유하지 않고 실질적으로 기판(104)과 열을 교환함에 따라, 지지 표면(2)은 표면(22) 상의 기판(104)의 보유력을 개선시키도록 조절될 수 있다. 예를 들어, 열 교환 페테스탈(150) 상의 코팅(24) 표면(22)은 정전기 척상의 메사(112) 표면 보다 약간 높은 평균 표면 조도를 포함할 수 있다. 그러나, 표면 조도는 기판(104)의 오염을 방지하도록 충분히 낮게 바람직하게 유지된다. 적절한 평균 표면 조도는 약 0.1 마이크로미터 내지 약 0.4 마이크로미터와 같이 약 0.4 마이크로미터 미만일 수 있다.
일 형태에서, 기판(104) 보유력은 표면(22)에 그루브(159)를 형성함으로써 개선된다. 그루브(159)는 예를 들어 방사상 이격된 원형 그루브들을 포함할 수 있다. 일 형태에서, 표면(22)은 적어도 약 1cm 간격으로 이격되고, 약 50 마이크로미터 내지 약 500 마이크로미터의 깊이, 및 약 1 밀리미터 내지 약 3밀리미터의 폭을 가지는 4개의 그루브를 포함한다. 일 형태에서, 그루브(159)는 페데스탈 바디(154)의 표면에 그루브들을 가공하거나 또는 다른 방식으로 형성함으로써 형성된다. 오염 감소 코팅의 컨포멀 코팅(24)이 페데스탈 바디(154)의 표면(26)에 인가되어, 코팅(24)은 그루브가 형성된 상부 표면을 포함하게 된다. 컨포멀한 코팅(24)이 형성되지 이전에 접착층(140)이 인가될 수도 있다. 그루브(159) 제공은, 통상적으로 매우 평탄하며 소정의 경우 페데스탈(150) 상의 기판(104)의 적절한 보유력을 제공하지 않을 수도 있는 다이아몬드형 재료와 같은 재료에 대해 특히 적합하다. 일 형태에서, 그루브(159)는 페데스탈(150) 상의 기판(104)과 열을 교환하기 위해 열 교환 유체가 흐르도록 구성될 수도 있다.
일 형태에서, 페데스탈 바디(154)의 표면(22)은 표면(22)상에 위치된 기판의 정면 및 후면에서 압력을 균일하게 할 수 있는 그루브(159) 패턴을 포함한다. 예를 들어, 열교환 페데스탈(150)은 프로세싱 이전 또는 이후에 기판(104)의 탈가스화에 이용되는 탈가스(de-gassing) 페데스탈을 포함할 수 있다. 그루브(159) 패턴은 기판 정면과 후면 사이의 압력차의 누적을 방지하여, 표면(22)에 기판이 "들러붙는(sticking)" 현상이 감소된다. 압력 균일화에 적합한 그루브(159) 패턴의 예가 도 8에 도시된다. 일 형태에서, 그루브(159) 패턴은 상이한 반경을 가지는 다수의 원형 그루브(173)를 포함하며, 이는 동심원인 것이 바람직하다. 원형 그루브 (173)는 표면(22)의 중심부(174) 부근에서 균일하게 가스 압력을 분포시키는 역할을 한다. 원형 그루브(173)는 예를 들어 제 1 반경을 가지는 제 1 원형 그루브(173a) 및 제 1 반경보다 큰 제 2 반경을 가지는 제 2 원형 그루브(173b)를 포함한다. 또한 그루브(159) 패턴은 기판 수용 표면(22)에 대해 연장되는 다수의 방사상 그루브(175)를 포함하며, 실질적으로 원형 그루브들(173) 사이에만 놓인다. 방사상 그루브들은 표면(22)의 직경에 대해 가스 압력은 분산시키는 역할을 한다. 일 형태에서, 방사상 그루브들은 실질적으로 제 1 원형 그루브(173a)로부터 제 2 원형 그루브(173b)로만 연장된다. 표면은 제 1 원형 그루브(173a) 내에 있는 리세스형 중심 영역(176)을 더 포함할 수 있다. 중심 영역(176)은 표면(22)의 중심부에서 기판(104)이 약간 휘는 것과 같이, 기판(104)의 접착 또는 들러붙음(sticking)을 방지하도록, 기판(104)과 표면(22)의 접촉을 방지한다.
예시적인 일 형태에서, 그루브(159) 패턴은 4개의 원형 그루브(173)와 같이, 약 3 내지 8개의 원형 그루브(173)로 형성되며, 12개의 방사상 그루브(175)와 같이 약 2 내지 약 24개의 방사상 그루브(175)를 포함한다. 그루브(159)는 약 0.8mm(0.03인치)와 같이 약 0.5mm(0.02인치) 내지 약 1mm(0.04인치)의 깊이를 포함할 수 있다. 또한 그루브는 도 2a의 실시예에 도시된 것처럼, 반원형 단면 프로파일과 같은 둥근 단면 프로파일을 포함할 수 있다. 그루브(159) 패턴은 페데스탈(150) 상에 기판(104)을 배치하는 동안 표면(22) 상에서 기판(104)의 미끄러짐(slipping)을 감소시키는 추가적인 역할을 한다.
또 다른 형태에서, 오염-감소 재료를 함유하는 부품(20)은 도 8, 9a 및 9b에 도시된 것처럼, 리세스형 주변 리지(178)를 가지는 디스크(177)를 갖춘 바디(154)를 포함하는 지지 구조물(25)을 포함한다. 예를 들어, 부품(20)은 다이아몬드-형 코팅(24)을 가지는 탈가스 페데스탈과 같은 열교환 페데스탈(150) 및 리세스형 리지(178)을 포함할 수 있다. 리세스형 주변 리지(178)는 기판(104)의 주변 에지(179)가 주변 리지(178)의 적어도 일부를 오버행하여, 도 9b에 도시된 것처럼, 리지(178)와 기판(104) 사이의 콘택이 실질적으로 방지되도록 충분히 크게 크기설정된 방사상 폭을 포함한다. 리세스형 주변 리지(178)는 도 9a에 도시된 것처럼, 디스크(177)의 주변부 부근에 연속 링을 형성할 수 있다. 리세스형 주변 리지(178)는 기판(104)의 오염을 감소시키는 것으로 여겨지며, 이는 소정의 기판(104)에서 오염된 영역을 포함할 수 있는 페데스탈(150)의 표면(22)과 기판(104)의 주변 에지(179) 사이에서 콘택이 감소되기 때문이다. 오염된 기판 주변 에지(179)와 페데스탈(150) 표면(22) 사이의 콘택은 페데스탈(150)로 오염 미립자를 이송할 수 있으며, 순차적 기판(104)의 오염물이 페데스탈(150)상에 위치된다. 그러나, 리세스형 주변 리지(178)를 제공함으로써, 이러한 오염 영역과 지지 표면(22) 사이의 콘택이 감소되어, 표면(22) 상에 위치되는 순차적 기판(104)의 오염 또한 감소된다. 리세스형 주변 리지(178)는 바람직하게 전체 디스크(177) 직경의 적어도 약 1/50th의 방사상 폭을 포함할 수 있다. 예를 들어, 리세스형 주변 리지(178)는 300mm의 직경을 가지는 디스크(177)에 대해 적어도 약 2mm의 방사상 폭을 포함할 수 있다. 주변 리지(178)가 디스크(177) 상부 표면(182)으로부터 리세스될 수 있는 적절한 깊 이는 적어도 약 2mm의 깊이일 수 있다. 기판(104)의 프로세싱시에 오염 감소 및 압력 균일화를 위해, 리세스형 주변 리지(178)는 도 8에 도시된 것처럼 표면(22) 상의 그루브(159) 패턴과 조합되어 제공될 수 있다.
또 다른 형태에서, 오염-감소 재료를 포함하는 부품(20)은 리프트 핀(pin)(160)을 포함하는 지지 구조물(25)을 포함하며, 실시예는 도 3에 도시된다. 리프트 핀(160)은 지지체(100)의 표면으로부터 기판을 상승 및 하강시키도록 구성된팁(162)을 가지는 이동식 연장 부재(161)를 포함한다. 리프트 핀(160)은 리프트 핀 어셈블리(163)의 일부일 수 있으며, 하나 이상의 리프트 핀(160)을 보유하고, 리프트 핀(160)을 상승 및 하강시키기 위해 벨로우즈(미도시)에 부착될 수 있는 리프트 핀 지지체(164)를 포함한다. 리프트 핀(160)은 다이아몬드-형 재료 및 고순도 세라믹중 적어도 하나와 같이, 앞서 개시된 오염-감소 재료중 적어도 하나를 포함할 수 있다. 예를 들어, 리프트 핀(160)은 기판(104) 오염을 감소시키는 콘택 표면(22)을 제공하기 위해, 리프트 핀(160)의 팁(162)의 적어도 일부를 커버하는 오염-감소 재료의 코팅(24)을 포함할 수 있다. 일 형태에서, 리프트 핀(160)에 대해 바람직한 오염 감소 코팅은 다이아몬드-형 재료를 포함하는 코팅(24)을 포함하며, 상기 코팅(24)은 리프트 핀(160)의 팁(162) 상에서 약 1 마이크로미터 내지 약 4마이크로미터의 두께를 갖는다. 또 다른 형태에서, 리프트 핀(160)에 대해 바람직한 오염 감소 코팅 실리콘 질화물을 포함하는 고순도 세라믹을 함유하는 코팅(24)을 포함한다. 일 형태에서, 바람직한 오염 감소 코팅은 실리콘 카바이드를 포함한다.
또 다른 일 형태에서, 기판(104)의 오염을 감소시킬 수 있는 부품(20)은 도 10a의 실시예에 도시된 것처럼, 기판 지지체(100)로부터 기판(104)을 상승시키고 기판(104)을 이송하도록 구성된 기판 리프팅 어셈블리(185)를 포함한다. 예를 들어, 기판 리프팅 어셈블리(185)는 열교환 페데스탈(150)과 같이 지지체(100)에 대해 기판(104)을 상승 및 하강시키도록 구성될 수 있다. 리프팅 어셈블리(185)는 지지체(100)의 주변부(187) 부근에 고정되도록 크기설정된 후프(186)를 포함한다. 한 쌍의 아치형 핀(fin)(188)은 예를 들어 도 10a에 도시된 대향 장치에서 후프(186) 상에 장착된다. 각각의 아치형 핀(188)은 지지체(100)를 향해 안쪽방향으로 각진 한 쌍의 대향 단부(189)를 포함한다. 각각의 대향 단부(189)는 지지체(100)를 향해 안쪽 방향으로 연장되는 리지(190)를 포함한다.
아치형 핀(188) 각각의 대향 단부(189) 상의 리지(190)는 리지(190) 상에 기판(104)을 설정함으로써 지지체(100)에 대해 기판(104)을 상승시킬 수 있는 리프팅 구조물을 형성하도록 협력한다. 리지(190)는 각각의 단부(189)로부터 리지로 하향 경사지는 경사진 접속 영역(191)에 의해 대향 단부(189)와 접속될 수 있다. 리지(190)는 기판(104)를 적절히 지지하도록 바람직하게 크기설정되며, 경사진 접속 영역(191)과 기판(104) 사이에 과도한 접촉 또는 마찰 없이 기판(104)을 지지하기에 충분한 간격으로 안쪽방향으로 연장될 수 있어, 기판(104) 오염을 감소시킬 수 있다. 리지(190)는 기판(104)이 대향 단부에서 경사진 접속 영역(191)과 실질적으로 접촉하지 않도록 충분히 클 수 있다. 예를 들어, 약 300mm 직경의 기판(104)을 상승 및 이송하기 위해, 리지(190)는 적어도 약 7mm 만큼 대향 단부(189)로부터 안쪽 으로 연장될 수 있다.
또한 기판 리프팅 어셈블리(185)는 도 10b에 도시된 것처럼, 기판(104)을 상승 및 하강시키는 동안, 기판(104)과 리지(190) 사이의 콘택을 최소화시키도록 크기설정 및 형상화되는 리지(190) 각각의 상부 표면(193)상에 적어도 하나의 상승된 돌출부(192)를 제공함으로써 보다 개선된다. 기판(104)과 리지 표면(193) 사이의 콘택 최소화는 리지(190)에 의해 기판(104)의 오염을 보다 감소시켜, 기판(104) 프로세싱에 개선된 결과를 산출한다. 또한, 이미 오염된 기판(104)은 리지(190) 또는 리지(190)에 의해 상승된 순차적 기판에서 과도한 양의 오염물을 실질적으로 전달하지 않고 상승된 돌출부(192)를 가지는 기판 리프팅 어셈블리(185)에 의해 안전하게 처리될 수 있다. 또한 돌출부(192)는 리지(190)의 안쪽 단부(195)를 향해 평탄하게 위치되어, 상승된 돌출부(192)는 기판(104)의 주변 에지(179)과 떨어진 영역에서 기판(104)과 접촉되어, 기판(104)의 주변 에지(179) 보다 통상적으로 덜 오염된다. 예를 들어, 상승된 돌출부(192)는 대향 단부(189) 로부터 이격되어, 이들은 기판(104)의 주변 에지(179) 내부로 적어도 약 4mm, 심지어 주변 에지(179) 내부로 적어도 약 7mm인 직경에서 기판과 접촉된다. 따라서, 돌출부(192)는 적어도 약 4mm 및 심지어 적어도 약 7mm 만큼 대향 단부(189)로부터 이격될 수 있다. 리지(190)와의 기판(104) 접촉을 최소화시키기 위한 상승된 돌출부(192)의 적절한 높이는 약 1mm 내지 약 2mm와 같이 적어도 약 1mm, 심지어 적어도 약 1.5mm의 높이일 수 있다.
일 형태에서, 기판 리프팅 어셈블리(185)는 아치형 핀(188) 각각의 리지 (190) 상에서 하나의 상승된 돌출부(192)를 포함하며, 상승 및 이송되는 기판이 위치될 수 있는 전체 4개의 돌출부(192)가 생성된다. 각각의 돌출부(192)는 돌출부(192)가 기판(104)의 주변 에지(179) 안쪽 방향으로 약 7.5mm인 영역에서 기판(104)과 접촉하도록, 아치형 핀(188)의 대향 단부(189)로부터 안쪽방향으로 이격된다. 돌출부는 리지(190) 표면(193) 위로 약 1.6mm(1/16 인치)의 높이를 가진다. 일 형태에서, 아치형 핀(188)은 예를 들어 스테인레스 스틸 및 알루미늄중 적어도 하나와 같이, 금속 재료를 포함한다. 또한, 아치형 핀(188)은 기판(104)의 오염을 보다더 감소시키기 위해, 다이아몬드-형 나노복합물과 같이 다이아몬드-형 재료 코팅(24)의 오염 감속 재료를 포함할 수 있다. 예를 들어, 돌출부(192)는 다이아몬드-형 나노복합물과 같은 오염-감소 재료를 포함할 수 있다. 예를 들어 고순도 알루미나 및 석영중 적어도 하나와 같은 오염 감소 세라믹, 또는 다른 비-금속성 재료가 돌출부(192)를 형성하는데 이용될 수 있다. 도 10에 도시된 것처럼, 제 2 쌍의 아치형 핀(188)이 제 1 쌍의 아치형 핀 위 또는 아래에 장착되어 하나 이상의 기판(104)의 동시적 이송이 허용된다.
또 다른 형태에서, 기판 리프팅 어셈블리는 도 7b의 실시예에 도시된 것처럼, 한 쌍의 아치형 핀(188)에 대해 기판을 이송할 수 있는 기판 이송 암(103)을 더 포함하는 기판 이송 시스템(198)의 일부일 수 있다. 기판 이송 암(103)은 도 6의 실시예에 도시된 것처럼, 멀티-챔버 장치에서 기판을 상이한 챔버들로 전달할 수 있는 이송 챔버 로봇(119)의 일부일 수 있다. 기판 이송 시스템은 암(103) 및 리프팅 어셈블리(185)에 의해 이송되는 기판(104)의 오염을 감소시키기 위해 기판 이송 암(103) 및 리프팅 어셈블리(185)를 제어하는 프로그램 코드를 포함하는 제어기(194)를 더 포함할 수 있다. 일 형태에서, 제어기(194)는 기판(104)이 챔버의 중심축(197)을 따라 지지체(100)의 중심부 위에서 실질적으로 정렬되도록, 이송 암(103)을 이동시키기 위해 이송 암(103)으로 제어 신호를 전송하는 기판 센터링 제어 프로그램 코드를 포함한다. 챔버(106)의 중심축과 실질적으로 정렬되는 기판(104)을 정확하게 위치설정함으로써, 기판이 아치형 핀(188) 상에 배치될 때, 아치형 핀(188) 상에서 기판(104)의 정확한 위치설정이 실질적으로 기판(104)의 과도한 슬립핑 없이 보다 쉽게 달성될 수 있으며, 상기 슬립핑은 기판(104)을 마모 및 오염시킬 수 있다. 제어기(194)는 기판 이송 암(103)을 향해 아치형 핀(188)을 상승시키도록 후프(186)를 상승시키고 이송 암(103)와 아치형 핀(188) 사이에서의 기판 이송과 관련하여 후프(186) 및 이송 암(103)을 동작시키는 프로그램 코드를 더 포함할 수 있다. 다음 후프는 프로세싱 동안 지지체(100) 상에 기판(104)을 설정하기 위해 제어기(194)에 의해 하강될 수 있다.
일 형태에서, 기판 이송 시스템(198)은 하나 이상의 기판(104) 및 이송 암(103)의 위치를 검출할 수 있고 챔버(106)에서 기판(104)을 적절히 위치시키는데 이용될 수 있는 검출된 위치와 관련하여 신호를 생성할 수 있는 검출기(199)를 포함한다. 일 형태에서, 검출기(199)는 도 11의 실시예에 도시된 것처럼, 기판(104) 및 이송 암(103)이 챔버(106)로 진입하는 개구부를 포함하는 슬릿 밸브(201)의 대향 단부(203a, 203b) 상에 정렬되는 한 쌍의 광 센서(200a, 200b)를 포함한다. 광 센서(200a, 200b)는 이송 암(103)에 의해 슬릿 밸브(201)를 통해 이송되는 기판 (104)이 슬릿 밸브(210)를 통과함에 따라 실질적으로 중심설정되었는지를, 또는 기판 및 이송 암이 중심을 벗어나 슬릿 밸브의 한쪽 단부 또는 다른쪽 단부(203a, 203b)를 향해 이동되었는지를 검출할 수 있다. 일 형태에서, 광 센서(200a, 200b)는 각각의 센서에 도달하는 광 세기를 검출할 수 있고, 각각의 센서(200a, 200b)에 의해 검출된 광 세기는 기판(104)과 이송 암(103)의 상대 위치를 검출하도록 비교될 수 있다. 예를 들어, 각각의 센서(200a, 200b)에 도달되는 것이 차단되는 광의 양은 센서(200)에 대한 기판(104) 및 이송 암(103) 위치의 표시를 제공한다. 검출된 광과 관련하여 광 센서(200a, 200b)에 의해 생성된 신호는 프로세스 챔버(106)로 이송됨에 따라 제어기(194)에 의해 기판(104)의 위치를 계산하고, 챔버(106)에서 이송 암(103)과 기판(104)의 위치를 제어하는 제어 신호를 생성하는데 이용될 수 있다. 기판 위치를 검출하는 다른 수단이 광 센서(200a, 200b)에 부가되어 또는 대안책으로서 이용될 수 있으며, 광 센서(200a, 200b)는 슬릿 밸브(201) 부근에 상이한 장치를 포함할 수도 있다.
일 형태에서, 제어기(194)는 기판(104)의 검출된 위치와 챔버 중심축(197)과 정렬되는 프로세스 챔버(106)의 중심부 사이의 차인 오프셋 간격을 계산하기 위해 검출기(199)에 의해 생성된 신호를 이용함으로써 이송 시스템(198)의 일부로서 작용한다. 제어기(104)는 실질적으로 지지체(100)의 중심부 위에서 챔버(106)의 중심축(197)을 따라 기판(104)을 위치시키기 위해 이송 암(103)의 이동을 제어하도록 오프셋 간격을 중심으로 제어 신호를 생성할 수 있어, 리프팅 어셈블리(185)로 기판(104) 중심을 벗어난(off-centered) 전달을 야기하는 기판(104)의 마모 현상을 감소시킨다. 예를 들어, 제어기(194)는 예를 들어, 챔버의 중심축(197)과 평행한 평면에서 기판(104)의 중심설정을 위해 슬릿 밸브(201)의 한쪽 단부 또는 다른쪽 단부(203a, 203b)를 향하게, 이송 암(103)이 좌측 또는 우측으로 이동하게 하는 제어 명령을 제공할 수 있다. 또한 제어기(194)는 이송 암(103)을 이동시켜 챔버(106)의 중심축(197)과 기판의 중심이 정렬되고 실질적으로 지지체(100)의 중심 위로 기판(104)을 위치되기에 충분한 간격으로 챔버속으로 기판이 향하게 하는 제어 명령들을 생성하는 프로그램 코드를 포함할 수 있다. 따라서, 이송 시스템(198)은 기판의 오정렬 및 마모로 인한 오염이 감소되도록, 프로세스 챔버속으로 기판을 이송하고 챔버에서 기판(104)을 정렬하는데 이용된다.
챔버(106)로부터 기판(104)을 제거하기 위해, 제어기(194)는 상기 이송 단계와 반대로 이송 암(103)과 기판 리프팅 어셈블리(185)를 작동시키는 프로그램 코드를 포함할 수 있다. 예를 들어, 제어기(194)는 지지체(100)로부터 아치형 핀(188) 상으로 기판(104)을 상승시키고, 중심축(197)을 따라 챔버(106)로 기판(104)을 상승시키도록 후프(186)를 작동시키는 프로그램 코드를 포함할 수 있다. 이송 암(103)은 챔버(106)의 중심축(197)에 위치 및 이동하고, 아치형 핀(188)으로부터 이송 암으로 기판(104)을 이송하기 위해 리프팅 어셈블리(185)와 협력하여 동작하게 한다. 또한 제어기(194)는 실질적으로 기판(104)의 마모 및 오염 없이 리프팅 어셈블리(185)로부터 기판(104)을 수용하도록 프로세스 챔버(106)에서 이송 암(103)을 정렬하기 위해 검출기(199)로부터의 신호를 이용할 수 있다. 다음 제어기(194)는 챔버(106)로부터 기판(104)을 제거하고, 챔버(106)에 새로운 기판(104)을 제공 하도록 이송 암(103)에게 지시한다. 따라서, 챔버에 기판(104)의 원하는 정렬을 제공함으로써 처리된 기판의 오염 레벨 감소를 촉진시켜, 리프팅 어셈블리(185) 및 지지체(100)와 같은 챔버 부품과 기판(104) 사이에 과도한 마모 및 마찰이 야기되지 발생하지 않는다.
일 형태에서, 예를 들어 진공 또는 탈가스 챔버로부터, 프로세스 챔버(106) 안밖으로 기판(104)을 이송할 수 있는 이송 암(103)은 이송 동안 기판(104)과 접촉하며, 기판(104)의 오염을 감소시킬 수 있는 오염-감소 재료를 포함하는 콘택 표면(22)을 자체적으로 포함할 수 있다. 예를 들어, 이송 암(103)은 도 11의 실시예에 도시된 것처럼, 상부에 콘택 표면(22)을 가지는 오염-감소 재료의 코팅(24)을 함유하는 이송 블레이드(205)를 포함할 수 있다. 오염-감소 재료는 예를 들어 다이아몬드-형 나노복합물과 같이 다이아몬드-형 재료일 수 있다. 또 다른 예에서, 이송 암(103)은 프로세스 챔버(106) 안팎으로 이송됨에 따라 기판(104)과의 접촉을 최소화시킴으로써 기판(104)의 오염을 감소시킬 수 있다. 예를 들어, 이송 암(103)은 기판(104)을 상승시키고 이송 블레이드(205)의 위치와 기판(104)의 접촉의 최소화하는 하나 이상의 상승된 돌출부(206)를 포함할 수 있으며, 이러한 상승된 돌출부는 적어도 약 1.6mm의 높이를 갖는다. 일 형태에서, 돌출부(206)는 이송 블레이드(205)의 콘택 표면(22) 상에 고르게 배열될 수 있어, 이들은 기판(104) 뒷쪽 주변 에지(179)와 실질적으로 접촉하지 않게되어, 통상적으로는 비교적 많은 양의 오염물을 포함하는 기판(104) 영역과 이송 암(103) 사이의 접촉이 감소된다. 예를 들어, 상승된 돌출부는 기판(104)의 주변 에지(179) 안쪽으로 적어도 약 4mm인 직경 에서 기판(104)의 뒷쪽과 접촉하도록 배열된다. 따라서, 이송 암(103)은 프로세스 챔버(106) 안팎으로 기판을 이송하는 동안 기판(104)의 오염을 감소시키도록 구성될 수 있다.
일 형태에서, 오염-감소 재료를 포함하는 부품(20)은 도 4에 도시된 실시예와 같이 지지 셔터(180)를 포함한다. 지지 셔터(180)는 예를 들어 챔버 세정 프로세스 동안, 기판(104)이 지지체(100) 상에 존재하지 않을 때 기판 지지체(100)의 표면(28)을 보호하도록 구성된다. 셔터(180)는 표면(28) 상에 타겟 및 챔버의 세정 동안 스퍼터링 타겟으로부터 떨어질 수 있는 재료와 같은 재료의 증착을 방지한다. 통상적으로 셔터(180)는 지지체(100) 표면(28)의 적어도 일부를 커버하도록 크기설정되고 형상화되는 디스크(181)를 포함하는 구조물(25)을 포함하며, 지지체(100)의 노출된 표면(28)을 실질적으로 전체적으로 고르게 커버할 수 있다. 예를 들어, 표면(28)은 메사(112)(미도시) 상부표면(22)을 포함할 수 있으며, 또한 실질적으로 평면형인 지지 표면(28)(도시)의 상부를 포함할 수 있다. 기계적 암(미도시)은 표면(28)을 커버하도록 지지체의 표면(28) 상에서 셔터 디스크(181)를 회전시키며, 지지체(100) 상에서 기판(104)을 처리하기 위해 지지 표면(28)으로부터 떨어지게 셔터 디스크(181)를 회전시킬 수 있다.
지지 표면(28) 및 기판(104)의 오염을 감소시키기 위해, 바람직하게 셔터 디스크(181)는 예를 들어 다이아몬드-형 재료 및 고순도 세라믹 재료중 적어도 하나와 같이, 상기 개시된 오염-감소 재료중 적어도 하나를 포함한다. 일 형태에서, 셔터 디스크(181)는 오염-감소 재료를 함유하는 코팅(24)을 갖춘 하부 표면(183)을 포함한다. 코팅(24)은 지지체(100)의 표면(28)과 표면(184)의 접촉을 야기하는 금속 미립자로부터 기판과 지지체의 오염을 감소시키는 하부 표면(184) 제공한다. 셔터 디스크(181)는 예를 들어 접속 핀을 이용하여 오염 감소 코팅의 코팅층(24)과 기계적으로 부착될 수도 있다. 일 형태에서, 디스크(181)는 코팅(24)(미도시)과 같은 금속-오염 감소 재료를 가지는 상부 표면을 포함하며, 디스크(181)는 실질적으로 전체 디스크를 커버하는 코팅(24)을 포함할 수 있다. 셔터 디스크(181)는 예를 들어, 고순도 실리콘 카바이드, 실리콘 질화물, 실리콘 및 실리콘 산화물중 적어도 하나를 포함하는 오염 감소 재료를 포함할 수 있다. 바람직한 형태에서, 셔터 디스크(181)의 하부 표면(184)은 고순도 실리콘 질화물 재료를 함유하는 오염 감소 코팅(24)을 포함한다.
개시된 오염-감소 재료를 포함할 수 있는 다른 부품(20)은 로봇 이송 암들의 블레이드, 기판 지지체 상의 링들, 및 프로세싱을 위해 기판(104)의 지지 또는 이송에 수반되는 다른 부품들을 포함할 수 있다.
오염 감소 코팅을 포함하는 부품(20)은 다수의 프로세싱 챔버들(106a-106d)를 구비한 멀티-챔버 장치(101)의 일부일 수 있다. 기판(104)의 프로세싱에 적합한 장치(101)의 실시예는 도 6에 도시된 것처럼, 하나 이상의 프로세싱 챔버(106a-106d)를 포함한다. 챔버(106a-106d)는 전기적 배관 및 다른 지지 기능을 제공하는, Endura 2(캘리포니아 산타클라라의 Applied Materials, Inc.)와 같은 플랫폼상에 장착된다. 통상적으로 플랫폼(109)은 처리된 기판(104)의 카세트(115) 및 프로세싱을 위해 카세트(115)로부터 상이한 챔버(106a-106d)로 기판을 이송하고 프로세 싱 이후 기판을 다시 복귀시키는 로봇(119)을 갖춘 기판 이송 챔버(117)를 수용하는 로드 락(113)을 지지한다. 예를 들어 상이한 챔버(106a-106d)는 세정 챔버, 에칭 챔버, 기판 상에 재료를 증착하는 증착 챔버, 선택적으로 열처리 챔버, 및 다른 프로세싱 챔버를 포함할 수 있다. 예를 들어, 일 형태에서, 챔버들(106a-106d)중 하나는 기판(104)의 탈가스화를 위한 프로세싱 이전에 기판(104)을 가열하는 가열 페데스탈(151)을 갖춘 열처리 챔버를 포함한다. 기판(104)의 탈가스화 이후, 기판(104)은 기판(104) 상의 재료를 에칭하기 위해 로봇(119)에 의해 프로세스 챔버(106)로 이송될 수 있다. 기판(104)은 로봇(119)에 의해 예를 들어, 정전기 척상에 고정된 기판(104) 상에 배리어층을 증착하기 위한 증착 챔버를 갖춘 프로세스 챔버로 이송될 수 있다. 프로세싱 이후, 기판(104)은 로봇(119)에 의해 냉각 챔버로 이송될 수 있으며, 기판은 기판(104)을 냉각시키기 위해 냉각 페데스탈(152) 상에 위치될 수 있다. 챔버(106a-106d)는 프로세스를 중단시키지 않고 진행할 수 있게 장치(101) 내부에 연속적인 진공 환경을 형성하도록 상호접속되어, 상이한 프로세스 스테이지들을 위한 개별 챔버들 사이에서 웨이퍼를 이송할 때 발생될 수 있는 기판(104) 오염을 감소시킨다.
일 형태에서, 장치(101)는 이송 암(103)을 갖춘 로봇(119)을 구비한 이송 챔버(117); 가열 페데스탈(151)을 갖춘 탈가스 또는 가열 챔버(106a); 활성화된 예비-세정 가스에 기판(104)을 노출시킴으로써 증착 프로세스 이전에 기판(104)을 세정하도록 구성된, 기판 지지체(100) 갖춘 예비-세정 챔버(106b); 기판 지지체(100)를 포함하며, 기판(104) 상에 재료를 증착하도록 구성된 물리적 기상 증착 또는 화학 적 기상 증착 챔버와 같은 증착 챔버(106c); 및 프로세싱 이후 기판(104)을 냉각시키며, 냉각 페데스탈(152)을 구비하는 냉각 챔버(106d)를 포함한다. 하나 이상의 챔버(106a-106d)는 페데스탈(151, 152) 및 지지체(100)에 대해 기판(104)을 상승 및 하강시키기 위해 아치형 핀(188)을 갖춘 기판 리프팅 어셈블리(185)를 더 포함할 수 있다. 이송 암(103), 리프팅 어셈블리(185), 지지체(100) 및 페데스탈(151, 152)을 포함하는 멀티-챔버의 부품들은 바람직하게 오염-감소 재료 및/또는 오염-감소 구조물을 포함하여, 챔버 각각을 통해 순환되는 기판은 철에 대해 약 5×1010 atoms/㎤ 미만의 오염 레벨, 및 다른 모든 금속 이온들에 대해 약 1×1011 atoms/㎤ 미만의 오염 레벨을 갖는다.
오염-감소 재료를 가지는 부품(20)을 포함할 수 있는 프로세스 챔버(106)의 실시예가 도 7b에 도시된다. 챔버(106)는 프로세스 영역(113)을 에워싸는 천정, 측벽 및 바닥벽을 포함할 수 있는 엔클로져 벽(118)을 포함한다. 동작시, 프로세스 가스는 프로세스 가스 소스를 포함하는 가스 공급부(130) 및 가스 분산기를 통해 챔버(106) 속에 주입된다. 가스 분산기는 하나 이상의 가스 흐름 밸브를 가지는 하나 이상의 도관 및 기판 수용 표면(180)을 가지는 기판 지지체(100) 상의 프로세스 영역(11)에 보유될 수 있는 기판(104) 주변부 부근의 하나 이상의 배기구를 포함할 수 있다. 선택적으로, 가스 분산기는 샤워헤드 가스 분산기(미도시)를 포함할 수 있다. 소모된 프로세스 가스 및 프로세스 부산물은 프로세스 영역(113)으로부터 소모된 프로세스 가스를 수용하는 배기 도관, 챔버(106)의 프로세스 가스의 압력을 제어하는 트로틀 밸브, 및 하나 이상의 배기 펌프를 포함하는 배기구(120)를 통해 챔버(106)로부터 배기된다.
프로세스 가스는 챔버(106)의 프로세스 영역(113)에서 프로세스와 에너지를 결합시키는 가스 에너자이저(116)에 의해 기판(104)을 처리하도록 활성화될 수 있다. 일 형태에서, 가스 에너자이저(116)는 프로세스 가스를 활성화시키기 위해 가스 공급부에 의해 전력이 공급될 수 있는 프로세스 전극들을 포함한다. 프로세스 전극들은 기판(104) 아래의 지지체(100)내의 전극(108)과 같이, 또 다른 전극과 용량성 결합될 수 있는 챔버(106)의 측벽 또는 천정처럼 벽 또는 벽 내부에 있는 전극을 포함할 수 있다. 선택적으로 또는 부가적으로, 가스 에너자이저(116)는 챔버의 중심부 부근에서 원형 대칭성을 가질 수 있는 하나 이상의 인덕터 코일을 갖춘 안테나를 포함할 수 있다. 또 다른 형태에서, 가스 에너자이저(116)는 챔버(106)로부터 상류의 원격 영역에서 마이크로파 에너지에 의해 프로세스 가스를 활성화시키기 위해 마이크로파 소스 및 도파관을 포함할 수 있다. 기판(104) 상에 재료를 증착하도록 구성된 물리적 기상 증착 챔버(106)에서, 챔버는 기판(104)을 면하는 타겟(114)을 더 포함하며, 타겟(114)은 상기 타겟(114)으로부터 기판(104) 상에 재료를 증착하도록 활성화된 가스에 의해 스퍼터링된다.
기판(104)을 처리하기 위해, 프로세스 챔버(106)는 예정된 대기압 이하 압력으로 배기되고 유지된다. 다음 기판(104)은 예를 들어 로봇 암(103) 및 리프트 핀(160)과 같이, 기판 이송체에 의해 지지체(100) 상에 제공된다. 기판(104)은 예를 들어 전극 전력 공급부(172)를 통해, 지지체(100)의 전극(108)에 전압을 인가함으 로써 지지체(100) 상에 고정될 수 있다. 가스 공급부(130)는 챔버(106)에 프로세스 가스를 제공하며 가스 에너자이저(116)는 기판(104)을 처리하기 위해 가스가 활성화되도록 프로세스 가스와 RF 또는 마이크로파 에너지를 결합시킨다. 챔버 프로세스 동안 발생되는 유출물은 배기구(120)에 의해 챔버(106)로부터 배기된다.
챔버(106) 및 멀티-챔버 장치(101)는 도 7b의 실시예에 도시된 것처럼, 챔버(106) 내에서 기판(104)을 처리하기 위해 각각의 챔버(106a-106d) 부품을 동작시키는 명령 세트를 가지는 프로그램 코드를 포함하는 제어기(194)에 의해 제어된다. 예를 들어, 제어기(194)는 챔버(106)에 기판(104)을 위치시키기 위해 기판 지지체(100) 및 로봇 암(119) 및 리프트 핀(160)중 하나 이상을 동작시키기 위한 기판 위치설정 명령 세트; 챔버(106)에서 가스 흐름이 설정되도록 가스 공급부(130) 및 흐름 제어 밸브를 동작시키는 가스 흐름 제어 명령 세트; 챔버(106) 압력을 유지하기 위해 배기구(120) 및 트로틀 밸브를 동작시키는 가스 압력 제어 명령 세트; 가스 활성화 전력 레벨을 설정하기 위해 가스 에너자이저(116)를 동작시키는 가스 에너자이저 제어 명령 세트; 챔버(106)의 온도를 제어하는 온도 제어 명령 세트; 및 챔버(106)의 압력을 모니터링하는 프로세스 모니터링 명령 세트를 포함한다.
본 발명의 실시예들은 기판 처리에 실질적으로 장점을 제공하며 특히, 철과 같은 금속 이온들에 의한 기판(104)의 오염 감소에 있어 장점을 제공한다. 오염-감소 재료 및 이송 블레이드와 같은 오염 감소 부품들의 제공은 금속 표면을 가지는 부품 또는 금속 부품과 기판(104)의 접촉을 실질적으로 소거시킴으로써, 오염 레벨을 철에 대해 5×1010 atoms/㎤ 미만, 및 다른 모든 금속 이온들에 대해 약 1×1011 atoms/㎤ 미만으로 감소시킬 수 있다.
본 발명의 예시적인 실시예가 도시되고 개시되었지만, 당업자는 본 발명의 다른 실시예들을 고안해 낼 수 있을 것이다. 예를 들어, 지지체(100), 열교환 페데스타(150), 리프트 핀(160), 또는 다른 부품(20)은 특정하게 개시된 것과 상이한 다른 형상 및 구성을 가질 수 있다. 또한, 오염-감소 재료는 특정하게 개시된 것들과는 상이한 수단에 의해 제조될 수 있으며 부품(20) 상에서 상이한 구성을 포함할 수 있다. 또한, 예시적인 실시예들과 관련하여 도시된 관련 또는 전후관계상의 용어들은 상호교환될 수 있다. 따라서, 첨부된 특허청구항들은 본 발명을 설명하기 위해 개시된 바람직한 형태, 재료, 또는 공간적 배치로 제한되지 않는다.

Claims (54)

  1. 기판의 미립자 오염을 감소시킬 수 있는 기판 지지 구조물로서,
    (a) 바디 ; 및
    (b) 상기 바디 상의 다이아몬드-형 코팅
    을 포함하며, 상기 다이아몬드-형 코팅은 (ⅰ) 탄소와 수소, 및 (ⅱ) 실리콘과 산소의 인터링크된 네트워크들을 포함하며, 상기 다이아몬드-형 코팅은,
    (ⅰ) 약 0.3 미만의 마찰 계수 ;
    (ⅱ) 적어도 약 8 GPa의 경도 ; 및
    (ⅲ) 금속이 약 5×1012 atoms/㎤ 미만인 금속 농도 레벨
    을 가지는 콘택 표면을 포함하며, 상기 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시키는, 기판 지지 구조물.
  2. 제 1 항에 있어서,
    상기 다이아몬드-형 코팅은 약 104 Ohms·㎝ 내지 약 108 Ohms·㎝의 저항률을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  3. 제 2 항에 있어서,
    상기 다이아몬드-형 코팅은 약 0.1 atom% 내지 약 10 atom% 농도의 금속 첨 가제를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  4. 제 1 항에 있어서,
    상기 다이아몬드-형 코팅 아래에 티타늄층을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  5. 제 4 항에 있어서,
    상기 티타늄층은 약 0.01 내지 약 0.4 미크론의 두께를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  6. 제 1 항에 있어서,
    상기 다이아몬드-형 코팅은 약 0.02 내지 약 20 미크론의 두께를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  7. 제 1 항에 있어서,
    상기 다이아몬드-형 코팅은 5×10-6㎣/Nm 미만의 마모율(wear factor)을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  8. 제 1 항에 있어서,
    상기 바디는 리세스형 주변 리지(ledge)를 갖춘 디스크를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  9. 제 8 항에 있어서,
    상기 리세스형 주변 리지는 상기 기판 뒷쪽의 오염된 주변부와의 접촉이 감소되기에 충분히 큰 크기의 반경(radial)을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  10. 제 1 항에 있어서,
    상기 바디는 (i) 히터, 및 (ii) 열교환 유체가 통과하는 도관들중 적어도 하나를 포함하는 열 교환기인 것을 특징으로 하는 기판 지지 구조물.
  11. 제 1 항에 있어서,
    상기 바디는 전극을 커버하는 세라믹을 함유하는 정전기 척이며, 상기 세라믹은 그 상부에 상기 다이아몬드-형 코팅을 가지는 다수의 메사를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  12. 제 1 항에 있어서,
    상기 바디는 콘택 표면을 가지는 팁을 갖춘 긴(elongated) 부재를 포함하는 리프트 핀인 것을 특징으로 하는 기판 지지 구조물.
  13. 제 1 항에 있어서,
    상기 바디는 기판 지지체를 커버할 수 있는 금속 셔터 디스크인 것을 특징으로 하는 기판 지지 구조물.
  14. 기판의 미립자 오염을 감소시킬 수 있는 기판 지지 구조물로서,
    (a) 바디 ; 및
    (b) 상기 바디 상의 세라믹 코팅
    을 포함하며, 상기 세라믹 코팅은 금속이 약 5×1012 atoms/㎠ 미만인 금속 농도 레벨을 가지는 콘택 표면을 포함하며, 상기 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판 오염물을 감소시킬 수 있는, 기판 지지 구조물.
  15. 제 14 항에 있어서,
    상기 세라믹 코팅은 실리콘 카바이드 또는 실리콘 질화물을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  16. 제 14 항에 있어서,
    상기 세라믹 코팅은 실리콘을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  17. 제 14 항에 있어서,
    상기 바디는 전극을 커버하는 세라믹을 포함하며, 상기 세라믹은 그 상부에 다이아몬드-형 코팅을 가지는 다수의 메사를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  18. 제 14 항에 있어서,
    상기 바디는 히터 또는 열교환 유체가 통과하는 도관들 중 적어도 하나를 가지는 열 교환기를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  19. 제 14 항에 있어서,
    상기 바디는 콘택 표면을 가지는 팁을 갖춘 연장 부재를 구비한 리프트 핀을 포함하는 것을 특징으로 하는 기판 지지 구조물.
  20. 제 14 항에 있어서,
    상기 바디는 기판 지지체를 커버하는 금속 셔터 디스크를 포함하는 것을 특징으로 하는 기판 지지 구조물.
  21. 기판의 미립자 오염을 감소시킬 수 있는 탈가스 페데스탈로서,
    (a) 리세스형 주변 리지를 가지는 디스크를 구비한 바디 ; 및
    (b) 상기 바디 상의 다이아몬드-형 코팅
    을 포함하며, 상기 다이아몬드-형 코팅은 (i) 탄소와 수소, 및 (ii) 실리콘과 산소가 인터링크된 네트워크들을 포함하며, 상기 다이아몬드-형 코팅은,
    (ⅰ) 약 0.3 미만의 마찰 계수 ;
    (ⅱ) 적어도 약 8 GPa의 경도 ; 및
    (ⅲ) 금속이 약 5×1012 atoms/㎤ 미만인 금속 농도 레벨
    을 가지는 콘택 표면을 포함하며, 상기 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시키는, 탈가스 페데스탈.
  22. 제 21 항에 있어서,
    상기 리세스형 주변 리지는 오염된 뒷쪽 주변 에지와의 접촉을 방지하기에 충분히 큰 크기인 반경을 포함하는 것을 특징으로 하는 탈가스 페데스탈.
  23. 제 21 항에 있어서,
    상기 리세스형 주변 리지는 상기 디스크 직경의 적어도 약 1/150th의 방사상 폭을 포함하는 것을 특징으로 하는 탈가스 페데스탈.
  24. 제 21 항에 있어서,
    상기 리세스형 주변 리지는 적어도 약 2mm 폭이 반경을 포함하는 것을 특징 으로 하는 탈가스 페데스탈.
  25. 제 21 항에 있어서,
    상기 리세스형 주변 리지는 적어도 약 2mm의 깊이를 포함하는 것을 특징으로 하는 탈가스 페데스탈.
  26. 기판 지지체로부터 기판을 상승시키고 기판을 이송하는 기판 리프팅 어셈블리로서,
    (a) 상기 기판 지지체의 주변부 부근에 고정되도록 크기설정된 후프 ; 및
    (b) 상기 후프 상에 장착된 한 쌍의 아치형 핀(fins)
    을 포함하며, 상기 아치형 핀 각각은 방사상 안쪽방향으로 연장되는 리지들을 가지는 한 쌍의 대향 단부를 포함하며, 상기 리지들 각각은 상기 기판을 상승시키는 상승된 돌출부를 포함하며, 상기 기판은 상기 상승된 돌출부에만 실질적으로 접촉되어, 상기 한 쌍의 핀(fins)이 상기 기판 지지체로부터 상기 기판을 상승시키는데 이용될 때, 상기 리지와의 접촉을 최소화시키는, 기판 리프팅 어셈블리.
  27. 제 26 항에 있어서,
    상기 지지 리지들은 상기 대향 단부들로부터 적어도 약 4mm만큼 연장되는 것을 특징으로 하는 기판 리프팅 어셈블리.
  28. 제 26 항에 있어서,
    상기 상승된 돌출부들은 상기 대향 단부로부터 적어도 약 4mm 만큼 안쪽방향으로 이격되는 것을 특징으로 하는 기판 리프팅 어셈블리.
  29. 제 26 항에 있어서,
    상기 상승된 돌출부들은 상기 지지 리지의 표면 위로 적어도 약 1 mm의 높이를 포함하는 것을 특징으로 하는 기판 리프팅 어셈블리.
  30. 제 26 항에 있어서,
    상기 제 1 쌍 아래에 장착된 제 2 쌍의 아치형 단부를 더 포함하는 것을 특징으로 하는 기판 리프팅 어셈블리.
  31. 제 26 항에 있어서,
    상기 쌍의 아치형 핀들은 스테인레스 스틸 및 알루미늄 중 적어도 하나를 포함하는 것을 특징으로 하는 기판 리프팅 어셈블리.
  32. 제 26 항에 있어서,
    상기 쌍의 아치형 핀들은 알루미늄 및 석영 중 적어도 하나를 포함하는 것을 특징으로 하는 기판 리프팅 어셈블리.
  33. 제 26 항에 있어서,
    상기 한 쌍의 아치형 핀들로 상기 기판을 이송할 수 있는 기판 이송 암 및 프로세스 코드를 갖춘 제어기를 더 포함하며, 상기 프로세스 코드는,
    (ⅰ) 기판의 검출된 위치와 프로세스 챔버의 중심부 간의 차를 포함하는 오프셋 간격을 계산하고, (ⅱ) 실질적으로 상기 지지체의 중심부 위에 상기 기판을 위치시키기 위해 상기 이송 암의 이동을 제어하도록 상기 오프셋 간격과 관련된 제어 신호를 생성하고, (ⅲ) 상기 챔버에서 기판 이송 암을 향해 상기 아치형 핀을 상승시키기 위해 상기 후프를 상승시키는 제어 신호를 생성하고, (ⅳ) 상기 기판 이송 암과 상기 아치형 핀 사이에서 기판을 이송할 수 있는 것을 특징으로 하는 기판 리프팅 어셈블리.
  34. 열교환 지지체로서,
    (a) 그루브 패턴을 가지는 기판 수용 표면을 구비한 바디;
    (b) 상기 기판 수용 표면을 커버하는 다이아몬드-형 코팅; 및
    (c) 열 교환기
    를 포함하며, 상기 다이아몬드-형 코팅은 탄소, 수소, 실리콘 및 산소를 포함하며, 상기 기판 수용 표면은 그 상부에 그루브 패턴을 포함하는, 열교환 지지체.
  35. 제 34 항에 있어서,
    상기 열 교환기는 (i) 히터, 및 (ii) 열교환 유체가 통과하는 도관들 중 적어도 하나를 포함하는 것을 특징으로 하는 열교환 지지체.
  36. 제 34 항에 있어서,
    상기 열 교환기는 히터를 포함하는 것을 특징으로 하는 열교환 지지체.
  37. 제 34 항에 있어서,
    상기 열 교환기는 열교환 유체가 통과하는 도관을 포함하는 것을 특징으로 하는 열교환 지지체.
  38. 제 34 항에 있어서,
    상기 그루브 패턴은 상기 기판 수용 표면 상에 위치된 기판의 정면 및 뒷면 상에서 압력을 균일화시킬 수 있는 것을 특징으로 하는 열교환 지지체.
  39. 제 34 항에 있어서,
    상기 그루브 패턴은 상이한 반경을 가지는 다수의 원형 그루브, 상기 수용 표면에 대해 실질적으로 상기 원형 그루브들 사이에서만 방사상 연장되는 다수의 방사상 그루브를 포함하는 것을 특징으로 하는 열교환 지지체.
  40. 제 39 항에 있어서,
    상기 원형 그루브는 제 1 반경을 가지는 제 1 원형 그루브 및 상기 제 1 반경 보다 큰 제 2 반경을 가지는 제 2 원형 그루브를 포함하며, 상기 방사상 그루브들은 실질적으로 상기 제 1 원형 그루브에서 상기 제 2 원형 그루브로만 연장되는 것을 특징으로 하는 열교환 지지체.
  41. 제 40 항에 있어서,
    상기 제 1 원형 그루브 내부에 리세스형 중심 영역을 포함하는 것을 특징으로 하는 열교환 지지체.
  42. 제 39 항에 있어서,
    약 2 내지 8개의 원형 그루브를 포함하는 것을 특징으로 하는 열교환 지지체.
  43. 제 39 항에 있어서,
    약 2 내지 약 24개의 방사상 그루브를 포함하는 것을 특징으로 하는 열교환 지지체.
  44. 제 34 항에 있어서, 상기 다이아몬드-형 코팅은,
    (ⅰ) 5×10-6 ㎣/Nm 미만의 마모율 ;
    (ⅱ) 약 0.3 미만의 마찰 계수 ;
    (ⅲ) 적어도 약 8 GPa의 경도; 및
    (ⅳ) 약 104 Ohms·cm 내지 약 108 Ohms·cm의 저항률
    중 적어도 하나를 포함하는 것을 특징으로 하는 열교환 지지체.
  45. 프로세스 챔버에서 기판 지지체 상으로 기판을 이송하는 기판 이송 시스템으로서,
    (a) 상기 챔버로 기판을 이송하는 이송 암 ;
    (b) 상기 챔버에서 상기 이송 암의 위치를 검출하고 상기 위치와 관련된 신호를 생성하는 검출기 ;
    (c) 상기 이송 암으로부터 상기 기판을 수용하고 상기 지지체 상으로 기판을 하강시키도록 구성된 기판 리프팅 어셈블리 ; 및
    (d) 상기 기판 지지체 상으로 기판을 이송하도록 상기 이송 암, 검출기, 및 기판 리프팅 어셈블리를 제어하는 프로그램 코드를 포함하는 제어기
    를 포함하며, 상기 프로그램 코드는,
    (1) 상기 검출기로부터의 신호를 수신하고 상기 프로세스 챔버에서 기판의 위치를 검출하고, (2) 상기 기판의 검출된 위치와 상기 프로세스 챔버의 중심부 사이의 차를 포함하는 오프셋 간격을 계산하고, (3) 실질적으로 상기 지지체의 중심부 위에 상기 기판을 위치시키기 위해 상기 이송 암의 이동을 제어하도록 상기 오프셋 간격과 관련된 제어 신호를 생성함으로써, 실질적으로 상기 지지체의 중심부 위에 기판이 위치되도록 상기 기판 이송 암의 이동을 제어하는 기판 센터링 제어 코드를 포함하는, 기판 이송 시스템.
  46. 제 45 항에 있어서,
    상기 프로세스 챔버는 상기 기판이 챔버로 진입하는 슬릿 밸브를 포함하며, 상기 검출기는 상기 슬릿 밸브의 대향 측면들상에 한 쌍의 광 센서들을 포함하며, 상기 광 센서들은 상기 기판의 위치를 검출하기 위해 상기 기판으로부터 반사된 방사선을 검출하도록 구성되는 것을 특징으로 하는 기판 이송 시스템.
  47. 제 45 항에 있어서, 상기 기판 리프팅 어셈블리는,
    (a) 상기 기판 지지체의 주변부 부근에 고정되는 크기의 후프 ; 및
    (b) 상기 후프 상에 장착된 한 쌍의 아치형 핀(fins)
    을 포함하며, 상기 아치형 핀 각각은 방사상 안쪽방향으로 연장되는 리지들을 가지는 한 쌍의 대향 단부를 포함하며, 상기 리지들 각각은 기판을 상승시키기는 상승된 돌출부를 포함하여, 상기 기판은 실질적으로 상기 상승된 돌출부에만 접촉되어, 상기 한 쌍의 핀이 기판 지지체로부터 기판을 상승시키는데 이용될 때 리지와의 접촉을 최소화시키는 것을 특징으로 하는 기판 이송 시스템.
  48. 제 45 항에 있어서,
    상기 시스템은 리세스형 주변 리지를 가지는 디스크를 갖춘 지지체상으로 기판을 이송하도록 구성되는 것을 특징으로 하는 기판 이송 시스템.
  49. 기판 프로세싱 장치로서,
    (a) (ⅰ) 가스 공급부;
    (ⅱ) 가스 에너자이저;
    (ⅲ) 챔버에서 기판을 지지하며, 리세스형 주변 리지를 가지는 디스크를 갖춘 바디를 포함하는 기판 지지체 ;
    (ⅳ) 상기 지지체로부터 기판을 상승시키는 기판 리프팅 어셈블리 - 상기 기판 리프팅 어셈블리는 (1) 상기 기판 지지체의 주변부 부근에 고정되도록 크기설정된 후프 , 및 (2) 상기 후프 상에 장착되는 한 쌍의 아치형 핀을 포함하며, 상기 아치형 핀 각각은 방사상 안쪽방향으로 연장되는 리지들을 가지는 한 쌍의 대향 단부를 포함하며, 상기 리지들 각각은 기판을 상승시키는 상승된 돌출부를 가지며, 상기 기판은 실질적으로 상기 상승된 돌출부에만 접촉되어, 상기 한 쌍의 핀이 상기 기판 지지체로부터 기판을 상승시키는데 이용될 때, 상기 리지와의 접촉이 최소화됨 - ; 및
    (ⅴ) 가스 배기구
    를 포함하는 프로세스 챔버 ;
    (b) 상기 챔버 속으로 기판을 이송하는 이송 암;
    (c) 상기 챔버에서 상기 이송 암의 위치를 검출하고 상기 위치와 관련하여 신호를 생성하는 검출기; 및
    (d) 상기 프로세스 챔버 속으로 및 상기 기판 지지체 상으로 상기 기판을 이송하기 위해 상기 가스 공급부, 가스 에너자이저, 지지체, 리프팅 어셈블리, 이송 암 및 검출기를 제어하는 프로그램 코드를 포함하는 제어기
    를 포함하며, 상기 프로그램 코드는, (1) 상기 검출기로부터의 신호를 수신하고 상기 프로세스 챔버에서 기판의 위치를 검출하고, (2) 상기 기판의 검출된 위치와 상기 프로세스 챔버의 중심부 사이의 차를 포함하는 오프셋 간격을 계산하고, (3) 실질적으로 상기 지지체의 중심부 위에 상기 기판을 위치시키기 위해 상기 이송 암의 이동을 제어하도록 상기 오프셋 간격과 관련된 제어 신호를 생성함으로써, 실질적으로 상기 지지체의 중심부 위에 기판이 위치되도록 상기 기판 이송 암의 이동을 제어하는 기판 센터링 제어 코드를 포함하는, 기판 프로세싱 장치.
  50. 제 49 항에 있어서,
    상기 지지체는 상기 바디 상에 다이아몬드-형 코팅을 포함하며, 상기 다이아몬드-형 코팅은 (i) 탄소와 수소, 및 (ii) 실리콘과 산소가 인터링크된 네트워크들을 포함하며, 상기 다이아몬드-형 코팅은,
    (ⅰ) 약 0.3 미만의 마찰 계수 ;
    (ⅱ) 적어도 약 8 GPa의 경도 ; 및
    (ⅲ) 금속이 약 5×1012 atoms/㎤ 미만인 금속 농도 레벨
    을 가지는 콘택 표면을 포함하며, 상기 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시키는 것을 특징으로 하는 기판 프로세싱 장치.
  51. 제 49 항에 있어서,
    상기 지지체는 그루브 패턴을 가지는 기판 수용 표면을 갖춘 바디를 포함하며, 상기 그루브 패턴은 상이한 직경을 가지는 다수의 원형 그루브, 및 상기 수용 표면에 대해 실질적으로 상기 원형 그루브들 사이에서만 연장되는 다수의 방사상 그루브를 포함하는 것을 특징으로 하는 기판 프로세싱 장치.
  52. 프로세스 챔버 안팎으로 기판을 이송할 수 있는 기판 이송 암으로서,
    (a) 블레이드 ; 및
    (b) 상기 블레이드 상에 다이아몬드-형 코팅
    을 포함하며, 상기 다이아몬드-형 코팅은 (i) 탄소와 수소, 및 (ii) 실리콘과 산소가 인터링크된 네트워크들을 포함하며, 상기 다이아몬드-형 코팅은,
    (ⅰ) 약 0.3 미만의 마찰 계수 ;
    (ⅱ) 적어도 약 8 GPa의 경도 ; 및
    (ⅲ) 금속이 약 5×1012 atoms/㎤ 미만인 금속 농도 레벨
    을 가지는 콘택 표면을 포함하며, 상기 콘택 표면은 기판과 직접적으로 또는 간접적으로 접촉할 때 기판의 오염을 감소시키는, 기판 이송 암.
  53. 제 52 항에 있어서,
    상기 콘택 표면은 하나 이상의 상승된 돌출부를 포함하며 상기 기판은 실질적으로 상기 상승된 돌출부에만 접촉되어, 상기 블레이드와의 접촉이 최소화되는 것을 특징으로 하는 기판 이송 암.
  54. 멀티-챔버 기판 프로세싱 장치로서,
    (a) 챔버들 사이에서 기판을 이송하는 이송 암을 갖춘 이송 챔버;
    (b) 상기 기판을 가열하며 그 상부에는 상기 기판을 지지하는 가열 페데스탈을 포함하는 가열 챔버 ;
    (c) 활성화된 가스에 상기 기판을 노출시킴으로써 기판을 세정하며 그 상부에는 상기 기판을 지지하는 예비-세정 지지체를 포함하는 예비-세정 챔버;
    (d) 상기 기판상에 재료를 증착하며 그 상부에는 상기 기판을 지지하는 증착 지지체를 포함하는 증착 챔버;
    (e) 상기 기판을 냉각시키며 그 상부에는 상기 기판을 지지하는 냉각 페데스탈을 포함하는 냉각 챔버;
    (f) 상기 페데스탈들 및 지지체들 중 적어도 하나 상으로 상기 기판을 상승 및 하강시키기 위는 상기 챔버내의 하나 이상의 리프팅 어셈블리들; 및
    (g) 상기 챔버들 각각으로 상기 기판을 이송하여 상기 페데스탈 및 지지체 상에 기판을 위치시키기 위해 상기 이송 암 및 리프팅 어셈블리들을 제어하도록 구성된 제어기
    를 포함하며, 상기 이송 암, 리프팅 어셈블리, 가열 페데스탈, 냉각 페데스탈, 예비-세정 지지체 및 증착 지지체중 적어도 하나는 오염-감소 재료를 함유하는 코팅을 포함하며, 각각의 챔버로 이송 암에 의해 이송되는 기판은 리프팅 어셈블리들에 의해 상승되고 각각의 챔버내의 페데스탈들 및 지지체들 상에서 처리되며, 약 1×1011 atoms/㎠ 미만의 금속 오염 레벨을 포함하는, 멀티-챔버 기판 프로세싱 장치.
KR1020067019440A 2004-02-24 2005-02-23 오염을 감소시키는 기판 이송 및 지지 시스템 KR101400256B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/786,876 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing
US10/786,876 2004-02-24
PCT/US2005/005672 WO2005083752A2 (en) 2004-02-24 2005-02-23 Contaminant reducing support system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127004813A Division KR20120045029A (ko) 2004-02-24 2005-02-23 다이아몬드-형 재료의 코팅을 갖는 열 교환 페데스탈

Publications (2)

Publication Number Publication Date
KR20070097296A true KR20070097296A (ko) 2007-10-04
KR101400256B1 KR101400256B1 (ko) 2014-05-27

Family

ID=34861866

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020067019440A KR101400256B1 (ko) 2004-02-24 2005-02-23 오염을 감소시키는 기판 이송 및 지지 시스템
KR1020137015494A KR101357097B1 (ko) 2004-02-24 2005-02-23 다이아몬드-형 재료의 코팅을 갖는 열 교환 페데스탈
KR1020127004813A KR20120045029A (ko) 2004-02-24 2005-02-23 다이아몬드-형 재료의 코팅을 갖는 열 교환 페데스탈

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020137015494A KR101357097B1 (ko) 2004-02-24 2005-02-23 다이아몬드-형 재료의 코팅을 갖는 열 교환 페데스탈
KR1020127004813A KR20120045029A (ko) 2004-02-24 2005-02-23 다이아몬드-형 재료의 코팅을 갖는 열 교환 페데스탈

Country Status (6)

Country Link
US (4) US7824498B2 (ko)
JP (1) JP5270095B2 (ko)
KR (3) KR101400256B1 (ko)
CN (3) CN100543959C (ko)
TW (1) TWI327744B (ko)
WO (1) WO2005083752A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464931B1 (ko) * 2006-12-14 2014-11-25 어플라이드 머티어리얼스, 인코포레이티드 2차 프로세스 단계를 사용하는 급속 전도 냉각
KR101489074B1 (ko) * 2013-07-01 2015-02-04 주식회사 야스 클러스터 장비에 적용되는 정전기부상 기판 이송 시스템
KR20180003647A (ko) * 2009-11-20 2018-01-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR20190090232A (ko) * 2018-01-24 2019-08-01 주식회사 엘지화학 배터리 셀의 전극 이송 장치
KR20200058688A (ko) * 2018-11-20 2020-05-28 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20030099294A1 (en) * 2001-11-27 2003-05-29 Limin Wang Picture level adaptive frame/field coding for digital video content
KR100699994B1 (ko) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7389645B2 (en) * 2005-11-04 2008-06-24 Applied Materials, Inc. Radiation shield for cryogenic pump for high temperature physical vapor deposition
JP2009519379A (ja) * 2005-12-13 2009-05-14 ユナイテッド テクノロジーズ コーポレイション アモルファス炭素を堆積させる方法
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
CN101467243B (zh) * 2006-06-02 2012-08-08 萨尔泽曼塔普拉斯有限公司 防止衬底支座引起的金属污染的方法
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7655933B2 (en) * 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20080156260A1 (en) * 2006-12-27 2008-07-03 Memc Electronic Materials, Inc. Wafer Support and Method of Making Wafer Support
US7741764B1 (en) * 2007-01-09 2010-06-22 Chien-Min Sung DLC emitter devices and associated methods
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7715170B2 (en) * 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes
KR100855540B1 (ko) * 2007-07-10 2008-09-01 주식회사 코미코 이온 주입 장치, 이온 주입 장치의 내부 구조물 및 상기이온 주입 장치의 코팅층 형성 방법
JP4294087B2 (ja) * 2007-08-03 2009-07-08 株式会社テオス シリコン支持装置およびこれを用いたシリコン加熱急冷装置
JP4903104B2 (ja) * 2007-09-18 2012-03-28 トーカロ株式会社 半導体加工装置用部材
JP4728306B2 (ja) * 2007-09-18 2011-07-20 トーカロ株式会社 静電チャック部材およびその製造方法
NL1034780C2 (nl) * 2007-11-30 2009-06-03 Xycarb Ceramics B V Inrichting voor het laagsgewijs laten neerslaan van verschillende materialen op een halfgeleider-substraat alsmede een hefpin voor toepassing in een dergelijke inrichting.
JP4764868B2 (ja) * 2007-12-07 2011-09-07 トーカロ株式会社 圧縮機翼及び火力発電用ガスタービン
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
NL1036735A1 (nl) * 2008-04-10 2009-10-13 Asml Holding Nv Shear-layer chuck for lithographic apparatus.
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5846917B2 (ja) * 2009-01-11 2016-01-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を移動させるシステム、装置、および方法
KR101680787B1 (ko) 2009-05-15 2016-11-29 엔테그리스, 아이엔씨. 중합체 돌기들을 가지는 정전 척
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
WO2011074756A1 (ko) * 2009-12-14 2011-06-23 엘아이지에이디피 주식회사 기판처리방법
JP5572575B2 (ja) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
KR101731136B1 (ko) 2010-05-28 2017-04-27 엔테그리스, 아이엔씨. 표면저항이 높은 정전 척
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
JP5516482B2 (ja) * 2011-04-11 2014-06-11 東京エレクトロン株式会社 基板搬送方法、基板搬送装置、及び塗布現像装置
CN102651331A (zh) * 2011-06-14 2012-08-29 京东方科技集团股份有限公司 基板托盘及柔性电子器件制造方法
TW201324617A (zh) * 2011-12-13 2013-06-16 Metal Ind Res & Dev Ct 具熱膨脹間隙監測功能的加熱裝置
KR20190132561A (ko) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
EP3683627A1 (en) 2012-02-03 2020-07-22 ASML Netherlands B.V. Substrate holder and lithographic apparatus
CN103074609A (zh) * 2012-03-16 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘及异形衬底
CN102593028A (zh) * 2012-03-19 2012-07-18 南通富士通微电子股份有限公司 双面贴装器件的基板的固定装置
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
KR101418301B1 (ko) * 2012-10-05 2014-07-10 위아코퍼레이션 주식회사 다공질 세라믹 테이블
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9583363B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial distension
US9939737B2 (en) 2013-01-22 2018-04-10 Asml Netherlands B.V. Electrostatic clamp
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US10431489B2 (en) * 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
CN104752289B (zh) * 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 传输系统及半导体加工设备
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
JP1524299S (ko) * 2014-05-15 2015-05-25
US20150333213A1 (en) * 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
DE102014109327A1 (de) * 2014-07-03 2016-01-07 Aixtron Se Beschichtetes flaches scheibenförmiges Bauteil in einem CVD-Reaktor
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9799541B1 (en) * 2014-12-18 2017-10-24 Trutag Technologies, Inc. Multiple wafer single bath etcher
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6867149B2 (ja) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 基板保持部材
JP2017168613A (ja) * 2016-03-16 2017-09-21 信越半導体株式会社 熱処理装置
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10261121B2 (en) 2016-05-26 2019-04-16 Intel Corporation Diamond-like carbon coated semiconductor equipment
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10941491B2 (en) * 2017-09-25 2021-03-09 Raytheon Technologies Corporation Continuous multiple tow coating reactor
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
KR20210013762A (ko) * 2018-06-22 2021-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 웨이퍼 프로세싱에서 웨이퍼 후면 손상을 최소화하는 방법들
US10802475B2 (en) * 2018-07-16 2020-10-13 Elite Robotics Positioner for a robotic workcell
US11114330B2 (en) * 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN113302556A (zh) * 2018-12-28 2021-08-24 Asml荷兰有限公司 用于光刻设备的衬底保持器和制造衬底保持器的方法
US11639543B2 (en) 2019-05-22 2023-05-02 Thin Film Service, Inc. Tetrahedral amorphous hydrogenated carbon and amorphous siloxane diamond-like nanocomposite
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112599463B (zh) * 2019-10-02 2024-01-19 佳能株式会社 晶片卡盘、其生产方法和曝光装置
JP2023508199A (ja) * 2019-12-26 2023-03-01 エーエスエムエル ホールディング エヌ.ブイ. ウェハクランプの硬いバールの製造および改修
US20230039670A1 (en) * 2020-01-13 2023-02-09 Lam Research Corporation Mixed metal baseplates for improved thermal expansion matching with thermal oxide spraycoat
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
EP4104208A4 (en) * 2020-02-13 2024-04-10 Jabil Inc DEVICE, SYSTEM AND METHOD FOR PROVIDING A SUBSTRATE FEED
KR102382779B1 (ko) * 2020-05-22 2022-04-06 (주)아이네쓰 박막증착장치 및 이를 이용한 dlc 박막 코팅 방법
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
KR20230006725A (ko) * 2021-07-02 2023-01-11 삼성전자주식회사 반도체 공정 시스템 및 그 제어 방법
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber
EP4379783A1 (en) * 2022-11-29 2024-06-05 ASML Netherlands B.V. Electrostatic clamp, gripper assembly including the clamp, lithographic system comprising an electrostatic clamp, and method of making an electrostatic clamp

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH558084A (de) 1971-07-20 1975-01-15 Bbc Brown Boveri & Cie Halter mit mindestens einem scheibenfoermigen halbleiterelement.
JPS63285892A (ja) 1987-05-19 1988-11-22 Mitsui Eng & Shipbuild Co Ltd 炭化珪素発熱体
US4902535A (en) 1987-12-31 1990-02-20 Air Products And Chemicals, Inc. Method for depositing hard coatings on titanium or titanium alloys
US4987004A (en) 1988-02-05 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5041201A (en) 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
GB8821944D0 (en) 1988-09-19 1988-10-19 Gillette Co Method & apparatus for forming surface of workpiece
CA2065581C (en) 1991-04-22 2002-03-12 Andal Corp. Plasma enhancement apparatus and method for physical vapor deposition
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
FR2712285B1 (fr) 1993-11-12 1995-12-22 Lorraine Carbone Traitement de surface de matériau carbone pour rendre adhérent un dépôt ultérieur de diamant et pièces revêtues de diamant obtenues.
US5495979A (en) 1994-06-01 1996-03-05 Surmet Corporation Metal-bonded, carbon fiber-reinforced composites
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
AU5346596A (en) * 1995-04-24 1996-11-18 Toyo Kohan Co. Ltd. Articles with diamond coating formed thereon by vapor-phase synthesis
TW312613B (ko) 1995-06-22 1997-08-11 Sociere Des Products Nestle Sa
JPH0945756A (ja) * 1995-07-26 1997-02-14 Hitachi Ltd 半導体製造装置および製造方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5669644A (en) 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5786086A (en) 1996-01-02 1998-07-28 Union Camp Corporation Conductive wire coating
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
DE69704638T2 (de) 1996-02-29 2001-08-30 Bridgestone Corp Verfahren zur Herstellung eines Sinterkörpers aus Siliciumcarbid
DE69710324T2 (de) 1996-04-22 2002-08-29 Bekaert Sa Nv Diamantaehnliche nanokomposit-zusammensetzungen
TW303505B (en) * 1996-05-08 1997-04-21 Applied Materials Inc Substrate support chuck having a contaminant containment layer and method of fabricating same
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
CN1111616C (zh) 1996-08-19 2003-06-18 时至准钟表股份有限公司 在导衬内周面上形成硬质碳膜的方法
CN1178257A (zh) 1996-08-19 1998-04-08 时至准钟表股份有限公司 在导向套筒内表面形成硬质碳膜的方法
JPH10107117A (ja) * 1996-09-30 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5718962A (en) * 1996-11-07 1998-02-17 Walling; James H. Decorative ornament and display box
JP4256938B2 (ja) * 1997-02-04 2009-04-22 ナムローゼ・フェンノートシャップ・ベーカート・ソシエテ・アノニム ダイヤモンド状カーボン及びダイヤモンド状ナノコンポジット組成物の層を有するコーティング物
JP3679882B2 (ja) 1997-02-07 2005-08-03 株式会社荏原製作所 研磨用クロスのドレッサー及びその製造方法
US5977519A (en) 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
EP1015177A1 (en) 1997-04-04 2000-07-05 Obsidian, Inc. Polishing media magazine for improved polishing
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
US6090733A (en) 1997-08-27 2000-07-18 Bridgestone Corporation Sintered silicon carbide and method for producing the same
JPH1167427A (ja) 1997-08-27 1999-03-09 Bridgestone Corp ヒーター部品
JP4012287B2 (ja) 1997-08-27 2007-11-21 株式会社ブリヂストン スパッタリングターゲット盤
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP4253365B2 (ja) * 1997-10-17 2009-04-08 オリンパス株式会社 ウェハ搬送装置
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US5916370A (en) 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
US6158647A (en) * 1998-09-29 2000-12-12 Micron Technology, Inc. Concave face wire bond capillary
JP2000332096A (ja) 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
US6759800B1 (en) 1999-07-29 2004-07-06 Applied Materials, Inc. Diamond supported photocathodes for electron sources
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6386963B1 (en) 1999-10-29 2002-05-14 Applied Materials, Inc. Conditioning disk for conditioning a polishing pad
US6447374B1 (en) 1999-12-17 2002-09-10 Applied Materials, Inc. Chemical mechanical planarization system
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
TW517265B (en) 2000-06-23 2003-01-11 Applied Materials Inc Apparatus for supporting a substrate and method of fabricating same
TW466667B (en) 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6595506B1 (en) * 2000-11-17 2003-07-22 Epion Corporation Apparatus and method for reduced particulate generation during workpiece handling
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
JP2002338388A (ja) * 2001-02-15 2002-11-27 Ngk Insulators Ltd ダイヤモンドコート部材
US20030047283A1 (en) 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003340667A (ja) * 2002-05-30 2003-12-02 Disco Abrasive Syst Ltd チャックテーブル
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7367872B2 (en) 2003-04-08 2008-05-06 Applied Materials, Inc. Conditioner disk for use in chemical mechanical polishing
JP4220834B2 (ja) 2003-05-19 2009-02-04 三菱電機株式会社 整流装置
KR101116510B1 (ko) * 2003-08-01 2012-02-28 에스지엘 카본 에스이 반도체 제조시 웨이퍼를 지지하는 홀더
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464931B1 (ko) * 2006-12-14 2014-11-25 어플라이드 머티어리얼스, 인코포레이티드 2차 프로세스 단계를 사용하는 급속 전도 냉각
KR20180003647A (ko) * 2009-11-20 2018-01-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US10186619B2 (en) 2009-11-20 2019-01-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR101489074B1 (ko) * 2013-07-01 2015-02-04 주식회사 야스 클러스터 장비에 적용되는 정전기부상 기판 이송 시스템
KR20190090232A (ko) * 2018-01-24 2019-08-01 주식회사 엘지화학 배터리 셀의 전극 이송 장치
KR20200058688A (ko) * 2018-11-20 2020-05-28 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법

Also Published As

Publication number Publication date
US8852348B2 (en) 2014-10-07
KR20130069888A (ko) 2013-06-26
WO2005083752A2 (en) 2005-09-09
KR20120045029A (ko) 2012-05-08
JP2007527625A (ja) 2007-09-27
CN101393883A (zh) 2009-03-25
TW200540928A (en) 2005-12-16
CN100543959C (zh) 2009-09-23
CN101383317A (zh) 2009-03-11
KR101400256B1 (ko) 2014-05-27
WO2005083752A3 (en) 2006-01-12
US20110017424A1 (en) 2011-01-27
KR101357097B1 (ko) 2014-02-03
US20140326184A1 (en) 2014-11-06
US20050252454A1 (en) 2005-11-17
CN101393883B (zh) 2011-04-20
CN1922724A (zh) 2007-02-28
TWI327744B (en) 2010-07-21
US7824498B2 (en) 2010-11-02
JP5270095B2 (ja) 2013-08-21
US20050183669A1 (en) 2005-08-25
US10053778B2 (en) 2018-08-21
CN101383317B (zh) 2010-12-15

Similar Documents

Publication Publication Date Title
KR101400256B1 (ko) 오염을 감소시키는 기판 이송 및 지지 시스템
CN1230868C (zh) 具有改善的颗粒污染性能的半导体处理设备
JP4960340B2 (ja) 低減されたポリマー堆積特性を有するプラズマ閉じ込めリング組立体
US10854498B2 (en) Wafer-supporting device and method for producing same
US5534072A (en) Integrated module multi-chamber CVD processing system and its method for processing subtrates
US7867868B2 (en) Absorber layer candidates and techniques for application
US20080023029A1 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
KR20110046579A (ko) 반도체 프로세스 챔버
JPS6296673A (ja) ウエ−ハ処理装置
TW201634727A (zh) 改善mocvd反應方法的裝置及改善方法
JP2603909B2 (ja) Cvd装置、マルチチャンバ方式cvd装置及びその基板処理方法
JP3024940B2 (ja) 基板処理方法及びcvd処理方法
US20220076988A1 (en) Back side design for flat silicon carbide susceptor
US20010052324A1 (en) Device for producing and processing semiconductor substrates
KR101224529B1 (ko) 열처리장치
JP2005259902A (ja) 基板処理装置
WO2006049125A1 (ja) 成膜装置及び成膜方法
KR101477142B1 (ko) 기판 지지대 및 이를 구비하는 기판 지지 장치.
WO2019116907A1 (ja) 成膜装置
KR20040105276A (ko) 반도체 제조장비용 핫플레이트

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190430

Year of fee payment: 6