CN101383317A - 可降低污染物的衬底传送及支撑系统 - Google Patents

可降低污染物的衬底传送及支撑系统 Download PDF

Info

Publication number
CN101383317A
CN101383317A CNA2008101269147A CN200810126914A CN101383317A CN 101383317 A CN101383317 A CN 101383317A CN A2008101269147 A CNA2008101269147 A CN A2008101269147A CN 200810126914 A CN200810126914 A CN 200810126914A CN 101383317 A CN101383317 A CN 101383317A
Authority
CN
China
Prior art keywords
substrate
chamber
strutting piece
diamond
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101269147A
Other languages
English (en)
Other versions
CN101383317B (zh
Inventor
维克·D·帕克海
马修·利奥波德
蒂莫西·罗南
托德·W·马丁
爱德华·黄
尼汀·库拉纳
徐松文
理查德·费伊
克里斯托弗·哈格蒂
迈克尔·赖斯
达里尔·安杰洛
库尔特·J·阿曼
马修·C·蔡
史蒂夫·森索尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101383317A publication Critical patent/CN101383317A/zh
Application granted granted Critical
Publication of CN101383317B publication Critical patent/CN101383317B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

本发明涉及一种衬底提升组件以及一种衬底处理设备,其中衬底提升组件用于将一衬底举离一衬底支撑件及运送该衬底,该提升组件包含:(a)一箍状物,其大小可配合于该衬底支撑件的一周缘;及(b)安装在该箍状物上的一对拱形鳍状物,每个拱形鳍状物具有一对相对的末端,其具有向内辐射状延伸的突出部,每个突出部具有一突起的突出物以升举一衬底,以使该衬底实质上仅接触到该突起的突出物,从而在使用该对鳍状物以从该衬底支撑件升举该衬底时,可以使与该突出部的接触最小。

Description

可降低污染物的衬底传送及支撑系统
本申请是在2005年2月23日提交的国际申请PCT/US2005/005672的分案申请,其国际公布号WO2005/083752进入中国国家阶段的日期为2006年08月23日,申请号为200580005773.8,其发明名称为:“可降低污染物的衬底传送及支撑系统”。
背景技术
本发明的具体实施例涉及在处理腔室中运送与支撑衬底中使用的组件。
CPU、显示器及内存的电子电路的制造是在一处理腔室中通过在一衬底上沉积或形成材料,然后选择性地蚀刻该材料来进行。该衬底包括半导体晶片及介电板。该衬底材料是通过例如化学气相沉积(CVD)、物理气相沉积(PVD)、氧化、氮化及离子植入等工艺来进行沉积或形成。然后该衬底材料即被蚀刻以限定电子电路线、引线孔及其它衬底上的特征。一个典型的处理腔室具有一包覆壁,其包覆了一衬底支撑件、气体分配器及排气口,也亦可包含一气体激发器,通过射频(RF)或微波能量向腔室内的处理气体供给能量。
运送及支撑结构的接触表面在一典型的工艺循环中的运送及支撑期间会接触到该衬底。基本上,一衬底从一装载腔室中的卡匣中的衬底堆中运送到由一机械手臂所操作的一运送叶片上的一处理腔室。所运送的衬底置于一组升举柱上,其透过在一衬底支撑件中的孔洞来降低,使该衬底的背面可靠到一衬底支撑件的接收表面上。该衬底支撑件可包括一台座、一具有一真空口来将该衬底吸往下方的真空吸盘、或一静电吸盘,该静电吸盘包含有覆盖一电极的介电质,所述电极上施加有一电压以产生静电力来夹持该衬底。在一些工艺中,该衬底初始时被运送,并安置于一排气加热器板上以将该衬底排气。该衬底亦可传送到一冷却台座来在快速热处理或其它高温工艺之后冷却该衬底。亦可使用遮板盘片以在该衬底并未夹持在该支撑件上时保护一衬底支撑件的接收表面。
直接或间接地接触该衬底的该接触表面会由于污染物微粒而污染了该衬底表面。举例而言,一衬底支撑件台座、冷却板或排气加热器的不锈钢表面会在该衬底的背部表面上留下微量的铁、铬或铜。涂覆有镍的机械手臂叶片亦会在其用来升举或运送该衬底时,由于残留的镍颗粒而污染该衬底。同样地,铝台座会在一衬底的背部表面上留下铝的微粒。虽然微粒污染物通常会沉积在该衬底的无活性的背部表面上,但它们会在后续的高温退火工艺中扩散到具活性的前方侧,而造成于该衬底上所形成的电路或显示器的短路或失效。该衬底的背部边缘由于运送组件(像是机械手臂输送叶片及提升组件)的背部边缘的磨耗而具有相当多的污染物颗粒。这些污染物亦会从衬底剥落下来,并落到其它衬底上造成污染。这些污染物最终会降低由该衬底所得到的电路或显示器的有效良品率。
因此,需要降低该衬底背面的污染物来增加衬底良品率及工艺效率。
发明内容
在一方面中,一种衬底支撑件,具有支撑结构以及在支撑结构上的具有碳氢网络的涂覆。该涂覆的接触表面的摩擦系数小于约0.3,而其硬度至少约为8Gpa。该涂覆的接触表面能够降低接触到该接触表面的衬底的腐蚀及污染。在一方面中,该衬底支撑件具有覆盖一电极的介电质及在该介电质上的多个台面。该台面具有在一钛层上的类金刚石材料的涂覆。
在另一方面中,一衬底支撑件具有一包含一陶瓷接触表面的支撑结构。该陶瓷接触表面具有小于约5×1012atoms/cm2的金属的金属浓度水平,以降低该衬底的污染。
在又另一个方面中,一衬底热交换台座具有包含一类金刚石材料的涂覆的支撑结构。该热交换台座在该支撑结构中具有一热交换器,其能够加热或冷却一衬底。
在又另一方面中,该热交换台座包含一具有硅的碳化物的涂覆的支撑结构。该涂覆具有一接触表面,其金属污染物浓度水平小于约5×1012atoms/cm2的金属。该接触表面即可降低该衬底的污染。该热交换台座还在该支撑结构中具有一热交换器,其能够加热或冷却该衬底。
在又另一方面中,该热交换台座包含一具有硅的氮化物的涂覆的支撑结构。该涂覆具有一接触表面,其金属污染物浓度水平小于约5×1012atoms/cm2的金属。该接触表面即可降低该衬底的污染。该热交换台座还在该支撑结构中具有一热交换器,该热交换器能够加热或冷却该衬底。
在另一方面中,一个遮板可抑制材料沉积在一衬底支撑件的表面上并降低摩擦污染,其具有覆盖至少该支撑件表面一部份的一金属盘片。该盘片具有一下表面,其具有一类金刚石材料的涂覆,其摩擦系数小于约0.3,而其硬度至少约为8Gpa。该涂覆在当该下表面接触该支撑件表面时,能够降低由于金属微粒造成的支撑件表面的污染。
在另一方面中,一个遮板可抑制材料沉积在一衬底支撑件的表面上并降低摩擦污染,其具有覆盖至少该支撑件表面一部份的一金属盘片。该盘片具有一下表面,其陶瓷涂覆的金属污染物浓度水平小于约5×1012atoms/cm2。该下表面在当该下表面接触该支撑件表面时,能够降低由于金属微粒造成的支撑件表面的污染。
在又另一个方面中,用于通过一支撑件升举一衬底的一升举柱组件具有多个升举柱。每个升举柱具有一可移动的加长构件,其尖端具有一接触表面以接触该衬底。该接触表面具有一类金刚石材料。
在另一方面中,用于通过一支撑件升举一衬底的一升举柱组件具有多个升举柱,其中每个升举柱具有一可移动的加长构件,其尖端具有一陶瓷接触表面以接触该衬底。该陶瓷接触表面的金属污染物浓度水平小于约5×1012atoms/cm2的金属。
在又另一方面中,能够与一衬底交换热量的一热交换台座具有一包含一上表面的金属本体。一具有一用于接触一衬底的接触表面的涂覆覆盖该金属本体的上表面。一热交换器位在该接触表面下,并能够加热或冷却该衬底。
在另一方面中,一种涂覆在一等离子区域中的支撑组件的方法包括在该等离子区域中放置具有一表面的支撑结构。溅射一金属以在该支撑结构的表面上沉积该喷溅的金属。在溅射以在该支撑结构的表面上共沉积该溅射的金属与一化学气相沉积材料时即引入一处理气体。
在又另一方面中,能够降低一衬底的微粒污染的一衬底支撑结构具有一本体及在该本体上的一类金刚石涂覆。该类金刚石涂覆具有一互连的网络,包含(i)碳及氢,及(ii)硅及氧。该类金刚石涂覆还具有一接触表面,其中(i)摩擦系数小于约0.3,(ii)硬度至少约8Gpa,及(iii)金属浓度水平小于约5×1012atoms/cm2的金属。该接触表面在直接或间接接触一衬底时可降低一衬底的污染。
在又另一方面中,一能够降低衬底的微粒污染的排气台座具有一本体,其包含一凹入的周缘突出物的盘片。在该本体上提供一类金刚石涂覆,该类金刚石涂覆的互连网络有(i)碳及氢,及(ii)硅及氧。该类金刚石涂覆具有一接触表面,其中(i)摩擦系数小于约0.3,(ii)硬度至少约8Gpa,及(iii)金属浓度水平小于约5×1012atoms/cm2的金属。该接触表面在直接或间接接触一衬底时可降低一衬底的污染。
在另一方面中,一用于通过一衬底支撑件升举一衬底并运送该衬底的衬底提升组件具有一箍状物,其大小可配合该衬底支撑件的一周缘,及安装在该箍状物上的一对拱形鳍状物。每个拱形鳍状物具有一对相对的末端,其具有向内辐射状延伸的突出部,每个突出物具有一突起的突出物以升举一衬底,所以在当该对鳍状物用于自该衬底支撑件升举时,该衬底该衬底实质上仅接触该突起的突出物,从而使与该突出部的接触最小。
在又另一方面中,一热交换支撑件具有一本体,其中包含具有一沟槽图案的衬底接收表面。一类金刚石涂覆覆盖该衬底接收表面,该类金刚石涂覆具有一碳、氢、硅及氧的网络,且在该衬底接收表面其上具有一沟槽图案。该热交换支撑件还具有一热交换器以与该主体交换热量。
在又另一方面中,一衬底运送系统将一衬底运送到一处理腔室中的衬底支撑件上。该运送系统具有一输送臂以将该衬底运送到该腔室中,一检测器,用于检测该输送臂在该腔室中的位置,并产生关于该位置的信号,并具有一提升组件用于接收来自该输送臂的衬底,并将该衬底降低到该支撑件上,及一控制器,其具有程序代码,用于控制该输送臂、检测器及提升组件以将该衬底运送到该衬底支撑件上。该程序代码具有衬底居中控制代码以控制该衬底输送臂的移动,以将该衬底实质上定位到该支撑件的中央,其通过(1)接收来自该检测器的信号,并判定在该处理腔室中衬底的位置,(2)计算一偏移距离,其包含该衬底所检测的位置与该处理腔室的中央之间的差距,及(3)产生关于该偏移距离的控制信号以控制该输送臂的移动从而将该衬底实质上定位到该支撑件的中央。
在又另一个方面中,一衬底处理设备具有一处理腔室,其中包含一气体供应器、一气体激能器、一衬底支撑件以在该腔室中支撑该衬底,该支撑件具有一本体,其包含一盘片,具有一凹入的周缘突出部、一气体排出装置、及一提升组件以将一衬底从该支撑件升举起来。该提升组件包含(1)一箍状物,其大小可配合该衬底支撑件的一周缘,及(2)一对安装在该箍状物上的拱形鳍状物,每个拱形鳍状物具有一对相对的末端,其具有向内辐射状延伸的突出部,每个突出部具有一突起的突出物以升举一衬底,所以该衬底实质上仅接触到该突起的突出物,从而在当使用该对鳍状物将该衬底升举脱离该衬底支撑时,可以使与该突出部的接触最小。该设备还具有一输送臂以将该衬底运送到该腔室中,一检测器,以检测该输送臂在该腔室中的位置,并产生关于该位置的信号,及一控制器,包含有程序代码用于控制该气体供应器、气体激能器、支撑件、衬底提升组件、输送臂、及检测器等以将该衬底运送到该处理腔室中,并到达该衬底支撑件上。该程序代码具有衬底居中控制代码以控制该衬底输送臂的移动,以将该衬底实质上定位到该支撑件的中心,其通过(1)接收来自该检测器的信号,并判定在该处理腔室中衬底的位置,(2)计算一偏移距离,其包含了该衬底所检测的位置与该处理腔室的中央之间的差,及(3)产生关于该偏移距离的控制信号以控制该输送臂的移动从而将该衬底实质上定位到该支撑件的中央。
在又另一方面中,能够输送一衬底进出一处理腔室的一衬底输送臂具有一输送叶片,且在该输送叶片上具有一类金刚石涂覆。该类金刚石涂覆具有一互连的网络,包含(i)碳及氢,及(ii)硅及氧,而该类金刚石涂覆具有一接触表面,其中(i)摩擦系数小于约0.3,(ii)硬度至少约为8Gpa,及(iii)金属浓度水平小于约5×1012atoms/cm2的金属。该接触表面在直接或间接接触一衬底时可降低一衬底的污染。
在又另一方面中,一多腔室衬底处理设备具有(i)一输送腔室,其具有一输送臂以在腔室之间输送衬底、(ii)一加热腔室,其用于加热该衬底,该加热腔室具有一加热台座以在其上支撑该衬底,(iii)一预清洗腔室,用于将该衬底暴露在一激发的气体中以清洗该衬底,该预清洗腔室具有一预清洗支撑件以在其上支撑该衬底、(iv)一沉积腔室,用于在该衬底上沉积一材料,该沉积腔室具有一沉积支撑件以在其上支撑该衬底、(v)一冷却腔室,用于冷却该衬底,该冷却腔室具有一冷却台座以在其上支撑该衬底、(vi)在该腔室中有一个或多个提升组件,用于升举或降低该衬底到至少该台座及支撑件中之一上、及(vii)一控制器,用于控制该输送臂及提升组件以将该衬底运送到每个腔室当中,并将该衬底放置到该台座及支撑件上。该输送臂、提升组件、加热台座、冷却台座、预清洗支撑件及沉积支撑件中至少之一的涂覆具有一降低污染的材料的涂覆。由该输送臂输送到每个腔室、由该提升组件提高、并在每个腔室中的台座及支撑件上所处理的衬底,其金属污染水平小于约1×1011atoms/cm2
附图说明
本发明的这些特征、领域及好处等将可通过以下的说明、附属的权利要求书、及附图更加清楚,其皆用于说明本发明的实施例。但是,可以理解,每项特征一般而言不仅用在特定附图中的内文,亦用于本发明中,并且本发明包括了这些特征的任意组合,其中:
图1所示为具有包含一污染降低涂覆的多个台面的衬底支撑件的具体实施例的截面侧视图;
图2a所示为一具有污染降低涂覆的加热台座的具体实施例的截面侧视图;
图2b所示为一具有污染降低涂覆的冷却台座的具体实施例的截面侧视图;
图3所示为一具有污染降低涂覆升举柱的升举柱组件的具体实施例的截面侧视图;
图4所示为一具有污染降低涂覆的遮板的具体实施例的截面侧视图;
图5所示为一包含由一污染降低涂覆所覆盖的基底层的一保护盖的组件的具体实施例的截面侧视图;
图6所示为一多腔室设备的具体实施例的截面顶视图;
图7a所示为一组件处理腔室的具体实施例的截面侧视图;
图7b所示为一衬底处理腔室的具体实施例的截面侧视图;
图8所示为一支撑件的具体实施例的顶视图,其中形成有一沟槽图案;
图9a所示为一具有一凹入的周缘突出部的支撑件的具体实施例的顶视图;
图9b所示为图9a中支撑件的具体实施例的截面侧视图,其中具有一衬底;
图10a所示为一具有拱形鳍状物的衬底提升组件与一具有沟槽图案的支撑件的具体实施例的侧视图;
图10b所示为图10a中衬底提升组件中的一拱形鳍状物的具体实施例的顶视图;及
图11所示为具有一检测器以侦测一衬底位置的一运送系统的具体实施例的侧视图。
具体实施方式
在衬底处理方法中,衬底104由多种支撑件组件20夹持并运送。举例而言,一衬底104在处理期间由一支撑组件20(即衬底支撑件100)在一腔室106中被夹持,且其具有一支撑结构25,亦可做为一静电吸盘102,如图1所示。该衬底104亦可由一支撑组件20所支撑,其包含有一支撑结构25,其为一热交换台座150,诸如一加热台座151或一冷却台座152,如图2a和图2b中所示,其用来加热一衬底104用于排气,或在一高温工艺之后冷却一衬底104。其它种类的支撑组件20包括适于运送该衬底的支撑结构25,例如图3中所示的升举柱160,及具有机械人叶片的机械手臂,其可用来放置及移除在支撑件100上的衬底104,以及用于在一多腔室设备101中在腔室106之间输送衬底104。另一种支撑组件20为一支撑遮板180,如图4所示,其用于当一衬底104在一腔室清洗程序期间并不存在时,用来覆盖该衬底支撑件100的一部份。可以理解,此处所述的支撑组件20的多种具体实施例可用来说明本发明,但并不是用于限制本发明的范围,本领域技术人员可清楚了解到其它形式的支撑组件也在本发明的范围内。
该衬底104的工艺良品率在实质上可由能够降低或甚至消除污染残余物的形成和/或沉积的接触表面22的支撑组件20来改善,该污染残余物由该支撑组件20的接触表面22与该衬底104之间的摩擦与腐蚀力所造成。举例而言,当该组件20由一含有金属的材料制成时,当该衬底104摩擦该支撑组件20的接触表面22时便会有金属污染颗粒沉积在该衬底104上。其可发现到当该接触表面22过度柔软、或具有一高摩擦系数而造成表面腐蚀、或具有一高含量的杂质时,摩擦残余物会有较大的颗粒尺寸或较多的数量。为了降低这种污染,该支撑组件20的接触表面22具有一表面涂覆24,其具有所要的磨损、或硬度、摩擦特性、及/或低含量的污染物。包含该涂覆24的接触表面22较佳地是在当直接或甚至间接接触该衬底104时,可以降低衬底104的污染。举例而言,使该接触表面22在该污染降低涂覆24上的一支撑遮板180可以通过降低该衬底104所放置的一支撑件表面28的污染来间接地降低该衬底104的污染。该污染降低涂覆24可覆盖一组件结构25的一表面26的至少一部份,例如图2a所示,或可甚至实质上覆盖与该衬底104接触的整个表面。该涂覆24亦足够厚而可以通过由底部的支撑结构来保护该衬底104免于污染,例如该涂覆24可包含至少约0.02微米的厚度,例如由约0.02微米到约1,000微米,甚至约为0.02微米到约20微米,诸如是由约1到约20微米,甚至约为1.5微米。还可以选择该涂覆厚度以在接触该衬底104时提供对该涂覆磨损的良好保护。
在一方面中,该污染降低涂覆包含有一种材料,其摩擦系数相当地低,而可降低在该衬底104上形成及沉积有摩擦或腐蚀造成的微粒。该低摩擦材料可以通过将该衬底104仅仅接触到一低摩擦材料,而较不会剥落或“剥离”该表面22,而沉积到该衬底104上的方式,以改善衬底处理良品率。适用于该表面22的低摩擦材料最好是其摩擦系数小于约0.3,例如由约0.05到约0.2。该摩擦系数为当将该表面22相对于另一个表面移动时,该限制性摩擦力与正向接触力之比。在比较之下,由不锈钢制成的一加热台座151的支撑件表面,在不具有前述的涂覆,其摩擦系数为至少约0.7。该污染降低涂覆进一步包含一较低的平均表面粗糙度,例如像是一平均表面粗糙度小于约0.4微米。该较低的表面粗糙度使得该涂覆的接触表面22在当该衬底被输送到该接触表面22上或离开时,较不会碰到或划破该衬底104。
该污染降低涂覆也最好具有一高硬度以提供对于该衬底104的刮伤及腐蚀较好的保护。当该衬底为一相对较硬的材料时,该接触表面22最好也是由具有一相对高硬度的材料所组成,使其较不会由于该表面22的刮伤而产生释放出的颗粒或碎片。一适当的污染降低涂覆可包含至少约8Gpa的硬度,例如由约8Gpa到约25Gpa,甚至至少为约10Gpa,例如由约18Gpa到约25Gpa。该表面22最好是包含根据所处理的衬底104来选择其硬度。举例而言,用于处理包含有一半导体晶片的一衬底104的组件的表面22可具有不同于用于处理一包含有用于显示器的介电玻璃面板的一衬底104的表面22的硬度。
该表面22的硬度可由例如一硬度负载及位移压痕测试方式来测量。用于执行该硬度测试的适当的仪器可为例如Nano Instruments公司(Oak Ridge,TN)所提供的“Nano Indenter II”。在此测试中,一压痕探针的尖端放置在该表面22上,并施加一负载到该压痕探针以将该尖端压入该表面22,并在该表面22中形成一压痕。该压痕探针的尖端可为例如尖锥形,而一适当的负载可在微克的范围。该表面22的硬度可以通过评估该压痕来决定,例如通过采取施加于该压痕探针的力除以由于该力所造成的压痕面积的比率得到,例如在Journalof Research of the National Institute of Standards and Technology期刊(Vol.108,No.4,July-August 2003)的Review of Instrumented Indentation(测量压痕的评论)中所述,其在此完整引用做为参考。该压痕的面积可由例如光学方式或由监视该压痕探针在该表面上的深度,以及使用该压痕探针的尖端的已知几何形状来计算。
其最好是该接触表面22可具有低含量的污染降低金属,其具有一高纯度,而较低的杂质浓度,特别是金属杂质,例如Fe,Cr,Ni,Co,Ti,W,Zn,Cu,Mn,Al,Na,Ca,K及B。该金属杂质会摩擦掉,并由支撑组件的表面上迁移到该衬底中而污染了该衬底。适当的污染降低涂覆的金属浓度水平在该涂覆的表面22处可小于约5×1012atoms/cm2的金属原子,或甚至小于约5×1010atoms/cm2的金属原子。该污染降低材料较佳地是还可抵抗激发的处理气体的腐蚀。当一包含有陶瓷材料的涂覆,其具有所要的低含量的金属原子时,其可应用到一金属或陶瓷支撑结构来降低其对于一衬底的污染效果,一陶瓷支撑组件的表面(例如具有一嵌入电极的陶瓷静电吸盘)亦可被处理来清洗该表面,以降低该表面的污染水平。
该污染降低涂覆24还可被调整来提供对于底部支撑结构25的良好粘结性,其通过控制例如该涂覆厚度、热膨胀系数或拉伸强度等。举例而言,包含该污染降低涂覆的涂覆24最好是其热膨胀系数可足以匹配于底部组件22的膨胀系数,以降低来自该组件22的涂覆24的破裂或碎裂。太高或太低的系数会造成由于该结构的涂覆24的破裂或剥落,并造成该涂覆以及底部结构材料在加热或冷却该组件22期间不均等的膨胀/收缩比率。该涂覆24的厚度亦会影响该涂覆24的粘结度。例如对于一含有铝的氮化物的底部结构,一包含有污染降低涂覆的适当涂覆24可具有一热膨胀系数在每摄氏度由约4ppm到约6ppm。对于一包含有像是铝或不锈钢的金属的底部结构,一污染降低涂覆的适当涂覆24可具有类似的热膨胀系数由约4ppm到约6ppm,并亦可具有降低的厚度来抑制该涂覆24的碎裂。
在一个方面中,该污染降低材料包含一类金刚石材料,例如类金刚石碳(亦称为DLC)。类金刚石材料是碳基(carbon-based)材料,并具有碳及氢原子的网络。它们基本上具有sp3杂化碳(hybridized carbon)的一显著的部份,例如至少约50%的sp3杂化碳到约98% sp3的杂化碳。因此,在该网络中的许多碳原子可以在数个方向上键结到其它碳或氢原子,类似于金刚石,其相对于实质上限制键结到在相同平面上的原子,例如石墨。但是,该键结的碳原子仅有一短范围的等级,其型式为微结晶或晶体,基本上不会形成具有一长范围等级的金刚石的完整三维结晶晶格。根据制造条件,该类金刚石材料可为非晶性、或可包含具有纳米级大小的晶体。该类金刚石材料亦可含有大量的氢,例如至少约有2atom%的氢含量,例如由约2atom%到约25atom%的氢。类金刚石碳(DLC)也可具有一高硬度及一低摩擦系数,其可降低来自具有该材料的表面22的衬底104的污染。举例而言,该类金刚石材料的硬度至少约为18Gpa,例如由约18Gpa到约25Gpa。该类金刚石碳的表面的摩擦系数最佳地也是较低,例如小于约0.3的系数,例如由0.05到约0.2。该类金刚石碳材料还可包含一低表面粗糙度,例如平均表面粗糙度小于约0.4微米,例如由约0.05到约0.4微米。该类金刚石碳亦可利用少量的金属杂质来制造,例如小于约5×1012atoms/cm2的金属杂质,甚至小于约5×1011atoms/cm2的金属原子。举例而言,该材料可包含的钛原子的浓度小于约10atom%,甚至小于约6atom%的钛。因此,类金刚石材料,例如类金刚石碳,其可提供的特性像是低摩擦系数、高硬度及高纯度,其适用于表面22上的污染降低材料。
在一个方面中,该类金刚石碳材料可形成为底部组件表面26上的涂覆24,以提供一金属污染降低组件表面。该类金刚石碳材料的涂覆24可由以下方法形成,其中包括化学气相沉积、碳离子束沉积、来自石墨的离子辅助溅射,及石墨的激光熔融。通过一化学气相沉积法沉积一类金刚石碳涂覆层的方法的示例可见于Neerinck等人的美国专利第6,228,471号中,在1998年1月23日提交的PCT,转让给N.V.BekaertS.A.,其在此完整引用做为参考。可以控制该制造工序以调整所制成的涂覆的性质。举例而言,可以控制该制造条件以调整引入到该涂覆24中的氢的量。同时,可以控制该制造条件以调整该涂覆24的电特性,例如可提供的电特性较适用于一静电吸盘102。举例而言,可以通过控制sp3到sp2杂化碳原子的比例来控制该涂覆24的电阻率。一较高比例的sp3杂化碳原子提供一较高的电阻率(resistivity),而一较高比例的sp2杂化碳原子提供了一较低的电阻率。
在另一个方面中,该污染降低涂覆可包含一类金刚石材料,其包含有一类金刚石纳米化合物,其同时具有(i)碳及氢的网络,及(ii)硅及氧的网络。该类金刚石纳米化合物系类似于类金刚石碳,其中包含一键结的碳原子的网络,其中大部份为sp3混成,但不像是纯金刚石般具有一实质上长范围等级,并可进一步包含键结的氢原子。根据制造条件,该类金刚石纳米化合物可为完全非晶性,或可包含金刚石结晶,例如为纳米级。该类金刚石纳米化合物包含硅键结的氧的网络,其会以一实质上随机的型式来渗透该碳网络,由此形成具有高的温度稳定性、高硬度及一低摩擦系数的化合物材料。可以选择在该纳米化合物中每个C,H,Si及氧原子的百分比以提供所要的组成特性。一适当的类金刚石纳米化合物可包含的组成物,例如由50atom%到约90atom%的碳,由约5atom%到约10atom%的氢,由约10atom%到约20atom%的硅,及由约5atom%到约10atom%的氧。该类金刚石纳米化合物可包含的低摩擦系数小于约0.3,例如由约0.05到约0.2,及小于约0.4微米的低平均表面粗糙度,例如由约0.05微米到约0.4微米,甚至小于约0.1微米。该类金刚石纳米化合物也可包含至少约8Gpa的微硬度,例如由约8到约18Gpa。该类金刚石纳米化合物亦可包含一高纯度,例如该类金刚石纳米化合物可包含小于约5×1012atoms/cm2,及甚至小于约5×1011atoms/cm2的金属杂质。举例而言,该材料可包含小于约10atom%的金属杂质,例如钛,甚至少于约7atom%的钛。
在一个方面中,一包含有该类金刚石碳材料的涂覆24可进一步包含一磨耗因子,其可在当用于处理衬底104时来降低该涂覆24的磨耗。该磨耗因子为当摩擦或刮过另一个表面时,一表面上所会受到的磨耗量的度量。该磨耗因子可由例如将该表面滑过一基准表面,并测量一线性区域的体积损耗相对于该滑行距离的斜率来得到,特别是当维持该正向负载及滑行速率为恒定。一包含有一类金刚石纳米化合物的涂覆24的适当磨耗因子可为例如小于约5×10-6mm3/Nm。
该类金刚石纳米化合物可由类似于类金刚石碳材料所述的方法来形成,其中包含由一化学气相沉积法来形成,并可形成为在该组件20上的一涂覆24。形成类金刚石纳米化合物涂覆的方法的示例可以参见如Dorfman等人的美国专利第5,352,493号,其于1994年10月4日提交,转让给Veniamin Dorfman,及Neerinck等人的美国专利第6,228,471号,在1998年1月23日提交的PCT,转让给N.V.Bekaert S.A.,在此完全引用上述两专利做为参考。该类金刚石纳米化合物材料也可为比利时的Bekaert Advanced Coating Technologies公司所供应的DLN或
Figure A200810126914D0016163427QIETU
包括类金刚石碳及类金刚石纳米化合物的该类金刚石材料还可通过将金属添加物引入到该等材料中来调整。可以加入该金属添加物以提供所要的特性,例如想要的该材料的电阻率或导电性。该金属添加物可分布在该类金刚石材料周围,甚至可形成一隔离的键结金属网络,其可渗透该碳及硅网络中至少之一。适当的金属添加物可包含例如B,Li,N,Si,Ge,Te,Mo,W,Ta,Nb,Pd,Ir,Pt,V,Fe,Co,Mg,Mn,Ni,Ti,Zr,Cr,Re,Hf,Cu,Ag及Au中至少之一。该类金刚石材料可包含由约0.1atom%到约10atom%的金属添加物,例如像是钛。具有该金属添加物的类金刚石材料还可以包含相当低的摩擦系数与相对较高的硬度。举例而言,包含C:H及Si:O网络的类金刚石纳米化合物,其具有金属添加物,其可包含的摩擦系数小于约0.3,例如由约0.05到约0.2。该具有金属添加物的类金刚石纳米化合物还可具有其微硬度在至少约12Gpa,例如由约12到约18Gpa。该金属添加物可通过共沉积该金属与该类金刚石材料引入到该类金刚石网络中,或通过其它适当的制造方法。金属添加物引入方法的示例可参考美国专利第5,352,493及6,228,471号,在此完整引用上述两专利做为参考。
在一种形成了包含一类金刚石材料的涂覆24的方法的方面中,一组件结构25置于一处理腔室的一等离子区域213中,其具体实施例示于图7a。该腔室106包含有包覆该等离子区域213的腔室壁218。该组件20可夹持在该腔室106中的一支撑件202上。一处理气体供应器130向腔室106中提供一沉积气体,并包含一气体源、一个或多个由该气体源到该腔室的导管、流量计、及该腔室106中一或多个气体入口。该处理气体包含至少一含碳化合物,例如含碳气体,其可在该涂覆24中形成键结的碳网络。该处理气体还可包含一含氢化合物,例如一含氢气体。举例而言,该处理气体包含一气体,其中同时含有碳及氢原子,例如甲烷、丙烷、乙炔、丁烷及乙烯中至少之一。为了形成包含硅及氧的网络的类金刚石纳米化合物,该处理气体可进一步包含一含硅化合物。举例而言,该处理气体可包含六甲基二硅醚、或聚苯基甲基硅氧烷,例如揭示于Goel等人的美国专利第5,638,251号,在1995年10月3日提交,转让给Advanced Refractory Technologies公司,其在此完整引用做为参考。该处理气体可进一步包含一附加气体,例如氩。
一气体激能器216可激发该处理气体以在该处理区域213中形成一激发的气体,其可通过等离子增强化学气相沉积在该组件表面26上沉积一类金刚石材料。举例而言,该气体激能器216可分解一处理气体,包含有含碳、氢、硅及氧的化合物,用以在该表面26上沉积含有一类金刚石纳米化合物的化学气相沉积材料。该气体激能器216可包含例如一或多个诱导器天线及电极,其能够耦合RF能量以形成该激发的气体。一排气220可用来从该腔室排出气体,并可包含引入到该废气泵的废气口,及一节流阀以控制该腔室106中的压力。一控制器294可控制该腔室106的组件以在该组件20上沉积该涂覆24。
在一个方面中,该腔室106包含一具有一金属材料的标靶214,该金属材料通过激发的气体可以从标靶214溅射出来,以在该表面26上共沉积该溅射的金属与该化学气相沉积材料,从而形成具有一金属添加物的类金刚石材料。在此方面中,该类金刚石材料在该等离子增强化学气相沉积环境中通过一结合该金属添加物的物理气相沉积的工艺与该金属添加物共沉积。该标靶214可包含一金属材料,其含有例如钛及钨中至少之一。在一方面中,该标靶214做为该气体激能器216的一部份,并可被电偏压以导致该标靶材料的溅射。包含有一磁场产生器的磁控管217也可做为该气体激能器216的一部份。施加在该磁控管217的功率可以激发及维持该气体的密度以从该标靶214溅射材料。该金属材料还可利用除喷溅之外的方法共沉积在该涂覆24中,例如像是通过一金属源的热蒸镀,或通过一金属离子束。
在一个方面中,例如在图7a所示的腔室环境中,在处理了一些衬底104之后,可以重新磨光含有该类金刚石材料的涂覆24的组件20。可以磨光该涂覆24以修复或取代该涂覆24中在衬底处理期间已经侵蚀了的部分,例如通过暴露到一激发的气体中。还可以执行一清洗步骤以移除该表面26的任何残留的涂覆。举例而言,可用溶解该涂覆的化学溶液来清洗该表面,或可以从该表面26喷砂去除该涂覆。在一清洗工艺的另一方面中,该残留涂覆可通过一反应离子蚀刻工艺移除,其中该残留涂覆暴露在一激发的蚀刻气体以将其余的涂覆24蚀刻掉。在该磨光工艺中,一含有类金刚石材料的涂覆24重新沉积到该组件20的表面26上,例如通过上述的方法,包含通过同时共沉积一化学气相沉积材料以及一溅射的金属。
在又另一个方面中,一含有C:H及Si:O网络的类金刚石纳米化合物的涂覆24可被处理来密封该涂覆24的表面22。举例而言,该涂覆24的表面22可以暴露在一含氧的蚀刻剂,例如水蒸气,其会与类金刚石材料中的碳原子反应,从而形成气体产物,例如像是CO及CO2。该气体产物留在该表面22,造成具有一较高硅含量及减少量的碳的“密集化”的类金刚石表面材料。举例而言,该涂覆24的表面22可包含至少约90atom%的Si及O。该“密集化”的表面22做为一密封剂以抵抗进一步的湿气,并提供改善的具有该涂覆24的组件的处理效能。
在另一个方面中,该污染降低涂覆包含一高纯度陶瓷,其具有可降低衬底104受到具有该高纯度材料的表面22的污染的特性。在一个方面中,含有高纯度陶瓷的污染降低材料包含了高纯度的硅的碳化物。该污染降低硅的碳化物的碳化物材料包含的纯度至少约为99%,甚至至少约为99.999%,并可包含小于约5×1012atoms/cm2到小于约5×109atoms/cm2的金属原子,例如每平方厘米小于约5×1010atoms的金属原子。该硅的碳化物的碳化物材料最好还包含一高密度,例如由约98%到约100%的理论密度的密度,例如至少约为理论密度的99%。包含有金属污染降低硅的碳化物材料的表面22还可研磨以提供其小于约0.3的低摩擦系数,例如由约0.05到约0.2,并可提供具有一低表面粗糙度的实质上平坦的表面,例如其平均表面粗糙度小于约0.2微米。
例如适当的污染降低硅的碳化物材料可由一种高纯度硅的碳化物硅的碳化物烧结方法来制造,其揭示于Takahashi等人的美国专利第6,001,756号当中,在1997年5月9日提交,转让给Bridgestone公司,其在此完整引用做为参考。举例而言,该污染降低硅的碳化物的碳化物材料可包含一具有一层高纯度烧结的硅的碳化物的涂覆24。同时,一高纯度硅的碳化物的涂覆可以沉积到一组件20的表面26上,例如可通过一种化学气相沉积法,其可使碳与含硅的前体反应,以形成一沉积的硅的碳化物涂覆。例如一涂覆24还可以通过一碳质材料(如石墨)与一含硅的反应物的热化学转换形成,例如揭示于Bou等人的美国专利第5,705,262号中,在1994年10月26日提交,转让给Le Carbone Lorraine,其在此完整引用作为参考。
在另一方面中,一污染降低材料包含一含有硅的氮化物的氮化物的高纯度陶瓷。该高纯度硅的氮化物材料可具有所想要的污染降低特性,例如小于约5×1012atoms/cm2的受污染金属,甚至小于约5×1010atoms/cm2的受污染金属。该硅的氮化物材料也可具有其密度由约98%的理论密度到约100%的理论密度,例如至少约99%的理论密度。该高纯度硅的氮化物材料的摩擦系数小于约0.3,例如由约0.05到约0.2,而硬度由约10Gpa到约18Gpa,例如至少约16Gpa。再者,该硅的氮化物表面可被研磨以提供一平均表面粗糙度小于约0.4微米。同时,一含有金属污染降低Si3N4的涂覆24可对金属表面呈现出良好的粘结性,例如不锈钢,甚至在温度至少约为550摄氏度时。该含有硅的氮化物的表面22可包含一硅的氮化物涂覆24,例如像是由一化学气相沉积工艺所形成的一涂覆24。
其它的高纯度陶瓷材料可以做为污染降低涂覆,可包含例如至少硅及硅的氧化物中的一种。该硅及硅的氧化物材料的高纯度为小于每平方厘米有约5×1012的污染物金属。最好还研磨该材料还最好以提供所需要的小于约0.3的摩擦系数,及平均表面粗糙度小于约0.4微米。
在一个方面中,一含有一污染降低涂覆的涂覆24可覆盖一基底层130,其覆盖一组件20的表面26以形成一保护盖133,例如在图5中所示。该盖133提供对底部组件结构25的保护,且提供了可降低衬底104的污染的污染降低表面22。该盖133亦可包含一共形的突出部136,其覆盖该底部结构25的周缘突出部137以保护该结构25。在一个方面中,该盖133包含一具有一高纯度硅的碳化物层的涂覆24,其形成在该石墨基底层130之上,例如通过化学气相沉积法、或是该石墨基底层130的表面的热化学转换,以提供具有污染降低材料的一涂覆表面22。在另一方面中,该盖133包含一具有一金属渗入硅的碳化物材料的基底层130,其由一高纯度硅的碳化物涂覆24所覆盖。该渗入的硅的碳化物基底层130由渗入金属到一多孔烧结的硅的碳化物材料的孔中所形成,例如硅金属。举例而言,该硅金属可被渗入来造成体积比由约20%到约80%的基底层材料。一含有硅的碳化物的涂覆24形成在含有该渗入的硅的碳化物材料的基底130上,其通过例如化学气相沉积,从而形成可以降低污染的高纯度硅的碳化物层。另外,该盖133实质上可整个由硅的碳化物所制成,例如烧结的硅的碳化物,以形成该涂覆24,或可具有由一硅的碳化物涂覆24所覆盖的一烧结硅的碳化物基底层130。
在一个方面中,该盖133包含一基底层130,其实质上整个由该涂覆24所覆盖,例如在图5中所示。在此方面中,该涂覆24可覆盖该基底层130的一上表面131、底表面134、甚至是一侧表面135。如果这种涂覆24要有效的话,是因为在该涂覆24与基底层130之间所发展的热应力可以降低。举例而言,于在对该涂覆24进行一化学气相沉积法之后所执行的冷却步骤期间,在该涂覆24与该基底层130的热膨胀系数之间的差会造成应力,使得该涂覆表面22会产生弯曲或其它变形。通过将该涂覆24施加到该基底层130的底表面134以及上表面131,在该上表面131处的应力至少部份可被补偿,而甚至消除在该上表面131及底表面134处的应力,并降低该涂覆表面22的变形。
在一个方面中,一粘结层140用于在底部的组件结构上固定含有该污染降低材料的涂覆24。举例而言,如图1、图2所示,该粘结层140可施加在该组件22的上表面26,而该涂覆24可在其上形成,并在该表面26上粘结该涂覆24。举例而言,该粘结层140可包含钛、铝、锆、及铬中至少之一。在一个方面中,该粘结层140包含有像是钛的金属,其可良好地同时键结到金属与非金属材料。该粘结层140可具有的厚度例如是约0.25到约4微米。该涂覆24与该盖133亦可机械性地固定在底部组件结构25上,例如利用连接柱。
在一个方面中,一具有污染降低材料的组件20包含有一支撑结构25,其包含具有一静电吸盘102的衬底支撑件100,及图1所示的具体实施例。该静电吸盘102包含至少部份由一介电体109所覆盖的一电极108,且甚至可实质上整个都被该介电体109所覆盖。该电极108可以通过一电源电压充电以将衬底104静电式地夹持在该吸盘102上。在一个方面中,该介电体109包含一介电材料,其具有低于约1012欧姆-厘米的相当低的电阻率,其例如至少为铝的氮化物及硼的氮化物中之一。该相当低电阻率的介电体通过允许电荷至少部份迁移通过该介电体109以夹持该衬底104,可促进一Johnson-Rahbek效应以将该衬底夹持在该吸盘102上。其它适用于该介电体的低电阻率介电材料包括例如掺杂有钛的氧化物及铬的氧化物中至少之一的铝的氧化物。
该静电吸盘102在该介电体109的上表面26上包含多个台面112,其可支撑该衬底104。该多个台面112可以成形及分散以提供一最佳的静电夹持力,并还可以在该介电体的上表面提供所想要的热传导气流分布。举例而言,该台面112可配置成在空间上隔开,而在上表面26上的同心环。还可以选择该台面112的组成以及该台面112的高度与宽度以提供所要的静电夹持力。举例而言,该台面112可包含具有一相当高电阻率的介电材料,以形成一复合的Johnson-Rahbek静电吸盘。一具有支撑台面112的复合式Johnson-Rahbek静电吸盘的示范例公开在Grimard等人的美国专利第5,903,428号,在1997年9月25日提交,其共同转让给应用材料(Applied Materials)公司,其在此完整引用做为参考。该等台面112还可包含一导电材料,例如具有低电阻率的含金属材料,例如TiAlN材料,如Tsai所提出的台湾专利第0466667号,在2000年6月29日提交,其共同转让给应用材料公司(Applied Materials),其在此完整引用做为参考。
在一个方面中,该台面112包含有一涂覆24,其至少具以一种上述的污染降低材料。举例而言,实质上整个台面112可包含由一污染降低材料所形成的该涂覆24。可实质上完全包含该污染降低材料的适当的台面112高度可由约0.25微米到约6微米。另外,该台面112可包含该污染降低材料的表面涂覆24,其覆盖了其它部份的台面112。该台面112可包含一污染降低材料,其含有至少一种类金刚石材料,例如像是类金刚石碳、类金刚石纳米化合物、及一含金属的类金刚石材料。该台面112还可包含一含有一高纯度陶瓷的污染降低材料,例如至少为上述的硅的碳化物、硅的氮化物、硅及硅的氧化物材料中之一。该台面112还可包含一粘结层140,例如包含有可改进该涂覆24的粘结性的钛。
在一个方面中,该台面112包含一类金刚石材料,例如类金刚石碳、或一类金刚石纳米化合物材料,其可调整来提供所要的电阻率,例如由约102Ohms.cm到约1010Ohms.Cm的电阻率。举例而言,该台面112可包含一类金刚石材料,其选择了sp2杂化碳原子的比例以提供该台面112的电阻率由约104Ohms.cm到约108Ohms.cm,例如由约5%到约10%的sp2杂化碳原子的百分比。在另一示例中,可以改变在该类金刚石材料中的金属添加物的浓度以提供该材料所想要的电阻率。举例而言,一适当的类金刚石材料可包含由约1到约10atom%的金属添加物,例如钛,以提供约104到约108Ohm.Cm的电阻率,例如约106Ohm.cm。
在另一方面中,该台面112包含一高纯度陶瓷,例如为硅的碳化物、硅的氮化物、硅及硅的氧化物中至少之一,且该台面112的表面可被研磨以提供一低平均表面粗糙度,以降低来自该表面对该衬底104的污染。该台面表面22的平均表面粗糙度可以相当低,因为该静电夹持力可以将该衬底104夹持在该支撑件100上。举例而言,该台面112的表面22含有该高纯度陶瓷,例如像是硅的氮化物,其可包含小于约0.4微米的平均表面粗糙度,甚至小于约0.1微米。
在另一方面中,一含有污染降低材料的组件20包含一支撑结构25,其具有一热交换台座150,例如像是一加热台座151、其具体实施例可参见图2a,或一冷却台座152,其具体实施例可参见图2b。该热交换台座可用来与该衬底104交换热量,以提供该衬底104所想要的温度。举例而言,一加热台座151可以加热一衬底104以在处理该衬底之前从该衬底104去除或排气(de-gas)污染材料。该冷却台座152可以冷却该衬底104到所要的温度,例如适合于在衬底工艺之后进行处理的温度。该热交换台座150包含一热传导台座本体154,其用于与该衬底104交换热量,以及一接收表面22来接收一衬底。该热交换台座150进一步包含一热交换器157,其至少包含一加热器155,及用于流动一热交换流体的导管158。在一个方面中,该台座本体154包含一金属材料,例如至少不锈钢、铝及钛中之一。举例而言,一适当的热交换台座151可包含一具有不锈钢的台座本体154,及一适当的冷却台座152,其可包含一具有铝的台座本体154。
一加热台座151进一步包含一加热器155,例如一电阻加热器,或用于流动一加热流体的导管(未示出)。该加热台座还可由上方的加热灯(未示出)来加热。该加热台座可以加热该衬底104到至少约200℃到至少约400℃的温度。该冷却台座152基本上可包含冷却导管158,其中可流动一冷却的流体来冷却该衬底104。该冷却台座能够冷却该衬底104到低于约摄氏80℃的温度。在一整合的真空多腔室系统中一独立的腔室中可配置一或多个加热及冷却台座151,152,其实施例可参见图6,由此在该衬底104于一处理腔室106中处理之前或之后提供该衬底所需要的热处理或冷却。
在一个方面中,该热交换台座150包含了含有至少一种污染降低涂覆的涂覆24。举例而言,该热交换台座150可包含了含有至少一类金刚石材料及一高纯度陶瓷材料中之一的涂覆24。该涂覆24可形成在该台座本体154的上表面26之上,以保护该衬底104,并可甚至实质上覆盖了该台座本体154的整个上表面26。同时,该涂覆24可以提供做为覆盖该表面26的一保护盖133的一部份,如图5所示。可以选择该涂覆24的厚度以抑制该加热本体材料迁移到该衬底104,并还可提供该衬底104的良好加热。举例而言,该涂覆24的适当的厚度可由约0.25微米到约6微米。该粘结层140可以提供在该热交换台座150的表面26上,以固定该涂覆24到该台座150。该粘结层140的适当的厚度(例如含钛的层)可由约0.25微米到约1微米。在一个方面中,该热交换台座150包含一类金刚石材料的涂覆24。在另一方面中,该热交换台座包含一高纯度硅的碳化物的涂覆24。在另一方面中,该热交换台座包含一高纯度硅的氮化物的涂覆24。在又另一方面中,该热交换台座150包含一盖133,其含有石墨或硅渗入硅的碳化物的基底层130,及实质上整个覆盖了该基底层130的硅的碳化物的涂覆24。
再者,因为该热交换台座150通常与该衬底104交换热量,其实质上不会静电式地夹持该衬底104,可以调整该支撑表面22以改进在该表面22上的衬底104的保持力。举例而言,在该热交换台座150上的涂覆24的表面22可包含比在一静电吸盘上的台面112的表面要略高的平均表面粗糙度。但是,该表面粗糙度最好是维持在足够低以抑制该衬底104的污染。一适当的平均表面粗糙度可小于约0.4微米,例如由约0.1微米到约0.4微米。
在一个方面中,该衬底104的保持力可由在该表面22中形成沟槽159来改善。该沟槽159可包含例如辐射状间隔开的圆形的沟槽。在一个方面中,该表面22包含四个沟槽,其彼此间隔约为至少1厘米,且其深度由约50微米到约500微米,而宽度由约1毫米到约3毫米。在一个方面中,该沟槽159可由机械加工形成,或另在该台座本体154的表面26中形成沟槽。该污染降低涂覆的共形涂覆24可施加于该台座本体154的表面26上,造成一具有沟槽的上表面的一涂覆24。一粘结层140还可在形成该共形涂覆24之前施加。如果沟槽159可对于像是类金刚石材料特别有用,其基本上非常平滑,且在某些状况下可以不提供在该台座150上的衬底104的适当的保持力。在一个方面中,该沟槽159甚至可用来流动通过一热交换流体,来与在该台座150上的衬底104交换热量。
在一个方面中,该台座本体154的表面22包含有一沟槽159的图案,其能够使设置在该表面22上的一衬底104的前侧与背侧上的压力均等。举例而言,该热交换台座150可包含一排气台座,其用于在工艺之前或之后来排气该衬底104。该沟槽图案159可抑制该衬底前侧与背侧之间的压力差的建立,由此可降低该衬底被粘结到该表面22上。适合于均等化该压力的一沟槽159图案的实施例示于图8。在此方面中,该沟槽159图案包含多个不同半径的圆形沟槽173,且其最好是同圆心。该圆形沟槽173可用来均匀地在该表面22的中心174周围分布气体压力。例如该圆形沟槽173可包含一具有第一半径的第一圆形沟槽17a,及一具有第二半径的第二圆形沟槽173b,该第二半径大于该第一半径。该沟槽159的图案进一步包含延伸跨过该衬底接收表面22的多个辐射状沟槽175,并实质上仅位在该圆形沟槽173之间。该辐射状沟槽用于将该气体压力分布在横跨该表面22的直径上。在一个方面中,该辐射状沟槽实质上仅由该第一圆形沟槽173a延伸到该第二圆形沟槽173b。该表面可进一步包含位在该第一圆形沟槽173a内的一凹入的中央区域176。该中央区域176可防止该表面22接触到该衬底104,以抑制衬底104的粘结,诸如轻微弄弯衬底104至表面22的中心。
在一示例性方面中,该沟槽159的图案包含由约3到8个圆形沟槽173,例如四个圆形沟槽173,并包含由2到24个辐射状沟槽175,例如12个辐射状沟槽175。该沟槽159可具有深度由约0.5mm(0.02英寸)到约1mm(0.04英寸),例如约0.8mm(0.03英寸)。该沟槽亦可包含一圆形的横截面轮廓,例如一半圆形横截面轮廓,例如图2a中所示。该沟槽159的图案进一步可做为在放置该衬底104在该台座150上的期间降低该衬底104在该表面22上的滑动。
在又另一个方面中,一含有污染降低材料的组件20包含一支撑结构25,其具有一含一盘片177的本体154,其具有一凹入的周缘突出部178,例如在图8,9a,9b中所示。举例而言,该组件20可包含一热交换台座150,例如一具有一类金刚石涂覆24与凹入的周缘突出部178的排气台座。该凹入的周缘突出部178包含一辐射状宽度,其大小可足够于使得该衬底104的周缘突出部179可突出于该周缘突出部178的至少一部份,且可实质上避免该突出部178与衬底104之间的接触,例如在图9b中所示。该凹入的周缘突出部178可在该盘片177的周围形成一连续环,如图9a所示。该凹入的周缘突出部178可以降低衬底104的污染,因为其可以降低该台座105的表面22与该衬底104的周缘179之间的接触,其可包含在一些衬底104中的受污染区域。在一受污染的衬底周缘179与该台座150的表面22之间的接触会造成污染微粒转移到该台座150,及后续放置在该台座150上的衬底103的污染。但是,通过提供一凹入的周缘突出部178。在这些受污染区域与该支撑表面22之间的接触可以降低,且亦可降低放置在该表面22上的后续衬底104的污染,该凹入的周缘突出部178最好是包含该整个盘片177的直径的至少约1/150的径向宽度。举例而言,该凹入的周缘突出部178对于一直径为300mm的盘片177可具有一径向宽度至少约为2mm。该周缘突出部178可由该盘片177的上表面182凹下的适当深度为至少约2mm的深度。该凹下的周缘突出部178可与在该表面22上的一沟槽159的图案结合,如图8所示,以提供在处理该衬底104时的降低的污染与压力均等化。
在又另一方面中,一含有污染降低材料的组件20包含有一具有一升举柱160的支撑结构25,即图3所示的具体实施例。该升举柱160包含一可移动的加长构件161,其具有一尖端162用于从一支撑件100的一表面升举或降低一衬底。该升举柱160可为一升举柱组件163的一部份,其包括有夹持一个或多个升举柱160的升举柱支撑件164,并可附着到一风箱(未示出)来提高或降低该升举柱160。该升举柱160可包含至少上述的污染降低材料中之一,例如至少是该类金刚石材料及该高纯度陶瓷中之一。举例而言,该升举柱160可包含该污染降低材料的涂覆24,其覆盖了该升举柱160的尖端162的至少一部份,以提供可以降低该衬底104的污染的一接触表面22。在一个方面中,该升举柱160的较佳的污染降低涂覆包含有一具有一类金刚石材料的涂覆24,该涂覆24在该升举柱160的尖端162上的厚度为由约1微米到约4微米。在另一个方面中,该升举柱160的较佳的污染降低涂覆包含有一具有一含有硅的氮化物的高纯度陶瓷的一涂覆24。在又另一个方面中,该较佳的污染降低涂覆包含硅的碳化物。
在又另一个方面中,能够降低该衬底104的污染的组件20包含一衬底提升组件185,其可用来由一衬底支撑件100升举一衬底104,并运送该衬底104,例如在图10a中所示。举例而言,该衬底提升组件185可用于对于一支撑件100来举离或降低一衬底104,例如一热交换台座150。该提升组件185包含一箍状物186,其大小可配合在该支撑件100的周缘187。一对拱形鳍状物188安装在该箍状物186上,例如在图10a所示的相对配置中。每个拱形鳍状物188包含一对相对的末端189,其向内朝向该支撑件100成一角度。每个相对末端189包含一突出部190,其亦向内朝向该支撑件100延伸。
在该拱形鳍状物188的每个相对末端189上的突出部190可共同形成一升举结构,通过将衬底104设置在突出部190上,能够将一衬底104举离或降低到该支撑件100上。该突出部190可以通过斜面连接区域191连接到该相对末端189,该斜面连接区域191从每个末端189向下斜向到该突出部。该突出部190最好其大小可适当地支撑该衬底104,且亦可向内延伸一足够的距离来支撑该衬底104,而在该斜面连接区域191与该衬底104之间不会有过度的接触或摩擦,从而可降低该衬底104的污染。该突出部190甚至可以足够大到使得该衬底104实质上不会接触到位于该相对末端处的斜面连接区域191。举例而言,为了升举及运送直径为300mm的衬底104,该突出部190可由该相对末端189向内延伸至少约7mm。
该衬底提升组件185可通过在每个突出部190的上表面193上的至少一个突出的突出物192来改善,其大小及形状可以在升举或降低该衬底104期间最小化该衬底104与该突出部190之间的接触,例如在图10b中所示。该衬底104与突出部表面193之间接触的最小化可进一步降低该突出部190对该衬底104的污染,允许对于该衬底104的工艺提供改善的结果。同时,已经受到污染的衬底104可以由该具有该突出的突出物192的衬底提升组件185来安全地处理,而实质上不会输送过量的污染到该突出部190或给后续由该突出部190升举的衬底。该突出物192亦可放置为朝向并甚至位于该突出部190的内侧末端195,使得该突出的突出物193会接触到远离该衬底104的周缘179的区域处的衬底104,且其基本上比该衬底104的周缘179的污染要少。举例而言,该突出的突出物193可置于远离该相对末端189,使得它们可接触到该衬底的直径处约在至少该衬底104的周缘179内侧至少4mm,甚至到该周缘179内侧至少约7mm。因此,该突出物193可距离该相对末端189至少约4mm且甚至到约7mm。为了降低该衬底104与该突出部190的接触的突出的突出物193的适当高度约为至少1mm,例如由约1mm到约2mm,甚至至少约为1.5mm。
在一示例性方面中,该衬底提升组件185包含在该拱形鳍状物188的每个突出部190上的一单一突出的突出物193,产生了4个突出物193,其上可以放置要升举及输送的一衬底104。每个突出物193由该拱形鳍状物188的相对末端189向内设置,使得该突出物193接触到该衬底104的区域在约该衬底104的周缘179向内约7.5mm。该等突出物的高度在该突出部190的表面193上约1.6mm(1/16英寸)。在一个方面中,该拱形鳍状物188包含一金属材料,例如至少为不锈钢及铝中之一。该拱形鳍状物188还可包含一污染降低材料,例如一类金刚石材料的涂覆24,像是类金刚石纳米化合物,以进一步降低该衬底104的污染。举例而言,该等突出物193可包含一污染降低材料,例如一类金刚石纳米化合物。一污染降低陶瓷,例如像是高纯度矾土及石英中至少之一,或其它非金属材料亦可提供来形成该突出物193。如图10所示,一第二对的拱形鳍状物188还可安装在第一对拱形鳍状物之上或之下以允许同时运送超过一个衬底104。
在另一个方面中,该衬底提升组件可为一衬底运送系统198的一部份,其进一步包含一衬底输送臂103,其能够输送一衬底到该对拱形鳍状物188,例如在图7b中所示。该衬底输送臂103可为一输送腔室机器人119的一部份,其能够传递衬底到一多腔室设备中不同的腔室,例如在图6中所示。该衬底运送系统可进一步包含一控制器194,其具有程序代码以控制该衬底输送臂103及提升组件185来降低由该臂103所运送的衬底104的污染。在一个方面中,该控制器194包含衬底中央控制程序代码以传送控制信号到该输送臂103,以移动该输送臂103使得该衬底104实质上可对正于该腔室的中心轴197,并位于该支撑件100的中央上方。通过准确地定位该衬底104以实质上对准该腔室106的中心轴,在该拱形鳍状物188上的衬底104的准确定位可以更为迅速地完成,请当放置在该拱形鳍状物188上时,实质上不会使衬底104有过度的滑动,而该滑动会另外损伤及污染该衬底104。该控制器194可进一步包含程序代码以提高该箍状物186从而朝向衬底输送臂103举起拱形鳍状物188,以协同运行箍状物186和输送臂103以在输送臂103和拱形鳍状物188之间传输该衬底。然后可以通过控制器194降低该箍状物186,以将该衬底104设置在该支撑件100上进行处理。
在一个方面中,该衬底运送系统198包含一检测器199,其能够检测一个或多个衬底104及输送臂103的位置,并产生关于所检测到的位置的信号,其可用于将该衬底104适当地定位在该腔室106中。在一个方面中,该检测器199包含一对光传感器200a,b,其配置在一狭长阀201的相对末端203a,b上,其中包含一开口,使得该衬底104与输送臂103可穿过而进入该腔室106,例如在图11中所示。该光传感器200a,b能够决定要通过输送臂103传输通过狭长阀210的该衬底104在当其传送通过该狭长阀210时是否基本上位于中央,或决定该衬底与输送臂是否不位于中央,且已经朝向该狭长阀的两个末端203a,b之一偏离。在一个方面中,该光传感器200a,b能够检测到达每个传感器的光强度,且由每个传感器200a,b所检测到的光强度可以进行比较以决定该衬底104与输送臂103的相对位置。举例而言,被隔离进入每个光线传感器200a,b的光量可代表衬底104与输送臂103相对于该传感器200的位置。由该光传感器200a,b相对于所检测到的光所产生的信号,从而可由该控制器194计算该衬底104的位置,其当被输送到该腔室106中时,并可产生控制信号以控制该输送臂103与衬底104在该腔室106中的位置。其它用于检测该衬底位置的方式亦可用来附加或取代该光传感器200a,b,且该等光传感器200a,b还可包含在该狭长阀201周围的不同配置。
在一个方面中,该控制器194作为该运送系统198的一部份,通过使用由该检测器199所产生的信号来计算该衬底104检测到的位置与对准于该腔室中心轴197的腔室106的中心之间的差的一偏移距离。然后该控制器104即可产生相对于该偏移距离的控制信号来控制该输送臂103的移动,以将该衬底104基本上定位在该支撑件100的中心上方,并沿着该腔室106的中心轴197,从而降低由于该衬底104偏离中心地递送到该提升组件185时所造成的衬底104磨蚀。举例而言,该控制器194可以向输送臂103提供控制指令以向左或向右移动,例如朝向该狭长阀201的一个或另一个末端203a,b,以将该衬底104置于在平行于该腔室的中心轴197的平面中央。该控制器194亦可包含程序代码以产生控制指令来移动该输送臂103与衬底104朝向进入到该腔室一段距离,该距离足以对准该衬底的中心与该腔室106的中心轴197,并将该衬底104基本上定位在该支撑件100的中心。因此,该运送系统198可用来输送该衬底进到该处理腔室中,并对准该衬底104在该腔室中,使其可以降低由于衬底的误对准与磨蚀所造成的污染。
为了由该腔室106移除该衬底104,该控制器194可包含程序代码以操作该输送臂103及衬底提升组件185,其透过倒转上述的输送步骤。举例而言,该控制器194可包含程序代码以操作该箍状物186来提高该衬底104离开该支撑件100,并置于该拱形鳍状物188上,并在该腔室106中沿着该中心轴197升举该衬底104。该输送臂103可以操作来放置并移动到该腔室106的中心轴197,并配合该提升组件185的操作来通过该拱形鳍状物188将该衬底104输送到该输送臂。该控制器194亦可使用来自该检测器199的信号以对准该输送臂103在该处理腔室106中,以接收来自该提升组件185的衬底104,其实质上不会损伤及污染该衬底104。该控制器194即可指示该输送臂103从该腔室106移除该衬底104,例如可在该腔室106中提供一新的衬底104。由此,该输送臂103及控制器194可通过提供该衬底104在该腔室中所想要的对准来降低所处理的衬底的污染水平,使得在该衬底104与腔室组件之间不会发生过度的磨蚀及损伤,例如该提升组件185与支撑件100。
在一个方面中,能够输送该衬底104进入及离开该处理腔室106的输送臂103,例如来自一真空或排气腔室,其本身可包含一接触表面22,其在输送工序期间接触到该衬底104,且其包含有能够降低该衬底104的污染的污染降低材料。举例而言,该输送臂103可包含一输送叶片205,其具有一污染降低材料的涂覆24并在其上具有该接触表面22,例如在图11中所示。例如该污染降低材料可为一类金刚石材料,例如一类金刚石纳米化合物。在另一示例中,该输送臂103可通过使在该衬底输送进入及移出该处理腔室106期间该衬底104的接触最小,而降低该衬底104的污染。举例而言,该输送臂103可包含一个或多个突出的突出物206,其可提高该衬底104,并使该衬底104与其余的输送叶片205的接触最小,例如突出的突出物的高度至少约为1.6mm。在一个方面中,该突出物206甚至可配置在该输送叶片205的接触表面22上,使得它们实质上不会接触到该衬底104背侧的周缘179,从而降低该输送臂103与基本上包含一相当大量污染物的衬底104的一个区域之间的接触。举例而言,该突出的突出物可以配置成使它们接触到该衬底104的背侧,其直径处为该衬底104的周缘179内侧至少约4mm。因此,可以调整该输送臂103以在输送该衬底进入及离开一处理腔室106期间降低该衬底104的污染。
在另一方面中,一含有污染降低材料的组件20包含一支撑遮板180,其具体实施例可参见图4。该支撑遮板180用于当该衬底104不位于该支撑件100上时来保护一衬底支撑件100的一表面28,例如在一腔室清洗工序期间。该遮板180抑制材料沉积到该表面28上,例如材料可以在清洗该标靶与腔室期间从一溅射标靶上敲下释出。该遮板180基本上包含一结构25,其含有一盘片181,其大小及形状可覆盖该支撑件100的表面28中至少一部份,并甚至可实质上整个覆盖该支撑件100的一暴露表面28。该表面28例如可包含该台面112的上表面22(未示出),且亦可包含一实质上平面的支撑表面28的上面(未示出)。一机械手臂(未示出)可以将该遮板盘片181旋转到该支撑件的表面28上来覆盖该表面28,并将该遮板盘片181旋转离该支撑件表面28以处理在该支撑件100上的一衬底104。
为了降低该支撑件表面28的污染,以及该衬底104,该遮板盘片181最好是包含上述污染降低材料中至少之一,例如像是该类金刚石材料及高纯度陶瓷材料中至少之一。在一个方面中,该遮板盘片181包含一底表面183,其含有具有该污染降低材料的一涂覆24。该涂覆24提供了一下表面184,其可降低该衬底与支撑件来自金属微粒的污染,该金属微粒是由该支撑件100的表面28与该表面184的接触所造成。该遮板盘片181还可机械地附着于污染降低涂覆的一涂覆层24,例如通过一连接柱。在另一个方面中,该盘片181包含一具有金属污染降低材料的上表面189,例如该涂覆24(未示出),且该盘片181亦可包含一涂覆24,其实质上覆盖了整个盘片。该遮板盘片181可包含一污染降低材料,其中包含有例如高纯度硅的碳化物、硅的氮化物、硅及硅的氧化物中至少之一。在一较佳的方面中,该遮板盘片181的下表面184包含了含有一高纯度硅的氮化物材料的污染降低涂覆24。
上述的含有污染降低材料的其它组件20可包括机器人输送臂的叶片、在一衬底支撑件上的环、及在用于处理的衬底104的支撑及输送中涉及的其它组件。
具有该污染降低涂覆的组件20可为一具有多个处理腔室106a-d的一多腔室设备102的一部份。适用于处理衬底10的一种设备102的具体实施例包含一个或多个处理腔室106a-d,如图6所示。该腔室106a-d安装在一台面上,例如应用材料(Applied Materials)公司(美国加州Santa Clara)所制造的Endura2台面,其提供电气、配管及其它支持功能。该台面109基本上支撑一负载锁113以接收要进行处理的衬底104的一匣体115,以及包含一机器人119的一衬底输送腔室117来由该匣体115输送衬底到不同的腔室106a-d进行处理,并在处理之后传回它们。不同的腔室106a-d例如可包括一清洗腔室、一蚀刻腔室、一用于在衬底上沉积材料的沉积腔室,以及选择性的一热处理腔室、及其它处理腔室。举例而言,在一个方面中,腔室106a-d之一包含一热处理腔室,其中含有一加热台座151以在处理之前加热该衬底104以排气该衬底104。在排气该衬底104之后,该衬底104可由机器人119输送到一腔室106以蚀刻该衬底104上的材料。该衬底104亦可由该机器人119输送到一含有一沉积腔室的处理腔室,例如以在由一静电吸盘所夹持的一衬底104上沉积阻挡层。在处理之后,该衬底104可由该机器人119输送到一冷却腔室,其中该衬底可放置在一冷却台座152上以冷却该衬底104。该腔室106a-d可互连来在该设备102中形成一连续真空环境,其中该工艺可以不被中断地进行,从而降低衬底104的污染,否则在不同工艺阶段的独立腔室之间输送晶片时会发生污染。在该设备102中的组件,例如接触或支撑该衬底104的组件,亦最好是包含污染降低材料以降低该衬底104的污染。
在一个方面中,该设备102包含一输送腔117,其中包括一具有该输送臂103的机器人119;一具有一加热台座151的排气或加热腔室106a;一预清洗腔室106b,用于在将该衬底104暴露到一激发的预清洗气体的沉积工序之前来清洗一衬底104,该预清洗腔室包含一衬底支撑件100;一沉积腔室106c,例如一物理气相沉积或化学气相沉积腔室,用于在该衬底104上沉积一材料,该沉积腔室106c具有一衬底支撑件100;及一冷却腔室106d,用于在工序之后冷却该衬底104,该冷却腔室包含一冷却台座152。一个或多个腔室106a-d可进一步包含具有拱形鳍状物188的衬底提升组件185以将该衬底104举离该台座151、152及支撑件100或将该衬底104降低到该台座151,152及支撑件100上。该多腔室设备102的组件,包括该输送臂103、提升组件185、支撑件100及台座151,152等,最好是包含污染降低材料和/或污染降低结构,使得在每个腔室之间循环传送的衬底的污染水平对于铁要小于约5×1010atoms/cm3,而对于所有其它金属离子要小于约1×1011atoms/cm3
可包含具有污染降低材料的组件20的一处理腔室106的具体实施例示于图7b中。该腔室106包含一包覆壁118,其可包含一天顶、侧壁、及一底部墙以包覆一处理区域113。在操作上,处理气体可经由一气体供应器130引入到该腔室106,该气体供应器包括一处理气体源、及一气体分配器。该气体分配器可包含一个或多个导管,其具有一个或多个气流阀,及一个或多个环绕该衬底104的一周缘的一个或多个气体出口,其可被夹持在具有一衬底接收表面180的衬底支撑件100上的该处理区域111。另外,该气体分配器可包含一淋浴头气体分配器(未示出)。使用过的处理气体及工艺副产品即由该腔室106经由一排气口120排出,其中可包括接收来自该处理区域113之使用过处理气体的一排气导管,一节流阀以控制在该腔室106中处理气体的压力,及一个或多个排气泵。
该处理气体可由一气体激能器116所激发来处理该衬底104,其中向在该腔室106的工艺区域113中的处理气体耦合供给能量。在一个方面中,该气体激能器116包含工艺电极,其可由一电源来供电,以激发该处理气体。该工艺电极可包括位在一腔室壁中(例如该腔室106的侧壁或天顶上),其可电容式地耦合到另一个电极,例如在该衬底104下的支撑件100中的一电极108。另外或额外地,该气体激能器116可包含有一天线,其包括一个或多个电感器线圈,其为关于该腔室的中心成圆形对称。在又另一个方面中,该气体激能器116可包含一微波源及波导管,用于在该腔室106上游的远程区域中由微波能量来激化该处理气体。在一用于在一衬底104上沉积材料的物理气相沉积腔室106中,该腔室进一步包含一标靶114,其面对由该激发的气体所溅射的衬底104,以在该衬底104上沉积来自该标靶114的材料。
为了处理一衬底104,该处理腔室106被抽真空,并维持在一预定的次大气压力。然后该衬底104即由一衬底支撑件提供在该支撑件100上,例如像是一机器人臂103及一升举柱160。该衬底104可以由施加一电压到在该支撑件100中的电极108以夹持在该支撑件100上,例如通过过一电极电源172。该气体供应器130提供一处理气体到该腔室106,而该气体激能器116耦合RF或微波能量到该处理气体来激发该气体以处理该衬底104。在该腔室工序期间产生的流出物由该排气120由该腔室106排除。
该腔室106及多腔室设备101可由一控制器194所控制,其中包含具有指令集的程序代码以操作每个腔室106a-d的组件来处理在该腔室106中的衬底104,例如在图7b中所示。举例而言,该控制器194可包含一衬底定位指令集以操作一个或多个衬底支撑件100及机器人臂119及升举柱160以定位在该腔室106中的衬底104;一气流控制指令集来操作该气体供应器130及流动控制阀来设置气体流入该腔室106;一气压控制指令集用于操作该排气120及节流阀来维持该腔室106中的压力;一气体激能器控制指令集用于操作该气体激能器116来设置一气体激能功率水平;一温度控制指令集用于控制该腔室106中的温度;及一工艺监控指令集用于监视该腔室106中的工艺。
本发明的具体实施例提供了衬底处理的实质好处,特别是在降低由于例如铁的金属离子的衬底104的污染。如果该污染降低材料,以及污染降低组件(例如输送叶片),其可降低污染水平,对于铁而言可到小于5×1010atoms/cm3的等级,而对于所有其它离子则可到1×1011atoms/cm3的等级,其通过实质上排除该衬底104接触到金属组件或具有一金属表面的组件。
虽然已经示出并说明了本发明的示例性具体实施例,但是本领域技术人员可以想到利用本发明的其它具体实施例,这些实施例都落入本发明的范围内。举例而言,该支撑件100、热交换台座150、升举柱160或其它组件20可包含除了那些特别说明之外的其它形状及结构。同时,该污染降低材料可通过除了那些特别说明之外的方法所制造,并可包含在该组件20上的不同结构。更进一步,关于示例性具体实施例的相关或位置术语可以互换。因此,所附的申请专利范围并不限于在此处所述以说明本发明的对优选方面、材料或空间配置的描述。

Claims (11)

1、一种衬底提升组件,用于将一衬底举离一衬底支撑件及运送该衬底,该提升组件包含:
(a)一箍状物,其大小可配合于该衬底支撑件的一周缘;及
(b)安装在该箍状物上的一对拱形鳍状物,每个拱形鳍状物具有一对相对的末端,其具有向内辐射状延伸的突出部,每个突出部具有一突起的突出物以升举一衬底,以使该衬底实质上仅接触到该突起的突出物,从而在使用该对鳍状物以从该衬底支撑件升举该衬底时,可以使与该突出部的接触最小。
2、根据权利要求1所述的衬底提升组件,其中该支撑件突出部从该相对的末端向内延伸至少4mm。
3、根据权利要求1所述的衬底提升组件,其中该突起的突出物从该相对的末端向内间隔有至少4mm。
4、根据权利要求1所述的衬底提升组件,其中该突起的突出物包含一高度为高于该支撑件突出部的表面至少1mm。
5、根据权利要求1所述的衬底提升组件,进一步包含安装在该第一对拱形末端下的一第二对拱形末端。
6、根据权利要求1所述的衬底提升组件,其中该对拱形鳍状物包含有不锈钢及铝中至少之一。
7、根据权利要求1所述的衬底提升组件,其中该对拱形鳍状物包含有铝及石英中至少之一。
8、根据权利要求1所述的衬底提升组件,进一步包含一能够输送一衬底到该对拱形鳍状物的衬底输送臂,并包含一控制器,其具有程序代码而能够(i)计算一偏移距离,其中包含该衬底的检测到的位置与该处理腔室的中心之间的差,(ii)产生一关于该偏移距离的控制信号来控制该输送臂的移动来实质上定位该衬底在该支撑件的中心上,(iii)产生一控制信号以升起该箍状物来将该拱形鳍状物升举朝向在该腔室中的该衬底输送臂,及(iv)在该衬底输送臂与该拱形鳍状物之间输送该衬底。
9、一种衬底处理设备,其包含:
(a)一处理腔室,其包括:
(i)一气体供应器;
(ii)一气体激能器;
(iii)一衬底支撑件,用于在该腔室中支撑该衬底,该支撑件包含一本体,其具有一凹入的周缘突出部之盘片;
(iv)一衬底提升组件,用于将一衬底举离该支撑件,该衬底提升组件包括(1)一箍状物,其大小可配合该衬底支撑件的一周缘,及(2)一对安装在该箍状物上的拱形鳍状物,每个拱形鳍状物包含一对具有向内辐射状延伸的突出部的相对末端,每个突出部具有一突起的突出物以升举一衬底,以使该衬底实质上仅接触该突起的突出物,从而在使用该对鳍状物以将该衬底升举脱离该衬底支撑件时使与该突出部的接触最小;及
(v)一气体排出;
(b)一输送臂,用于该衬底运送到该腔室中;
(c)一检测器,用于检测该输送臂在该腔室中的位置,并产生关于该位置的一信号;
(d)一控制器,其含有程序代码以控制该气体供应器、气体激能器、支撑件、提升组件、输送臂及检测器,用于将该衬底运送进入该处理腔室,并到达该衬底支撑件上,其中该程序代码包含衬底居中控制代码,用于控制该衬底输送臂的移动以将该衬底实质上定位在该支撑件中央的上方,其通过(1)接收来自该检测器的信号,并决定该衬底在该处理腔室中的位置,(2)计算一偏离距离,其中包含该衬底的检测位置与该处理腔室的中央之间的差,及(3)产生一关于该偏离距离的控制信号,以控制该输送臂的移动,并将该衬底实质上定位在该支撑件中央的上方。
10、根据权利要求9所述的设备,其中该支撑件包含位于在该本体上的一类金刚石涂覆,该类金刚石涂覆包含有下述互连的网络,(i)碳及氢,及(ii)硅及氧,且该类金刚石涂覆具有一接触表面,其包含:
(i)小于0.3的摩擦系数;
(ii)至少8Gpa的硬度;及
(iii)小于5×1012atoms/cm2的金属的一金属浓度水平,
通过该接触表面在当直接或间接接触一衬底时可以降低一衬底的污染。
11、根据权利要求9所述的设备,其中该支撑件包含一本体,其具有包含一沟槽图案的衬底接收表面,该沟槽图案包含多个具有不同半径的圆形沟槽,及多个辐射沟槽,其辐射状地延伸跨过该接收表面,且实质上仅位于该圆形沟槽之间。
CN2008101269147A 2004-02-24 2005-02-23 衬底提升组件及包含该衬底提升组件的衬底处理设备 Active CN101383317B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/786,876 2004-02-24
US10/786,876 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800057738A Division CN100543959C (zh) 2004-02-24 2005-02-23 可降低污染物的衬底传送及支撑系统

Publications (2)

Publication Number Publication Date
CN101383317A true CN101383317A (zh) 2009-03-11
CN101383317B CN101383317B (zh) 2010-12-15

Family

ID=34861866

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2008101269147A Active CN101383317B (zh) 2004-02-24 2005-02-23 衬底提升组件及包含该衬底提升组件的衬底处理设备
CNB2005800057738A Active CN100543959C (zh) 2004-02-24 2005-02-23 可降低污染物的衬底传送及支撑系统
CN2008101269132A Active CN101393883B (zh) 2004-02-24 2005-02-23 衬底运送系统

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNB2005800057738A Active CN100543959C (zh) 2004-02-24 2005-02-23 可降低污染物的衬底传送及支撑系统
CN2008101269132A Active CN101393883B (zh) 2004-02-24 2005-02-23 衬底运送系统

Country Status (6)

Country Link
US (4) US7824498B2 (zh)
JP (1) JP5270095B2 (zh)
KR (3) KR20120045029A (zh)
CN (3) CN101383317B (zh)
TW (1) TWI327744B (zh)
WO (1) WO2005083752A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI449109B (zh) * 2011-12-13 2014-08-11

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20030099294A1 (en) * 2001-11-27 2003-05-29 Limin Wang Picture level adaptive frame/field coding for digital video content
KR100699994B1 (ko) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7389645B2 (en) * 2005-11-04 2008-06-24 Applied Materials, Inc. Radiation shield for cryogenic pump for high temperature physical vapor deposition
WO2007070026A1 (en) 2005-12-13 2007-06-21 United Technologies Corporation Process for deposition of amorphous carbon
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
EP2024994B1 (en) 2006-06-02 2014-04-23 Sulzer Metaplas GmbH Method to prevent metal contamination by a substrate holder
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7655933B2 (en) * 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080156260A1 (en) * 2006-12-27 2008-07-03 Memc Electronic Materials, Inc. Wafer Support and Method of Making Wafer Support
US7741764B1 (en) * 2007-01-09 2010-06-22 Chien-Min Sung DLC emitter devices and associated methods
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7715170B2 (en) 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes
KR100855540B1 (ko) * 2007-07-10 2008-09-01 주식회사 코미코 이온 주입 장치, 이온 주입 장치의 내부 구조물 및 상기이온 주입 장치의 코팅층 형성 방법
WO2009019749A1 (ja) * 2007-08-03 2009-02-12 Teoss Co., Ltd. シリコン支持装置およびこれを用いたシリコン加熱急冷装置
JP4903104B2 (ja) * 2007-09-18 2012-03-28 トーカロ株式会社 半導体加工装置用部材
JP4728306B2 (ja) * 2007-09-18 2011-07-20 トーカロ株式会社 静電チャック部材およびその製造方法
NL1034780C2 (nl) * 2007-11-30 2009-06-03 Xycarb Ceramics B V Inrichting voor het laagsgewijs laten neerslaan van verschillende materialen op een halfgeleider-substraat alsmede een hefpin voor toepassing in een dergelijke inrichting.
JP4764868B2 (ja) * 2007-12-07 2011-09-07 トーカロ株式会社 圧縮機翼及び火力発電用ガスタービン
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
NL1036735A1 (nl) * 2008-04-10 2009-10-13 Asml Holding Nv Shear-layer chuck for lithographic apparatus.
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5846917B2 (ja) * 2009-01-11 2016-01-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を移動させるシステム、装置、および方法
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
SG176059A1 (en) 2009-05-15 2011-12-29 Entegris Inc Electrostatic chuck with polymer protrusions
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
KR20120106766A (ko) * 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
WO2011074754A1 (ko) * 2009-12-14 2011-06-23 엘아이지에이디피 주식회사 기판처리방법
JP5572575B2 (ja) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
WO2011149918A2 (en) 2010-05-28 2011-12-01 Entegris, Inc. High surface resistivity electrostatic chuck
US10090181B2 (en) * 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
JP5516482B2 (ja) * 2011-04-11 2014-06-11 東京エレクトロン株式会社 基板搬送方法、基板搬送装置、及び塗布現像装置
CN102651331A (zh) * 2011-06-14 2012-08-29 京东方科技集团股份有限公司 基板托盘及柔性电子器件制造方法
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN109298602B (zh) 2012-02-03 2021-10-15 Asml荷兰有限公司 衬底保持器和光刻装置
CN103074609A (zh) * 2012-03-16 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘及异形衬底
CN102593028A (zh) * 2012-03-19 2012-07-18 南通富士通微电子股份有限公司 双面贴装器件的基板的固定装置
TWI624862B (zh) * 2012-06-11 2018-05-21 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
KR101418301B1 (ko) * 2012-10-05 2014-07-10 위아코퍼레이션 주식회사 다공질 세라믹 테이블
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9583364B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
WO2014114395A1 (en) * 2013-01-22 2014-07-31 Asml Netherlands B.V. Electrostatic clamp
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
KR101489074B1 (ko) * 2013-07-01 2015-02-04 주식회사 야스 클러스터 장비에 적용되는 정전기부상 기판 이송 시스템
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US10431489B2 (en) * 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
CN104752289B (zh) * 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 传输系统及半导体加工设备
JP1524299S (zh) * 2014-05-15 2015-05-25
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
US20150333213A1 (en) * 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
DE102014109327A1 (de) * 2014-07-03 2016-01-07 Aixtron Se Beschichtetes flaches scheibenförmiges Bauteil in einem CVD-Reaktor
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9799541B1 (en) * 2014-12-18 2017-10-24 Trutag Technologies, Inc. Multiple wafer single bath etcher
EP3317726B1 (en) 2015-07-02 2022-03-02 ASML Netherlands B.V. A substrate holder, a lithographic apparatus and method of manufacturing devices
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6867149B2 (ja) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 基板保持部材
JP2017168613A (ja) * 2016-03-16 2017-09-21 信越半導体株式会社 熱処理装置
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10261121B2 (en) 2016-05-26 2019-04-16 Intel Corporation Diamond-like carbon coated semiconductor equipment
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10941491B2 (en) * 2017-09-25 2021-03-09 Raytheon Technologies Corporation Continuous multiple tow coating reactor
KR102259379B1 (ko) * 2018-01-24 2021-06-01 주식회사 엘지에너지솔루션 배터리 셀의 전극 이송 장치
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
US11469097B2 (en) 2018-04-09 2022-10-11 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
JP2021527962A (ja) * 2018-06-22 2021-10-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体ウェハ処理におけるウェハ裏面損傷を最小化する方法
US10802475B2 (en) * 2018-07-16 2020-10-13 Elite Robotics Positioner for a robotic workcell
US11114330B2 (en) * 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
KR102490356B1 (ko) * 2018-11-20 2023-01-25 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법
WO2020135971A1 (en) * 2018-12-28 2020-07-02 Asml Netherlands B.V. Substrate holder for use in a lithographic apparatus and a method of manufacturing a substrate holder
US11639543B2 (en) 2019-05-22 2023-05-02 Thin Film Service, Inc. Tetrahedral amorphous hydrogenated carbon and amorphous siloxane diamond-like nanocomposite
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112599463B (zh) * 2019-10-02 2024-01-19 佳能株式会社 晶片卡盘、其生产方法和曝光装置
KR102674234B1 (ko) * 2019-11-12 2024-06-12 주식회사 원익아이피에스 반도체 제조 장치의 프로세싱 방법
US20230031443A1 (en) * 2019-12-26 2023-02-02 Asml Holding N.V. Wafer clamp hard burl production and refurbishment
JP2023512448A (ja) * 2020-01-13 2023-03-27 ラム リサーチ コーポレーション 熱酸化物スプレーコートとの熱膨張整合を改善するための混合金属ベースプレート
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US20230070848A1 (en) * 2020-02-13 2023-03-09 Jabil Inc. Apparatus, system and method for providing a substrate chuck
KR102382779B1 (ko) * 2020-05-22 2022-04-06 (주)아이네쓰 박막증착장치 및 이를 이용한 dlc 박막 코팅 방법
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
KR20230006725A (ko) * 2021-07-02 2023-01-11 삼성전자주식회사 반도체 공정 시스템 및 그 제어 방법
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber
EP4379783A1 (en) * 2022-11-29 2024-06-05 ASML Netherlands B.V. Electrostatic clamp, gripper assembly including the clamp, lithographic system comprising an electrostatic clamp, and method of making an electrostatic clamp

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH558084A (de) * 1971-07-20 1975-01-15 Bbc Brown Boveri & Cie Halter mit mindestens einem scheibenfoermigen halbleiterelement.
JPS63285892A (ja) 1987-05-19 1988-11-22 Mitsui Eng & Shipbuild Co Ltd 炭化珪素発熱体
US4902535A (en) 1987-12-31 1990-02-20 Air Products And Chemicals, Inc. Method for depositing hard coatings on titanium or titanium alloys
US4987004A (en) * 1988-02-05 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
GB8821944D0 (en) * 1988-09-19 1988-10-19 Gillette Co Method & apparatus for forming surface of workpiece
CA2065581C (en) * 1991-04-22 2002-03-12 Andal Corp. Plasma enhancement apparatus and method for physical vapor deposition
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
FR2712285B1 (fr) * 1993-11-12 1995-12-22 Lorraine Carbone Traitement de surface de matériau carbone pour rendre adhérent un dépôt ultérieur de diamant et pièces revêtues de diamant obtenues.
US5495979A (en) * 1994-06-01 1996-03-05 Surmet Corporation Metal-bonded, carbon fiber-reinforced composites
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5935323A (en) * 1995-04-24 1999-08-10 Toyo Kohan Co., Ltd. Articles with diamond coating formed thereon by vapor-phase synthesis
TW312613B (zh) 1995-06-22 1997-08-11 Sociere Des Products Nestle Sa
JPH0945756A (ja) * 1995-07-26 1997-02-14 Hitachi Ltd 半導体製造装置および製造方法
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5786086A (en) * 1996-01-02 1998-07-28 Union Camp Corporation Conductive wire coating
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
EP0792853B1 (en) * 1996-02-29 2001-04-25 Bridgestone Corporation Process for making a silicon carbide sintered body
AU2697797A (en) * 1996-04-22 1997-11-12 N.V. Bekaert S.A. Diamond-like nanocomposite compositions
TW303505B (en) 1996-05-08 1997-04-21 Applied Materials Inc Substrate support chuck having a contaminant containment layer and method of fabricating same
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
CN1178257A (zh) 1996-08-19 1998-04-08 时至准钟表股份有限公司 在导向套筒内表面形成硬质碳膜的方法
CN1111616C (zh) * 1996-08-19 2003-06-18 时至准钟表股份有限公司 在导衬内周面上形成硬质碳膜的方法
JPH10107117A (ja) * 1996-09-30 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5718962A (en) * 1996-11-07 1998-02-17 Walling; James H. Decorative ornament and display box
CA2277977C (en) * 1997-02-04 2006-10-31 N.V. Bekaert S.A. A coating comprising layers of diamond like carbon and diamond like nanocomposite compositions
JP3679882B2 (ja) 1997-02-07 2005-08-03 株式会社荏原製作所 研磨用クロスのドレッサー及びその製造方法
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
WO1998045090A1 (en) 1997-04-04 1998-10-15 Obsidian, Inc. Polishing media magazine for improved polishing
EP0885983A1 (en) * 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
US6090733A (en) * 1997-08-27 2000-07-18 Bridgestone Corporation Sintered silicon carbide and method for producing the same
JPH1167427A (ja) * 1997-08-27 1999-03-09 Bridgestone Corp ヒーター部品
JP4012287B2 (ja) * 1997-08-27 2007-11-21 株式会社ブリヂストン スパッタリングターゲット盤
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP4253365B2 (ja) * 1997-10-17 2009-04-08 オリンパス株式会社 ウェハ搬送装置
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US5916370A (en) * 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
US6158647A (en) * 1998-09-29 2000-12-12 Micron Technology, Inc. Concave face wire bond capillary
JP2000332096A (ja) * 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
US6759800B1 (en) * 1999-07-29 2004-07-06 Applied Materials, Inc. Diamond supported photocathodes for electron sources
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6386963B1 (en) * 1999-10-29 2002-05-14 Applied Materials, Inc. Conditioning disk for conditioning a polishing pad
US6447374B1 (en) * 1999-12-17 2002-09-10 Applied Materials, Inc. Chemical mechanical planarization system
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
TW517265B (en) 2000-06-23 2003-01-11 Applied Materials Inc Apparatus for supporting a substrate and method of fabricating same
TW466667B (en) 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6595506B1 (en) * 2000-11-17 2003-07-22 Epion Corporation Apparatus and method for reduced particulate generation during workpiece handling
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
JP2002338388A (ja) 2001-02-15 2002-11-27 Ngk Insulators Ltd ダイヤモンドコート部材
US20030047283A1 (en) * 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003340667A (ja) * 2002-05-30 2003-12-02 Disco Abrasive Syst Ltd チャックテーブル
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7367872B2 (en) * 2003-04-08 2008-05-06 Applied Materials, Inc. Conditioner disk for use in chemical mechanical polishing
JP4220834B2 (ja) 2003-05-19 2009-02-04 三菱電機株式会社 整流装置
ATE514801T1 (de) * 2003-08-01 2011-07-15 Sgl Carbon Se Halter zum tragen von wafern während der halbleiterherstellung
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI449109B (zh) * 2011-12-13 2014-08-11

Also Published As

Publication number Publication date
KR20070097296A (ko) 2007-10-04
US10053778B2 (en) 2018-08-21
US8852348B2 (en) 2014-10-07
TWI327744B (en) 2010-07-21
KR20130069888A (ko) 2013-06-26
KR101400256B1 (ko) 2014-05-27
US20050183669A1 (en) 2005-08-25
CN100543959C (zh) 2009-09-23
KR101357097B1 (ko) 2014-02-03
JP5270095B2 (ja) 2013-08-21
US20140326184A1 (en) 2014-11-06
KR20120045029A (ko) 2012-05-08
US20110017424A1 (en) 2011-01-27
CN101393883B (zh) 2011-04-20
US20050252454A1 (en) 2005-11-17
CN101383317B (zh) 2010-12-15
CN101393883A (zh) 2009-03-25
US7824498B2 (en) 2010-11-02
WO2005083752A2 (en) 2005-09-09
CN1922724A (zh) 2007-02-28
WO2005083752A3 (en) 2006-01-12
JP2007527625A (ja) 2007-09-27
TW200540928A (en) 2005-12-16

Similar Documents

Publication Publication Date Title
CN101383317B (zh) 衬底提升组件及包含该衬底提升组件的衬底处理设备
US7589950B2 (en) Detachable electrostatic chuck having sealing assembly
CN100487857C (zh) 用于前段工艺制造的原地干洗腔
US8529701B2 (en) Substrate processing apparatus
TWI267563B (en) Diamond coatings on reactor wall and method of manufacturing thereof
US7907384B2 (en) Detachable electrostatic chuck for supporting a substrate in a process chamber
CN102867726B (zh) 一种等离子约束环组件、等离子处理装置以及处理半导体衬底的方法
CN1230868C (zh) 具有改善的颗粒污染性能的半导体处理设备
JP2004523649A (ja) 半導体処理装置の窒化ホウ素又はイットリア複合材料の構成部品及びその製造方法
JPH1070112A (ja) 半導体処理チャンバ表面を洗浄する装置及び方法
CN1890034B (zh) 经处理以清除自由碳的半导体衬底加工装置的碳化硅部件
CN109477207A (zh) 溅射喷淋头
KR20040105276A (ko) 반도체 제조장비용 핫플레이트

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.