TWI327744B - Contaminant reducing substrate transport and support system - Google Patents

Contaminant reducing substrate transport and support system Download PDF

Info

Publication number
TWI327744B
TWI327744B TW094105456A TW94105456A TWI327744B TW I327744 B TWI327744 B TW I327744B TW 094105456 A TW094105456 A TW 094105456A TW 94105456 A TW94105456 A TW 94105456A TW I327744 B TWI327744 B TW I327744B
Authority
TW
Taiwan
Prior art keywords
substrate
support
diamond
coating
support system
Prior art date
Application number
TW094105456A
Other languages
English (en)
Other versions
TW200540928A (en
Inventor
Vijay D Parkhe
Matthew Leopold
Timothy Ronan
Todd W Martin
Edward Ng
Nitin Khurana
Song-Moon Shu
Richard Fay
Chris Hagerty
Michael Rice
Darryl Angelo
Kurt J Ahman
Matthew C Tsai
Steve Sansoni
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200540928A publication Critical patent/TW200540928A/zh
Application granted granted Critical
Publication of TWI327744B publication Critical patent/TWI327744B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

1327744 (i) 一小於約0 · 3的摩擦係數; (ii) 一至少約8GPa的硬度;及 (iii) 一小於約5 X 1012atoms/cm2之金屬的金屬 濃度級, 藉此該接觸表面在直接或間接接觸一基板時係降 低一基板之污染。
3 0.如申請專利範圍第29項之基板輸送臂,其中該接觸表 面包含一或多個突起的突出物,以使該基板實質上僅 接觸該突起的突出物,藉此最小化與該葉片之接觸。 31. —種多腔體基板處理設備,其包含: (a) 一輸送腔,其包含一輸送臂以在腔體之間輸送一 基板; (b) 一加熱腔,用以加熱該基板,該加熱腔包含一加 熱台座以支撐該基板於其上;
(c) 一預清洗腔,用於藉由暴露該基板到一激發的氣 體中來清洗一基板,該預清洗腔包含一預清洗支 撐件以支撐該基板於其上; (d) 一沉積腔,用於沉積一材料在該基板上,該沉積 腔包含一沉積支撐件以支撐該基板於其上; (e) 一冷卻腔,用於冷卻該基板,該冷卻腔包含一冷 卻台座以支撐該基板於其上; (f) 一或多個在該等腔體中之升舉組件,用於升舉及 61 降低該 基板到該等以*切件中至少一 者之 (g) —控制 *玄基板 及支撐 其中該 預清洗支撐 該塗覆包含 覆包含有以 該類鑽石塗 10 %的氩、 且該類鑽石 ⑴一 (ii) 一 (iii) - 藉此該 低一基板之 器,用以控制該輪读 送臂及升舉組件來運送 到母個腔體中,並 亚敌置該基板在該等台座 件之上, 輸送臂、升舉組件、加熱…冷卻台座、 :及:冗積支揮件中至少一者具有一塗覆, 於。玄本雜上之類鑽石塗覆該類鑽石塗 下互連網&,(丨)碳及氩,及(ii)矽及氧, 覆的原子組成為50 %〜90 %的碳、5 %〜 1 〇 /。〜2 0 %的矽,以及5 %〜1 〇 %的氧, 塗復具有一接觸表面,其包含: 小於約0 · 3的摩擦係數; 至少約8GPa的硬度;及 小於約5 X l〇l2at〇ms/cm2之金屬的金屬 濃度級, 接觸表面在直接或間接接觸一基板時係降 污染。 一種基板支撐件,其包含: (a) 一陶資•結構,具有—電極嵌設於其中’該電極係 可充電以靜電支托—基板;以及 (b) —接觸表面,包括複數個台面(mesa)’該些台 面包括直接位於一鈦金屬黏結層上方的一類鑽石 1327744
碳材料的一塗覆,該類鑽石碳材料包括:原子組 成為5 0 %〜9 0 %的碳' 5 %〜1 0 %的氫、1 0 %〜 2 0 %的矽以及5 %〜1 0 %的氧;一小於約0.3的 摩擦係數;以及一至少約8 G P a的硬度; 藉此該類鑽石塗覆降低接觸該塗覆之基板的磨耗及污 染。
33.如申請專利範圍第3 2項之基板支撐件,其中該類鑽石 碳材料具有一約1 0 4 0 h m . c m到約1 08 0 h m · c m的 電阻率。 3 4.如申請專利範圍第32項之基板支撐件,其中該類鑽石 碳材料包含由約〇 · 1 a t 〇 m %到約1 0 a t 〇 m %之一金屬添 加物,藉此該金屬添加物改變該塗覆的電阻率。
35.如申請專利範圍第32項之基板支撐件,其中該塗覆之 厚度為約1微米到約20微米。 36.如申請專利範圍第35項之基板支撐件,其中該鈦金屬 黏結層之厚度為約0 · 2 5微米到約4微米。 37.如申請專利範圍第32項之基板支撐件,其中該類鑽石 碳材料包含一類鑽石奈米複合材料 (nanocomposite),且該類錢石奈米複合材料具有以 63 1327744 下網路:(i)碳及氫,及(ii)矽及氧 3 8.如申請專利範圍第3 2項之基板支撐件,其中該類鑽石 碳材料包含一金屬添加物。 3 9.如申請專利範圍第3 2項之基板支撐件,其中該陶瓷結 構包括A1N或Al2〇3。
40.如申請專利範圍第3 2項之基板支撐件,其中該類鑽石 碳材料係藉由一製程而與一金屬添加物共沉積,該製 程係在一電漿加強化學氣相沉積環境中結合該金屬添 加物的物理氣相沉積。 41. 一種基板支撐件,其包含: (a) 一陶瓷支撐結構,具有一電極嵌設於其中,該電 極係可充電以靜電支托一基板;以及
(b) —接觸表面,包括複數個台面(mesa ),每個該 些台面係實質完全地由下列構成:(i) 一表面塗 覆,包括一類鑽石碳材料,該類鑽石碳材料具有 一碳-氫網路,且其原子組成為 5 0 °/。〜9 0 %的 碳、5 %〜1 0 %的氫、1 0 %〜2 0 %的矽以及5 % 〜10 %的氧,且該表面塗覆包括一小於約0.3的 摩擦係數以及一至少約 8 GPa的硬度;以及(ii) 一黏結層,由金屬組成,且位於該陶瓷支撐結構
64 1327744 與該表面塗覆之間 42.如申請專利範圍第41項之基板支撐件,其中該類鑽石 碳材料包含一類鑽石奈米複合材料,且該類鑽石奈米 複合材料具有以下網路:(i)碳及氫,及(ii)矽及氧。
4 3 ·如申請專利範圍第4 1項之基板支撐件,其中該類鑽石 石炭材料具有一約1 0 4 0 h m . c m到約1 08 0 h m · c m的 電阻率。 44.如申請專利範圍第4 1項之基板支撐件,其中該類鑽石 碳材料包含由約0.1 a t 〇 m %到約1 0 a t 〇 m %之一金屬添 加物,藉此該金屬添加物改變該塗覆的電阻率。
65
TW094105456A 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system TWI327744B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/786,876 US7824498B2 (en) 2004-02-24 2004-02-24 Coating for reducing contamination of substrates during processing

Publications (2)

Publication Number Publication Date
TW200540928A TW200540928A (en) 2005-12-16
TWI327744B true TWI327744B (en) 2010-07-21

Family

ID=34861866

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094105456A TWI327744B (en) 2004-02-24 2005-02-23 Contaminant reducing substrate transport and support system

Country Status (6)

Country Link
US (4) US7824498B2 (zh)
JP (1) JP5270095B2 (zh)
KR (3) KR101400256B1 (zh)
CN (3) CN101393883B (zh)
TW (1) TWI327744B (zh)
WO (1) WO2005083752A2 (zh)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20030099294A1 (en) * 2001-11-27 2003-05-29 Limin Wang Picture level adaptive frame/field coding for digital video content
KR100699994B1 (ko) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7389645B2 (en) * 2005-11-04 2008-06-24 Applied Materials, Inc. Radiation shield for cryogenic pump for high temperature physical vapor deposition
WO2007070026A1 (en) 2005-12-13 2007-06-21 United Technologies Corporation Process for deposition of amorphous carbon
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
CN101467243B (zh) * 2006-06-02 2012-08-08 萨尔泽曼塔普拉斯有限公司 防止衬底支座引起的金属污染的方法
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7655933B2 (en) * 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080156260A1 (en) * 2006-12-27 2008-07-03 Memc Electronic Materials, Inc. Wafer Support and Method of Making Wafer Support
US7741764B1 (en) * 2007-01-09 2010-06-22 Chien-Min Sung DLC emitter devices and associated methods
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7715170B2 (en) * 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes
KR100855540B1 (ko) * 2007-07-10 2008-09-01 주식회사 코미코 이온 주입 장치, 이온 주입 장치의 내부 구조물 및 상기이온 주입 장치의 코팅층 형성 방법
KR101061398B1 (ko) * 2007-08-03 2011-09-02 테오스 가부시키가이샤 실리콘 지지 장치 및 이것을 사용한 실리콘 가열 급냉 장치
JP4728306B2 (ja) * 2007-09-18 2011-07-20 トーカロ株式会社 静電チャック部材およびその製造方法
JP4903104B2 (ja) * 2007-09-18 2012-03-28 トーカロ株式会社 半導体加工装置用部材
NL1034780C2 (nl) * 2007-11-30 2009-06-03 Xycarb Ceramics B V Inrichting voor het laagsgewijs laten neerslaan van verschillende materialen op een halfgeleider-substraat alsmede een hefpin voor toepassing in een dergelijke inrichting.
JP4764868B2 (ja) * 2007-12-07 2011-09-07 トーカロ株式会社 圧縮機翼及び火力発電用ガスタービン
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
NL1036735A1 (nl) * 2008-04-10 2009-10-13 Asml Holding Nv Shear-layer chuck for lithographic apparatus.
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
KR101660241B1 (ko) * 2009-01-11 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판을 이동시키기 위한 시스템, 장치 및 방법
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
SG10201402319QA (en) 2009-05-15 2014-07-30 Entegris Inc Electrostatic chuck with polymer protrusions
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
KR101995704B1 (ko) * 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
CN102804413A (zh) * 2009-12-14 2012-11-28 丽佳达普株式会社 衬底处理方法
JP5572575B2 (ja) * 2010-05-12 2014-08-13 東京エレクトロン株式会社 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
CN102986017B (zh) 2010-05-28 2015-09-16 恩特格林斯公司 高表面电阻率静电吸盘
JP6054314B2 (ja) * 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5516482B2 (ja) * 2011-04-11 2014-06-11 東京エレクトロン株式会社 基板搬送方法、基板搬送装置、及び塗布現像装置
CN102651331A (zh) * 2011-06-14 2012-08-29 京东方科技集团股份有限公司 基板托盘及柔性电子器件制造方法
TW201324617A (zh) * 2011-12-13 2013-06-16 Metal Ind Res & Dev Ct 具熱膨脹間隙監測功能的加熱裝置
KR20190132561A (ko) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
KR101652782B1 (ko) 2012-02-03 2016-08-31 에이에스엠엘 네델란즈 비.브이. 기판 홀더 및 리소그래피 장치
CN103074609A (zh) * 2012-03-16 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘及异形衬底
CN102593028A (zh) * 2012-03-19 2012-07-18 南通富士通微电子股份有限公司 双面贴装器件的基板的固定装置
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
KR101418301B1 (ko) * 2012-10-05 2014-07-10 위아코퍼레이션 주식회사 다공질 세라믹 테이블
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9583364B2 (en) * 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
KR102203118B1 (ko) * 2013-01-22 2021-01-15 에이에스엠엘 네델란즈 비.브이. 정전기 클램프
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
KR101489074B1 (ko) * 2013-07-01 2015-02-04 주식회사 야스 클러스터 장비에 적용되는 정전기부상 기판 이송 시스템
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US10431489B2 (en) * 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
CN104752289B (zh) * 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 传输系统及半导体加工设备
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
JP1524299S (zh) * 2014-05-15 2015-05-25
US20150333213A1 (en) * 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
DE102014109327A1 (de) * 2014-07-03 2016-01-07 Aixtron Se Beschichtetes flaches scheibenförmiges Bauteil in einem CVD-Reaktor
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9799541B1 (en) * 2014-12-18 2017-10-24 Trutag Technologies, Inc. Multiple wafer single bath etcher
US10453734B2 (en) * 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6867149B2 (ja) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 基板保持部材
JP2017168613A (ja) * 2016-03-16 2017-09-21 信越半導体株式会社 熱処理装置
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10261121B2 (en) 2016-05-26 2019-04-16 Intel Corporation Diamond-like carbon coated semiconductor equipment
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10941491B2 (en) * 2017-09-25 2021-03-09 Raytheon Technologies Corporation Continuous multiple tow coating reactor
KR102259379B1 (ko) * 2018-01-24 2021-06-01 주식회사 엘지에너지솔루션 배터리 셀의 전극 이송 장치
US11990360B2 (en) 2018-01-31 2024-05-21 Lam Research Corporation Electrostatic chuck (ESC) pedestal voltage isolation
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
US20210233798A1 (en) * 2018-05-29 2021-07-29 Fabworx Solutions, Inc. Degas chamber lift hoop
JP2021527962A (ja) * 2018-06-22 2021-10-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体ウェハ処理におけるウェハ裏面損傷を最小化する方法
US10802475B2 (en) * 2018-07-16 2020-10-13 Elite Robotics Positioner for a robotic workcell
US11114330B2 (en) * 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
KR102490356B1 (ko) * 2018-11-20 2023-01-25 주식회사 원익아이피에스 기판 처리 장치의 내부재 처리 방법
CN113302556A (zh) * 2018-12-28 2021-08-24 Asml荷兰有限公司 用于光刻设备的衬底保持器和制造衬底保持器的方法
US11639543B2 (en) 2019-05-22 2023-05-02 Thin Film Service, Inc. Tetrahedral amorphous hydrogenated carbon and amorphous siloxane diamond-like nanocomposite
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
US11842918B2 (en) * 2019-10-02 2023-12-12 Canon Kabushiki Kaisha Wafer chuck, method for producing the same, and exposure apparatus
JP7536547B2 (ja) 2019-10-02 2024-08-20 キヤノン株式会社 ウエハチャック、その製造方法、及び露光装置
KR102674234B1 (ko) * 2019-11-12 2024-06-12 주식회사 원익아이피에스 반도체 제조 장치의 프로세싱 방법
WO2021130015A1 (en) * 2019-12-26 2021-07-01 Asml Holding N.V. Wafer clamp hard burl production and refurbishment
WO2021146098A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Mixed metal baseplates for improved thermal expansion matching with thermal oxide spraycoat
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
CN115104182A (zh) * 2020-02-13 2022-09-23 捷普有限公司 用于提供固定卡盘的装置、系统和方法
KR102382779B1 (ko) * 2020-05-22 2022-04-06 (주)아이네쓰 박막증착장치 및 이를 이용한 dlc 박막 코팅 방법
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
KR20230006725A (ko) * 2021-07-02 2023-01-11 삼성전자주식회사 반도체 공정 시스템 및 그 제어 방법
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber
EP4379783A1 (en) * 2022-11-29 2024-06-05 ASML Netherlands B.V. Electrostatic clamp, gripper assembly including the clamp, lithographic system comprising an electrostatic clamp, and method of making an electrostatic clamp
WO2024135476A1 (ja) * 2022-12-21 2024-06-27 東京エレクトロン株式会社 基板処理方法、基板処理装置、及び基板処理システム

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH558084A (de) * 1971-07-20 1975-01-15 Bbc Brown Boveri & Cie Halter mit mindestens einem scheibenfoermigen halbleiterelement.
JPS63285892A (ja) 1987-05-19 1988-11-22 Mitsui Eng & Shipbuild Co Ltd 炭化珪素発熱体
US4902535A (en) 1987-12-31 1990-02-20 Air Products And Chemicals, Inc. Method for depositing hard coatings on titanium or titanium alloys
US4987004A (en) * 1988-02-05 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
GB8821944D0 (en) * 1988-09-19 1988-10-19 Gillette Co Method & apparatus for forming surface of workpiece
CA2065581C (en) * 1991-04-22 2002-03-12 Andal Corp. Plasma enhancement apparatus and method for physical vapor deposition
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
FR2712285B1 (fr) * 1993-11-12 1995-12-22 Lorraine Carbone Traitement de surface de matériau carbone pour rendre adhérent un dépôt ultérieur de diamant et pièces revêtues de diamant obtenues.
US5495979A (en) * 1994-06-01 1996-03-05 Surmet Corporation Metal-bonded, carbon fiber-reinforced composites
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5935323A (en) * 1995-04-24 1999-08-10 Toyo Kohan Co., Ltd. Articles with diamond coating formed thereon by vapor-phase synthesis
TW312613B (zh) 1995-06-22 1997-08-11 Sociere Des Products Nestle Sa
JPH0945756A (ja) * 1995-07-26 1997-02-14 Hitachi Ltd 半導体製造装置および製造方法
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5786086A (en) * 1996-01-02 1998-07-28 Union Camp Corporation Conductive wire coating
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
DE69704638T2 (de) * 1996-02-29 2001-08-30 Bridgestone Corp., Tokio/Tokyo Verfahren zur Herstellung eines Sinterkörpers aus Siliciumcarbid
JP4439594B2 (ja) * 1996-04-22 2010-03-24 ナムローゼ フェンノートシャップ ベッカルト エス.エー. ダイアモンド類似ナノ複合材組成物
TW303505B (en) 1996-05-08 1997-04-21 Applied Materials Inc Substrate support chuck having a contaminant containment layer and method of fabricating same
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
WO1998007895A1 (fr) * 1996-08-19 1998-02-26 Citizen Watch Co., Ltd. Procede de formation d'un filme de carbone dur sur la surface circonferentielle interne d'une bague de guidage
CN1178257A (zh) 1996-08-19 1998-04-08 时至准钟表股份有限公司 在导向套筒内表面形成硬质碳膜的方法
JPH10107117A (ja) * 1996-09-30 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5718962A (en) * 1996-11-07 1998-02-17 Walling; James H. Decorative ornament and display box
US6228471B1 (en) * 1997-02-04 2001-05-08 N.V. Bekaert S.A. Coating comprising layers of diamond like carbon and diamond like nanocomposite compositions
JP3679882B2 (ja) 1997-02-07 2005-08-03 株式会社荏原製作所 研磨用クロスのドレッサー及びその製造方法
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
EP1015177A1 (en) 1997-04-04 2000-07-05 Obsidian, Inc. Polishing media magazine for improved polishing
EP0885983A1 (en) * 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
JP4012287B2 (ja) * 1997-08-27 2007-11-21 株式会社ブリヂストン スパッタリングターゲット盤
JPH1167427A (ja) * 1997-08-27 1999-03-09 Bridgestone Corp ヒーター部品
US6090733A (en) * 1997-08-27 2000-07-18 Bridgestone Corporation Sintered silicon carbide and method for producing the same
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP4253365B2 (ja) * 1997-10-17 2009-04-08 オリンパス株式会社 ウェハ搬送装置
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US5916370A (en) * 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
US6158647A (en) * 1998-09-29 2000-12-12 Micron Technology, Inc. Concave face wire bond capillary
JP2000332096A (ja) * 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
US6759800B1 (en) * 1999-07-29 2004-07-06 Applied Materials, Inc. Diamond supported photocathodes for electron sources
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6386963B1 (en) * 1999-10-29 2002-05-14 Applied Materials, Inc. Conditioning disk for conditioning a polishing pad
US6447374B1 (en) * 1999-12-17 2002-09-10 Applied Materials, Inc. Chemical mechanical planarization system
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
WO2002001611A2 (en) 2000-06-23 2002-01-03 Applied Materials, Inc. Electrostatic chuck and method of fabricating the same
TW466667B (en) 2000-06-29 2001-12-01 Applied Materials Inc Electrostatic chuck having the minimum contact area
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6595506B1 (en) * 2000-11-17 2003-07-22 Epion Corporation Apparatus and method for reduced particulate generation during workpiece handling
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
JP2002338388A (ja) 2001-02-15 2002-11-27 Ngk Insulators Ltd ダイヤモンドコート部材
US20030047283A1 (en) * 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003340667A (ja) * 2002-05-30 2003-12-02 Disco Abrasive Syst Ltd チャックテーブル
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7367872B2 (en) * 2003-04-08 2008-05-06 Applied Materials, Inc. Conditioner disk for use in chemical mechanical polishing
JP4220834B2 (ja) 2003-05-19 2009-02-04 三菱電機株式会社 整流装置
JP4669476B2 (ja) * 2003-08-01 2011-04-13 エスゲーエル カーボン ソシエタス ヨーロピア 半導体製造時にウェハを支持するホルダ
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application

Also Published As

Publication number Publication date
WO2005083752A3 (en) 2006-01-12
KR20070097296A (ko) 2007-10-04
US20050183669A1 (en) 2005-08-25
TW200540928A (en) 2005-12-16
US20050252454A1 (en) 2005-11-17
US8852348B2 (en) 2014-10-07
US10053778B2 (en) 2018-08-21
KR20120045029A (ko) 2012-05-08
JP2007527625A (ja) 2007-09-27
KR101400256B1 (ko) 2014-05-27
KR101357097B1 (ko) 2014-02-03
KR20130069888A (ko) 2013-06-26
US20110017424A1 (en) 2011-01-27
CN101393883A (zh) 2009-03-25
CN1922724A (zh) 2007-02-28
JP5270095B2 (ja) 2013-08-21
CN101383317A (zh) 2009-03-11
CN100543959C (zh) 2009-09-23
US20140326184A1 (en) 2014-11-06
US7824498B2 (en) 2010-11-02
CN101383317B (zh) 2010-12-15
CN101393883B (zh) 2011-04-20
WO2005083752A2 (en) 2005-09-09

Similar Documents

Publication Publication Date Title
TWI327744B (en) Contaminant reducing substrate transport and support system
JP2007527625A5 (zh)
TWI267563B (en) Diamond coatings on reactor wall and method of manufacturing thereof
TW492075B (en) Electrode, wafer stage, plasma device, method of manufacturing electrode and wafer stage
CN2838037Y (zh) 一种从支撑表面清除处理残留物的清洁晶片
JP2003515257A (ja) 化学蒸着により窒化アルミニウムで被覆した部材
JP6263450B2 (ja) 有機単分子膜形成方法
JP5289307B2 (ja) 基板ホルダーによる金属汚染を防止する方法
TW200423370A (en) ESD dissipative structural components
WO2013125598A1 (ja) フッ素含有有機ケイ素化合物薄膜の製造装置、及び、製造方法
KR20170097056A (ko) 복합 기판, 나노카본막의 제작 방법 및 나노카본막
Bult et al. Passivation oxide controlled selective carbon nanotube growth on metal substrates
JP2012237024A (ja) 窒化アルミニウム膜及びそれを被覆した部材
JP2021060573A (ja) ウエハチャック、その製造方法、及び露光装置
TW517265B (en) Apparatus for supporting a substrate and method of fabricating same
US20080050522A1 (en) Preparative method for protective layer of susceptor
CN113529051A (zh) 在机台零件上形成纳米涂层的方法及纳米涂层
JP3937072B2 (ja) ダミーウェハー
TWI337209B (zh)
Oura Effect of Nano Buffer Layer on Property and Growth of Carbon Thin Film
TWI248123B (en) The method for removing diamond-like carbon films and its products
KR20070032050A (ko) 기판상의 보호 코팅 및 그의 제조 방법
JPH08288248A (ja) 接液部材
Benndorf et al. H sub 2 O Interaction With Nickel (110): Autocatalytic Decomposition in the Temperature Range From 400 to 550 deg K
Jonas et al. GROWTH AND PROPERTIES OF HYDROGENATED CxNy LAYERS