JPH1070112A - 半導体処理チャンバ表面を洗浄する装置及び方法 - Google Patents

半導体処理チャンバ表面を洗浄する装置及び方法

Info

Publication number
JPH1070112A
JPH1070112A JP9153946A JP15394697A JPH1070112A JP H1070112 A JPH1070112 A JP H1070112A JP 9153946 A JP9153946 A JP 9153946A JP 15394697 A JP15394697 A JP 15394697A JP H1070112 A JPH1070112 A JP H1070112A
Authority
JP
Japan
Prior art keywords
ceramic
temperature
liner
barrier
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9153946A
Other languages
English (en)
Inventor
J Sutegaa Robert
ジェイ. ステガー ロバート
C Redekaa Fred
シー. レデカー フレッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1070112A publication Critical patent/JPH1070112A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B17/00Methods preventing fouling
    • B08B17/02Preventing deposition of fouling or of dust
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(57)【要約】 【課題】 チャンバ内に残留する堆積物による半導体の
汚染を防止すること。 【解決手段】 チャンバ100表面近傍の温度制御付き
セラミックのライナ102の温度は、半導体の基板処理
中にライナ102表面上の堆積物形成の低減やライナ1
02表面から堆積物除去の促進を目的に設定される。チ
ャンバ100表面には堆積物が早く堆積するものもあ
る。堆積物の形成又は除去の速度は温度に依存するの
で、ライナ102は異なる場所で温度を独立に設定可能
に構成されうる。温度制御可能なライナ102が複数の
場合、各ライナで保護されるチャンバの領域の堆積物の
形成の低減又は除去の要件に応じ、異なる温度に設定さ
れる。好適には、基板処理時或いは洗浄処理時にチャン
バ100外部で生成されたプラズマが導管を通してチャ
ンバ100内に供給される。少なくともプラズマに接触
する導管内側表面はハロゲン含有材料からなり、このハ
ロゲンは導管内部を通過する活性種を考慮して選択可能
である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学気相堆積(Ch
emical vapor deposition:CVD)装置、物理気相堆積
(Physical vapor deposition:PVD)装置及びエッチ
ング装置のチャンバ表面を洗浄するための装置及びその
方法に関する。特に、本装置は、洗浄すべき表面に熱を
加える機能を備えている。熱は、基板のCVD処理、P
VD処理、若しくはエッチング処理中、若しくはその後
の(基板を取りだした)洗浄作業中、又はその両方に加
えることができる。本発明の好適な実施形態では、処理
チャンバの洗浄作業は、基板の取り出し後に、本明細書
に述べる装置及び方法に従ってチャンバ表面を高温にし
た状態で、洗浄すべき表面に接触する外部生成される活
性種を用いて実施される。
【0002】
【従来の技術及び発明が解決しようとする課題】半導体
の処理は、基板上に微細な集積回路を作り出す数多くの
異なる化学工程と物理工程とを含んでいる。集積回路
は、種々の材料からなる多層のパターンを使って構成さ
れる。各材料の層は、化学気相堆積、物理気相堆積及び
エピタキシャル成長をはじめとする種々の工程の組み合
わせによって作製される。幾つかの層は、フォトレジス
トと湿式及び乾式のエッチング技術を使ってパターン形
成される。パターンは、特定の場所にドーパントを注入
することによって各層内に作り出される。(集積回路が
作り出される基板は、シリコン、ガリウム砒素、ガラ
ス、その他の適当な材料とするのがよい。)半導体を処
理するリアクタ内で行われる工程の多くは、堆積して有
害な微粒物の元となる堆積物を、処理チャンバの壁面に
残すので、これらが処理チャンバ内に形成されて半導体
素子を汚染することを防止しなければならない。半導体
素子の寸法はますます小さくなっているので、半導体加
工物の表面の微粒物の存在はますます重大な問題になっ
てきている。
【0003】堆積物は、プラズマ強化エッチングを使っ
た乾式洗浄によって処理チャンバの壁面やガス分配プレ
ートなどから除去できるが、或いはまた処理チャンバの
表面は手作業で開けて湿式洗浄することもできる。処理
チャンバの壁から汚染物質を除去するためのこの後者の
手順は、非常に時間がかかるものである。
【0004】重合に導くプラズマ表面相互作用を強調し
ているプラズマエッチングとプラズマ重合との間の相互
関係の記載は、イー・ケイ及びエー・ディルクス両氏に
よる真空科学技術学会誌18(1)の1981年1月/
2月号の「高周波容量結合ダイオードシステムにおける
フルオロカーボンのプラズマ重合」("Plasma Polymeriz
ation of Fluorocarbons in RF Capacitively Coupled
Diode System"by E. Kay and A. Dilks, J. Vac. Sci.
Technol. 18(1) Jan./Feb. 1981)に発表されている。プ
ラズマエッチングでフッ素と塩素とを含有するガスを使
うことに関する更なる記載が、セミコンダクタ・インタ
ーナショナルの1988年3月号の副編集長ピーター・
エイチ・シンガー氏による「今日のプラズマエッチング
化学」("Today's Plazma Etch Chemistries", Peter
H. Singer,Associate Editor, Semiconductor Internat
ional, March 1988)になされている。これらの論文は、
エッチング化学をうまく発展させるにはガスの流量、チ
ャンバの圧力と温度、プラズマエネルギ及びシステム構
成をはじめとする処理変数の慎重な制御に加えて、入力
ガスの組成の注意深い選択が必要であるということを明
らかにしている。
【0005】プラズマ処理チャンバの壁面に付いた堆積
物は、プラズマ中のイオン衝撃或いは化学反応によって
除去可能である。化学反応は、一般に処理チャンバの表
面に対するイオン衝撃を避けて堆積物を除去する穏やか
な手段を提供するので、好ましい。化学反応を使って堆
積物を除去する最も好ましい方法は、堆積物を処理チャ
ンバからポンプで排気することのできる揮発種に変換す
ることである。このように、処理チャンバ表面に最初の
堆積物が形成されるのを防止するか、又は、処理チャン
バ上の堆積物を処理チャンバから容易に除去できる揮発
種に変換する化学反応法の提供が望まれている。
【0006】或いは、処理チャンバの表面は、堆積物が
処理チャンバ表面に到達する前に堆積物を捕捉する、取
り外し可能で使い捨ての(「チャンバ外に」一掃でき
る) ライナで内張りされている。例えば、ロバートソン
(Robertson)等に1994年11月22日に付与され、
本発明の譲受人に譲渡されて、参考文献として援用され
る米国特許第5,366,585号には、典型的には金
属であるプラズマ処理チャンバの導電性の壁を、汚染物
質の堆積から、そしてガスプラズマとの反応から保護す
るための方法と装置が記載されている。ガスプラズマと
保護すべきこのような導電性部分との間には、リアクタ
チャンバの導電性部分に隣接して、少なくとも0.00
5インチ(127mm)の厚さが望ましいとされるセラ
ミックの障壁材料(barrier material)が使われる。この
セラミックの障壁材料は、それがなければ保護されたリ
アクタチャンバ上に堆積するであろうプラズマから形成
される汚染化合物の堆積物を捕捉し、それによって微粒
子の元を減少させる。更に、堆積物形成の量を減らすこ
のセラミック障壁材料は、リアクタの保護された金属部
分を侵食するエッチングプラズマを使わずに、ハロゲン
含有ガスから生成されるエッチングプラズマを使ってリ
アクタチャンバの洗浄、或いは、反応チャンバ内で処理
される物品(代表的には半導体基板)を保持するために
使われる静電チャックの洗浄をも可能にしている。
【0007】このセラミック障壁はセラミックライナの
形をなしていることが望ましく、また、ライナはアルミ
ニウムとマグネシウムとタンタルの酸化物とフッ化物と
からなる群の中から選ばれた材料で作られていることが
望ましい。最も望ましいのはライナがアルミニウムで構
成されていることである。
【0008】1992年2月4日に付与された、アール
・ジェイ・ステージャ(R.J.Steger)による米国特許第
5,085,727号には、改良型プラズマエッチング
装置が開示され、導電性被膜で被覆された金属の内面を
有するエッチングチャンバを備えており、プラズマエッ
チング処理中にチャンバ内で使われるハロゲン含有ガス
などの反応ガスによる化学的侵食から、上述の金属の内
面を保護することができる。好適な実施形態では、炭素
と水素若しくは窒素、又は炭素と水素と窒素のガス源を
使い、プラズマにより促進されたCVD処理によって、
少なくとも厚さ約0.2ミクロンの炭素被膜がエッチン
グチャンバの内側金属面に形成される。導電性の被膜材
料は、炭素と窒化チタンとスズ酸インジウム(indium st
annate)と炭化シリコンと炭化チタンと炭化タンタルと
からなる群の中から選ばれた材料を備えていると言われ
ている。
【0009】本発明の譲受人に譲渡され、1994年7
月21日に出願され、参考文献として援用された、出願
番号が第08/278,605号の、ロー(Law)等によ
る米国特許出願には、遠隔の励起源を使用する堆積チャ
ンバ洗浄技術が記載されている。この遠隔励起源は、処
理チャンバの外で使われて、反応種を発生させ、それか
らこの反応種は処理チャンバに供給されて処理チャンバ
の乾式洗浄を促進する。長寿命の種を維持するため、及
び/又は、更に活性種の元として役立つガスを分解する
ために、チャンバ内の第2の励起源を使うこともでき
る。反応種の発生は遠隔励起源に依存するので、局所の
励起源は、従来のシステムで必要とされるよりも遙に低
い電力レベルで操作することができる。
【0010】好適な実施形態で述べた外部励起源は、マ
イクロ波の発生器である。一方、先駆物質ガスを活性化
できる電源であればどんな電源を使ってもよい。例え
ば、遠隔プラズマ及び局所プラズマの両方とも、直流
(DC)、ラジオ周波数(RF)及びマイクロ波(M
W)による放電技術を採用することができる。もし、R
F電源を使う場合は、その電源は処理チャンバの内部と
容量結合又は誘導結合どちらかで結合すればよい。反応
種の外部活性化は、少しばかり提案すると、熱的なガス
分解技術、高強光源或いはX線源によって行うこともで
きる。
【0011】1994年12月22日に公開された、出
願番号がPCT/US94/05619号の、ベンセン
(Bensen)等によるPCT特許出願には、マイクロ波プラ
ズマリアクタが開示され、マイクロ波エネルギで付勢さ
れてプラズマになるべきガスを収容するチャンバと、そ
のチャンバの内部に2つの表面を有する電極とを含んで
いる。この電極の第1の表面はマイクロ波を放射し、そ
の放射面の近くでプラズマを形成し、また、電極の第2
の表面は、同軸導体の導波管からマイクロ波エネルギを
受け取るが、このエネルギは第1の放射面に転送され
る。
【0012】1992年4月15日に公開され、出願番
号が第91308222.8号である、ドナルド・ケー
・スミス(Donald K.Smith)による欧州特許出願には、大
面積ダイヤモンド膜を堆積させるのに十分に適した、再
循環式高速対流型反応装置(recirculating high veloci
ty convective flow reactive sysytem)が記述されてい
る。この装置は、ガスが導入されるリアクタとリアクタ
内のガス活性化領域とその活性化領域内のガスにエネル
ギを供給する装置器具と備えている。また、この装置
は、この活性化領域から間隔を開けて配置された、活性
化されたガスを利用するための、リアクタ内の活性ガス
表面相互作用領域と、活性化領域から相互作用領域へ活
性ガスを対流によってそのガスが活性であるうちに移動
させるための高速ポンプとを備えている。
【0013】一般に、半導体処理チャンバを洗浄するた
めに使われる反応種は、普通に使用されるハロゲン及び
ハロゲン化合物をはじめとする広範囲の選択肢の中から
選ばれる。例えば、反応ガスは、塩素、フッ素或いはそ
れらの化合物、例ばF2,lF3 NF3 CF4
SF62 F6 ,Cl4 ,C2Cl6 でもよい。も
ちろん、使用される特定のガスは、除去される堆積物質
に依存している。例えば、タングステン堆積装置では、
堆積したタングステンを除去するため、一般にフッ素化
合物ガスが使われる。
【0014】1990年10月2日に付与され、本発明
の譲受人に譲渡され、参考文献として援用されるロー(L
aw)等による米国特許第4,960,488号には、リ
アクタチャンバの洗浄処理が記載されている。この処理
は、RF電極の近くとチャンバ内及び排気システム内の
全体を洗浄するために使われる。
【0015】好適な実施形態では、半導体ウェハ基板に
二酸化シリコンを堆積する際に使われる処理パラメータ
が述べられている。特に、TEOSと酸素とキャリヤー
ガスが、0.5〜200トル(Torr)の圧力で処理チャン
バ内に導入される。ウェハ基板は約375℃に加熱さ
れ、ガスマニホルドプレートは約35℃(その上にTE
OSが凝縮するのを防止するため)と約75℃(これよ
り高いとTEOSが反応種に分解する)との間の温度に
維持される。この後の洗浄手順は処理チャンバから残留
反応物と二酸化シリコンを除去するように設計されてい
る。
【0016】この洗浄手順は、2つのステップが連続し
たエッチングシーケンスである。第1ステップでは、比
較的高い圧力(2〜15トル)、近接した電極間隔(1
60ミル、すなわち4.06ミリメートル)、13.5
6メガヘルツで約250〜650ワットのRF電力での
フルオロカーボンガスの化学的性質(約100sccm
での流量比が約1:のC2F6とO2との混合ガス)を
使って、電極をエッチングする。第2ステップでは、よ
り大きな電極間隔(400ミル、すなわち10.16ミ
リメートル)、13.56メガヘルツで約125〜50
0ワットのRF電力でのフルオロカーボンガスの化学的
性質(50〜150sccmでNF3)とを組み合わ
せて、より低い圧力(500ミリトル〜1トル)を使
う。この洗浄手順のための温度範囲は与えられていな
い。
【0017】
【課題を解決するための手段】本発明によれば、温度制
御付きセラミックライナ又はセラミック障壁はプラズマ
含有処理中に処理チャンバ表面に隣接して使われ、ライ
ナ温度又は障壁温度は、処理チャンバ内での半導体基板
の処理中のライナ表面上の堆積物の形成を減らすよう
に、又はライナ表面からの堆積物の除去を促進するよう
に設定される。或いは、処理チャンバ表面の洗浄は、半
導体基板がチャンバから取り出された後に行われ、ま
た、ライナ温度又は障壁温度はライナ表面又は障壁表面
からの堆積物の除去を促進するように設定される。
【0018】処理チャンバ表面には、堆積物が早く堆積
するものがある。堆積物の形成の速度又は除去の速度は
温度に依存するから、温度制御付きセラミックライナ
は、そのライナ内の異なる場所で独立の温度設定が可能
になるように、構成することができる。多数の温度制御
可能な障壁が使われる場合は、それぞれ特定の障壁によ
り保護される処理チャンバの領域において、堆積物の形
成の要件、形成の低減の要件又は除去の要件に応じて、
各障壁を異なる温度に設定してもよい。
【0019】本発明の好適な実施形態では、半導体基板
の処理中又は処理チャンバから基板を取り出した後の洗
浄処理中に使われるプラズマは、処理チャンバの外部で
生成されてから導管によって処理チャンバ内に供給され
る。プラズマが活性化されたハロゲン種を含む場合、導
管又は少なくともそのプラズマに触れる導管の内面は、
ハロゲン含有材料からなるように選ばれる。導管材料に
使用されるハロゲンは、その導管の内部を通って供給さ
れる活性種と同じ元素を含むように選ぶことができる。
【0020】
【発明の実施の形態】本発明は、プラズマ含有処理中に
処理チャンバ表面に隣接して使われる温度制御付きセラ
ミックライナ材料又はセラミック障壁材料に関する。セ
ラミックライナ又は障壁の温度は、処理チャンバ内での
半導体基板処理中に、ライナ表面上での堆積物の形成を
低減するように、又はライナ表面からの堆積物の除去を
促進するように設定される。或いは、ライナ温度は、処
理チャンバから半導体基板を取り出した後で行われるプ
ラズマ洗浄処理中のライナ表面からの堆積物の除去を促
進するように設定される。
【0021】温度制御付きライナはセラミックからな
り、これは900℃といった高い温度でハロカーボン(h
alocarbons)に耐性があるという特別な利点をもたら
す。大半の適用に対して、処理温度は約400℃未満で
あり、また望ましい処理温度は約350℃以下である。
半導体素子の製造中の処理チャンバの壁面の残留物の形
成を減らすことに関して、セラミックライナの温度設定
は、実行されるCVD、PVD或いはエッチング処理の
プロセス化学作用に依存する。半導体基板が取り出され
た後のリアクタチャンバの洗浄をセラミックライナが促
進する場合、洗浄速度は温度に対して指数関数的である
のが基本的であるので、関係する装置にもよるがセラミ
ックライナの温度設定は、実質上高くされるであろう。
例えば、洗浄速度は結局のところ、洗浄される表面に到
達するハロゲン含有プラズマ種の量によって制限される
拡散になる。
【0022】化学工業ではリアクタ材料として金属やガ
ラスや樹脂やセラミックがよく使われる。ハロゲン及び
ハロゲン化合物と接触するリアクタ表面は、耐蝕性を持
つように設計しなくてはならない。半導体工業でリアク
タの洗浄に使用されるプラズマ生成の活性ハロゲン種
は、特に腐食性が強い。これらの活性ハロゲン種に耐性
を有する樹脂もあるが、こういう樹脂は一般に約100
℃より高い温度での動作寿命が短い。
【0023】ステンレス鋼及び高ニッケル合金鋼といっ
た金属材料は十分な耐蝕性を示すが、少量でも鉄を含む
材料は、鉄がハロゲン特にフッ素の存在する所でシリコ
ン基板上に表面欠陥を発生させる可能性があるという理
由から問題を提起する。一般に、このような重金属はス
パッタリング処理中に半導体基板(ウェハ)に搬送され
易いから、リアクタ内でスパッタリング処理が実施され
る可能性がある場合は、リアクタ表面に重金属を使用す
ることは避けられる。よく知られているアルミニウム合
金のリアクタ表面は、腐食性のハロゲン含有プラズマに
侵食されるばかりでなく、更にこの表面は、ハロゲン含
有プラズマに曝されたときに微粒子を形成する種々の成
分を含んでいる。
【0024】硼珪酸ガラスといったガラス構成材料は、
フッ素雰囲気中で急速に腐食され、また基本的に熱衝撃
に対して弱いものである。加えて、多くのガラスはナト
リウム分が多く、そのナトリウムの放出がリアクタ内で
処理される基板ばかりでなくリアクタのアルミニウム表
面の安定性を危うくする。
【0025】温度調節可能なライナの好適な構成材料は
遷移金属とアルカリを事実上含まない(基本的には約1
0ppm未満)セラミック材料である。このようなセラ
ミック材料はハロゲン含有プラズマが存在する場合にも
優れた耐蝕性を示している。
【0026】ライナの製作に使われる好適なセラミック
材料は下記の式: NaYb によって表される。但し、Nはホウ素、アルミニウム、
シリコン、チタン、ジルコニウム又はクロムを含み、Y
は酸素、窒素又は炭素を含んでいる。一般に、aは1〜
2の整数であり、bは1〜3の整数である。セラミック
材料はこのような材料の混合物であってもよい。
【0027】更に好適なセラミック材料としては、アル
ミナ、シリカ、チタニア及びジルコニアといった酸化
物、炭化シリコン、炭化チタン、炭化ジルコニウムとい
った炭化物、及び、窒化アルミニウム、窒化ホウ素、窒
化シリコン、窒化チタンといった窒化物などがある。こ
れらの材料のなかでも窒化アルミニウムは半導体処理に
特に適していることが明らかになっている。
【0028】温度調節可能なセラミックライナ又は障壁
は、このライナの温度調節に使う埋め込み型の加熱素子
として抵抗ヒータを利用することが望ましい。好適な加
熱素子は、例えば(限定するわけではないが)エッチン
グを施した柔軟なフォイルヒータ或いは捲線加熱素子で
ある。加熱素子の熱膨張係数がライナ又は障壁セラミッ
クの熱膨張係数とマッチするように加熱素子の材料組成
を選ぶことは有益である。このことは、温度制御付きセ
ラミックライナ又はセラミック障壁の寿命を延ばすこと
になり、またライナ又は障壁の背後にある処理チャンバ
表面の保護効果を減ずるライナ或いは障壁の亀裂を防止
することになる。
【0029】ライナは処理中に熱を吸収するから、熱は
一般にライナから抜き取らなくてはならない。種々の処
理は100℃以上の高温で作業することが望ましいか
ら、水を入れた冷却水管をセラミックライナ内に通して
も熱の抜き取りの役に立たない。使用可能な油は幾つか
あるが、これは熱を除去する好適な方法ではない。セラ
ミックライナからコンスタントに熱を抜き取る温度降下
手段(伝導性熱搬送手段)を使うことが望ましい。そし
て、ライナの温度は、埋め込み型加熱素子とプラズマ負
荷とを使って温度降下熱損失を相殺することによって制
御される。温度制御付きセラミックライナ又はセラミッ
ク障壁の表面上又は表面近傍に配置された熱電対は、表
面温度を検出して、その信号を、埋め込み型抵抗加熱素
子に送る電力を制御する比例積分微分(PID)ループ
を備えた市販のSCR(シリコン制御整流器)制御装置
のような制御装置に送信する。本好適な実施形態におい
ては、活性プラズマ種を処理チャンバに送り込むために
使われるノズルと導管は、ヒータリード部をライナへ導
くチューブや処理チャンバから外部へ導くその他の周辺
装置だけでなく、温度降下手段としても役立っている。
当業者は、伝導性熱搬送装置は幾らでも思い浮かべるこ
とが可能である。幾つかの事例では、処理チャンバのア
ルミニウム合金の内面とライナの裏側との間に熱搬送不
活性ガスを循環させて、この処理チャンバからコンスタ
ントに引き出される熱搬送不活性ガスによって処理チャ
ンバ内の減圧された圧力を維持することができる。
【0030】本発明の好適な実施形態では、半導体基板
の処理中又は処理チャンバから基板を取り出した後の洗
浄処理中に使われるプラズマは、この処理チャンバの外
部で生成されて、少なくともハロゲン含有材料からなる
内面を有する導管を通して処理チャンバ内に供給され
る。一般に外部の発生源から活性プラズマを搬送するた
めに使われる導管は、ほぼ常温で作動する。導管材料を
構成するハロゲン含有材料は、導管内部を通って供給さ
れる活性種を考慮して選択することが望ましい。少なく
とも導管の内側表面用として有用な材料の例としては、
ポリテトラフルオロエチレン(PTFE)、ポリクロロ
トリフルオロエチレン(PCTFE)、パーフルオロア
ルコキシ(PFA)、フッ素化エチレンプロピレン(F
EP)、エチレンテトラフルオロエチレン(ETF
E)、エチレンクロロトリフルオロエチレン(ECTF
E)、塩素化ポリ塩化ビニール(CPVC)、ポリ塩化
ビニリデン(PVDC)、ポリフッ化ビニリデン(PV
DF)などといった一般に塩素作業或いはフッ素作業に
適した材料が挙げられる。
【0031】以下に説明する好適な実施形態について
は、当業者は本明細書に開示された概念を、本発明の特
許請求の範囲に拡張可能であるから、これらの好適な実
施形態は本発明に対する限定を意図するものではなく、
説明目的に用いられるものである。
【0032】iH4−O2プラズマ内でのシリカ被膜の
堆積速度は、上昇温度(二酸化シリコンの形成に必要な
温度より高い)がシリカ被膜の堆積速度を減少させるよ
うに働くといったように、温度に依存するということが
観察されている。また、チャンバの壁面からシリカ被膜
をフッ素プラズマが洗浄する速度は、シリカ被膜を除去
すべき表面の温度の上昇に伴って増加することも観察さ
れている。このように、表面温度の制御によって堆積を
減少させること又は堆積を防止することが可能であり、
或いは、堆積物の分解速度及び表面からの堆積物の除去
速度を増加させることが可能である。
【0033】本実施形態では、周囲の処理チャンバ表面
にシリカ被膜が堆積するのを防止しながら、半導体基板
表面にシリカ被膜を生成することが望まれている。
【0034】図1は、プラズマにより促進されたCVD
による被膜堆積が行われるこの種の半導体処理チャンバ
100の下部部分の概略図を示す。処理チャンバ100
は、処理中に半導体基板(図示せず)を収容する静電チ
ャック/サセプタ114を備えている。処理チャンバの
壁101は、CVDによって生成される被膜の堆積を受
けやすい。更に、処理チャンバの壁101は、アルミニ
ウム合金で構成されているのが一般で、プラズマにより
促進される処理で生成される活性種の多くと反応する可
能性がある。処理チャンバのアルミニウム合金壁101
をCVD材料、スパッタリング材料などによる被膜から
保護するために、温度制御付きセラミックライナ102
が使われる。本説明図では、温度制御付きセラミックラ
イナ102は2つの部分、上部部分104と下部部分1
06とからできている。上部部分104には埋め込み型
抵抗ヒータ110があり、下部部分106には埋め込み
型抵抗ヒータ108がある。上部部分104にはまた上
部部分104の温度検出を可能にする熱電対116があ
り、下部部分106にはまた下部部分106の温度検出
を可能にする熱電対118がある。各熱電対116と1
18は、比例積分微分(PID)ループを備えた市販の
SCR(シリコン制御整流器)制御装置のような制御装
置(図示せず)に接続されている。セラミックライナ1
02のうち、上部部分104のための制御装置は上部部
分の温度を制御するために使われ、一方、セラミックラ
イナ102のうち、下部部分106のための制御装置は
セラミックライナ102の下部部分106の温度を制御
するために独立に使われる。これによって、CVD処理
或いはスパッタリング処理中に被膜堆積物の所望の減少
をもたらす温度で、セラミックライナ102の各部を動
作させることが可能になる。更に、温度制御付きセラミ
ックライナ102は、リアクタのアルミニウム合金壁の
表面をプラズマにより促進される処理から完全には隔離
していないが、ライナの内側で処理される基板と処理チ
ャンバの壁面に形成される微粒子との間で部分的障壁と
して働いて、処理チャンバ壁面が腐食性プラズマと接触
するのを減らしている。更に、処理チャンバから基板を
取り出した後で、被覆材料或いはスパッタリング材料を
除去するための洗浄作業のとき、ライナの所定の部分か
らの除去速度を制御することができる。
【0035】半導体基板の処理中の処理チャンバ表面に
堆積するシリカその他の堆積物を減らしたり或いは防い
だりすることに関して、下記の例が適合する。
【0036】半導体基板表面の酸化シリコン被膜を形成
する、プラズマにより促進されるCVD処理において、
温度制御付きセラミックライナ102の下部部分106
の表面上のシリカの堆積速度が上部部分104の表面上
の堆積速度よりも遅いときは、下部部分106の温度は
上部部分104の温度より低く設定される。
【0037】例えば、カリフォルニア州サンタクララの
アプライドマテリアルズ社から市販されているHDP
CVD Centura(登録商標)リアクタでは、典
型的な酸化シリコン被膜が適用された。プラズマにより
促進されるCVD処理の処理条件は、約0.01〜約1
00ミリトルの圧力と約0〜約500Vの自己バイアス
電圧を採用し、2.0MHz、約2500WのRF生成
プラズマからなっていた。半導体基板の表面温度が約2
00℃〜約400℃の場合、Ar又はKrのキャリアガ
スの流量約100sccmと合わせて、ガス流量は、
iH4 についは約50scc、O2 については100
sccmであった。
【0038】図1に示すように、処理チャンバ100内
に配置された温度制御付きセラミックライナ102の下
部部分106の温度は約150℃に設定されたが、上部
部分104の温度は約120℃に設定された。温度制御
付きライナ102が存在しない場合、処理チャンバ10
0の下部アルミニウム表面103上におけるシリカの成
長予想値は一般に、直径8インチのシリコンウェハ4枚
の8000オングストロームの処理につき、約4000
オングストロームから約6000オングストロームの間
であった。温度制御付きライナ102が所定位置にあっ
てライナの各部分が上記の特定温度に設定された場合、
下部アルミニウム表面103上におけるシリカの成長予
想値は同一の堆積条件の下で、約3000オングストロ
ーム未満であることが基本的であった。
【0039】セラミックライナ102の上部部分104
は、セラミックライナ102の下部部分106に、セメ
ントで接合又はろう付けで接合してもよい(図示せ
ず)。
【0040】温度制御付きセラミックライナ又はセラミ
ック障壁とそれによって保護される処理チャンバ表面と
の間の温度差によっては、ライナ又は障壁と処理チャン
バ表面との間に間隔をおくことが望ましい場合がある。
基本的に、温度制御付きセラミックライナ102は、ラ
イナとアルミニウム合金処理チャンバの壁面との間の間
隔が約0.5mmになるように配置されている。しかし
ながら、プラズマ処理で使われる減じられた圧力と約3
00℃未満の温度では、過度の接触を避けるためのライ
ナと処理チャンバ壁面との間の間隔は、一般には幾らで
あっても十分である。例えば、図2を参照すると、処理
チャンバのアルミニウム壁101の表面205と温度制
御付きセラミックライナ102の上部部分104の裏面
207との間の絶縁間隔202は約0.5mmで十分で
ある(図は比例尺でない)。図2はまた、下部アルミニ
ウム表面103と温度制御付きセラミックライナ102
の下部部分106の下側表面209との間の間隔206
をも示している。静電チャック114とセラミックライ
ナ102の下部部分106との間の204のような追加
の間隔、及びノズル123とセラミックライナ102の
下部部分106との間の間隔208は、処理チャンバ内
のこれらの素子間での熱伝達の防止を助けている。
【0041】洗浄すべき素子を、埋め込み型の加熱素子
を有するセラミックから作製することができ、その素子
自身の温度が素子の表面からの堆積物の除去を促進する
ように洗浄処理の時に調節できるという事例も幾つかあ
る。かかる良好な例として、窒化アルミニウムで作られ
ていて埋め込み型加熱素子を有する静電チャックがあ
る。プラズマにより促進される洗浄処理のとき、静電チ
ャックの温度は、除去すべき堆積物の最適反応性を与え
る温度に調節することができる。
【0042】チャンバから半導体基板を取り出した後で
の半導体処理チャンバ100の洗浄に関しては、下記の
例が適用される。
【0043】図3は、HDP CVD Centura
(登録商標)リアクタ内の高温プレート上に置かれたシ
リカ被覆の試験片からシリカ堆積物を洗浄又は除去する
速度のグラフ図を、異なる2組の処理条件について示し
たものである。これらデータには、毎分除去される堆積
物の厚さと洗浄処理が行われる温度との間の温度依存関
係が示されている。
【0044】図3の直線302で示される第1の組の条
件の下では、約3.8トルの圧力の下でNF3 のガス
流量を約1000sccmAr2のガス流量を100
sccmとし、外部の1400Wマイクロ波発生源を使
って、プラズマ活性種を生成した。それから、外部で生
成したプラズマ活性種は、テトラフルオロエチレンの導
管を通ってリアクタの真空チャンバへ行き、高温プレー
トに(熱伝達化合物を用いて)取り付けられた約1cm
角のシリカ被覆の(サーモックス被覆の)シリコンウェ
ハチップの真上、最上部中心に配置された入口ポートに
入る。プラズマ入口ノズル表面と試験片との間の間隔
は、約6インチ(15.2cm)であった。
【0045】直線302で示すようにNF3 から生成
された外部生成のマイクロ波活性種を使って、試験片表
面からシリカ被膜を除去する除去速度(洗浄速度)
“R”を表す方程式は、 R = 166 e -2109/T μ/min のようになる。ここで、Tは絶対温度°Kである。この
ように、洗浄速度に関しては、約65℃で毎分約0.3
2μの厚さの堆積物が除去され、約150℃では1.1
μ/minが除去され、約200℃では約1.9μ/m
inが除去され、約250℃では約2.9μ/minが
除去された。
【0046】アルミニウム合金処理チャンバの表面から
すべての堆積物を除去する目的がある場合NF3の局
所化されたイオン衝撃によるプラズマ活性種が生成する
ことによって、アルミニウム合金の露出表面にフッ素が
打ち込まれることが基本的である。これによりlFx
が形成されるようになる。処理チャンバの湿式洗浄を行
いながら速やかに剥がれ落ちる薄い膜を形成するもの
は、lFxであるNF3から生成された外部生成マイ
クロ波活性種は、上述の有害な副作用を起こさずに、除
去すべき堆積物の表面と反応するために利用可能な半導
体処理用チャンバに入る。
【0047】図3に直線304で示すように、第2の組
の条件の下では、約3.8トルの圧力の下、C2F6の
ガス流量を約200sccmと、O2のガス流量を5
5sccmとして、約2MHz、約3500WのRFを
用いる高密度誘導結合プラズマから、高密度プラズマ活
性種を半導体処理用チャンバそれ自身の中で生成した。
直線304で示すように、シリカ堆積物の除去速度(洗
浄速度)“R”は、下記の通りであった。すなわち、 R = 16.1 e -2466/T μ/min となった。ここでTは絶対温度°Kである。このように
洗浄速度に関しては、約250℃で毎分約0.14μの
厚さの堆積物が除去され、約300℃では0.22μ/
minが除去され、約350℃では約0.32μ/mi
nが除去され、約400℃では約0.429μ/min
が除去された。
【0048】当業者にとっては、他のプラズマ活性種に
ついても同様な温度関係を決定することが可能であり、
またその関係は温度制御付きセラミックライナ部の温度
制御装置に入力可能であり、特定の状況下で所定の処理
チャンバのために望ましい適当な洗浄速度を得ることが
できる。
【0049】上述のように、半導体処理チャンバの内面
を洗浄するために使われるプラズマ活性種は、チャンバ
それ自身の中で生成可能であり、或いはまた、外部でも
生成可能である。外部での生成は、リアクタチャンバの
壁面に損傷を与える可能性を減らすだけでなく、種々の
場所に配置された処理チャンバ内で多数点でのプラズマ
導入を可能にするので、特別の注意を必要とする特定の
処理チャンバ表面にプラズマを向けることができる。反
応種は、一旦、堆積チャンバ内に入っても、所望によ
り、局所的な活性化源によって更に励起することもでき
る。
【0050】離れたところで生成するプラズマにとって
は、処理チャンバに取り付けたり、或いはチャンバ内に
配置したりする、嵩張った(bulky)プラズマ生成用組立
品を持つ必要がないので、処理装置操作上の利点もあ
る。
【0051】離れた場所で生成されるプラズマ活性種の
ために多数の供給箇所を使うことに関して、図1と図2
は、半導体基板の処理の時に、CVD材料を半導体処理
チャンバ100の中に供給するために使われるノズル1
24を示している。温度制御付きセラミックライナ10
2の背後にあるアルミニウム壁101上にCVD材料が
成長する可能性を減らすために、ノズル124は、延長
可能であることが望ましい。延長可能なノズル124
は、温度制御付きセラミックライナ102の洗浄時にプ
ラズマ活性化された洗浄種を、処理チャンバ100内に
供給するためにも使うことができる。追加のノズル12
3は、プラズマ活性化された洗浄種を処理チャンバ10
0内に指向性をもって供給するために使われる。
【0052】この追加のノズル123は、図1に示すよ
うに、処理チャンバ100内で種々の高さや角度に合わ
せられるように延長可能で且つ回転可能であることが望
ましく、ここで、矢印132は延長できるという機能を
示し、矢印134は回転できるという機能を示す。ノズ
ル123を延長したり回転したりする手段(図示せず)
は、図1に示す洗浄ガス(プラズマ活性化洗浄種)の導
管121aと121bの下方に配置されている。導管1
21aと121bはそれぞれ、ノズル123が延長され
ていない時には停止面128上に静止する外面に配置さ
れた環状の肩部126を持っている。停止面128は、
処理チャンバ100の下部アルミニウム表面103内の
環状の凹部127の中に納まる。各環状の肩部126と
停止面128との間の環状シール部130は、堆積チャ
ンバの減圧した圧力と処理チャンバ100の外の周囲圧
力との圧力差を維持するのを助けている。
【0053】導管121aと121bの延長及び回転を
行う手段は、本明細書に図示されていないが、当業者に
とっては、導管121a、121bに延長動作と回転動
作を伝達する市販のモータ駆動の機械装置を得ることが
可能である。このモータ駆動の機械装置は、除去すべき
堆積物の堆積量と処理チャンバ内のその位置とに関係す
るアルゴリズムに基づいてコンピュータ制御することも
可能である。
【0054】プラズマ活性種の流量と、温度制御付きセ
ラミックライナ又はセラミック障壁の温度と、プラズマ
活性化洗浄種が流れるノズルの延長及び回転とはすべ
て、処理チャンバ100からの堆積物除去を最適化する
ように調整され、且つ、コンピュータ制御されることが
望ましい。
【0055】図4(a)と図4(b)は、外部で生成さ
れたプラズマ活性種を半導体処理チャンバ100内に供
給するために使われる導管120a,120bと組み合
わせて使うことのできる幾つかの可能なノズル設計図を
示したものである。図4(a)を参照すると、活性種を
含む洗浄ガスは、ノズル400の導管部分404の軸4
02に関して45°の角度に配置されている偏向面40
6で偏向される。ノズル400の導管部分404を通る
洗浄ガスは、矢印の流れによって示されるように、偏向
器の軸402に垂直な別の方向408に流れるように方
向を変えられる。このような態様では、通常は到達が難
しい半導体処理チャンバ100内の領域にも、活性種を
差し向けて集束させることができる。図4(b)を参照
すると、偏向器ノズル429の導管部分424を通る洗
浄ガスは、両方とも偏向器ノズル軸422に垂直であっ
て互いに相反する2方向426,428に方向を変えら
れる。このような態様では、追加の領域も同時に、洗浄
ガスとそのガスに含まれる活性種とに曝すことができ
る。
【0056】ハロゲン含有プラズマ活性種を、種々の導
管を通して外部の発生源から半導体処理チャンバに搬送
することは、処理チャンバ内の所望の領域に到達する前
に活性種が失活する可能性があるという不都合をもたら
す。例えば、実験的な測定によれば、流量1000sc
cm、温度約50℃、圧力約2トルで、内径約1.5イ
ンチ(3.8cm)のステンレス鋼の導管(管類)内を
直線的に1cm移動する毎にNF3 から生成された外
部生成のマイクロ波活性種の活動度(activity)の約5〜
10%が失われるということが示されている。同様な測
定で、活性種がアルミニウムの管類を通るとき、他のす
べてが一定に維持されているとして、直線的に1cm移
動する毎に活動度の約0.13%が失われるということ
が示されている。テトラフルオロエチレン(TFE)の
管類を使った場合は、直線的に1cm移動する毎に活動
度の約0.04%が失われるだけである。このように、
活性種が半導体基板の処理チャンバに移動していく時に
通る導管の内面の目的に合う適切な材料を選ぶことが重
要である。
【0057】当業者はこの開示を考慮して、上述した特
許請求の範囲の発明の要旨に適合するように上記実施形
態を拡張することができるから、上述の好適な実施形態
は本発明の範囲を限定していると意図するものではな
い。
【図面の簡単な説明】
【図1】チャンバ内の所定位置に温度制御付きセラミッ
クライナを有する半導体プラズマ処理チャンバを示す図
である。
【図2】温度制御付きセラミックライナと処理チャンバ
の温度伝導性の壁面からの間隔とを強調した、図1のプ
ラズマ処理チャンバを示す概略図である。
【図3】温度制御付きライナ又は障壁の表面温度とライ
ナ又は障壁の表面からのシリカ被膜堆積物の除去速度
(洗浄速度)との関係を示すグラフ図である。
【図4】プラズマ分配ノズルとプラズマ源から洗浄ノズ
ルに導く導管とについての一般的な設計図である。洗浄
ノズルは、洗浄すべき表面の方にプラズマ活性種を向け
ることができる。これらのノズルとこれらのノズルに導
く導管とは、導管とノズルとを通過するプラズマの活性
種が減少するのを避けるため、ハロゲン含有材料で構成
するか、ハロゲン含有材料でライニング内張りすること
が望ましい。
【符号の説明】
100…半導体処理チャンバ、101…処理チャンバ
壁、102…セラミックライナ、103…下部アルミニ
ウム表面、104…上部部分、106…下部部分、10
8,110…埋め込み型抵抗ヒータ、114…静電チャ
ック、116,118…熱電対、120a,b,121
a,b…導管、123…ノズル、126…肩部、127
…凹部、130…環状シール部、132,134…矢
印、202…絶縁間隔、204…追加の間隔、205…
表面、206,208…間隔、207…裏面、209…
下側表面、302,304…直線、400…ノズル、4
02…軸、404,424…導管部分、406…偏向
面、422…ノズル軸。
フロントページの続き (72)発明者 フレッド シー. レデカー アメリカ合衆国, カリフォルニア州, フリーモント, スー ドライヴ 1801

Claims (36)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ含有処理中に半導体処理チャン
    バ表面に隣接して使用される温度制御付きのセラミック
    ライナ又はセラミック障壁において、 前記セラミックライナ又は前記セラミック障壁の温度
    が、前記処理チャンバ内での半導体基板の処理中に、前
    記セラミックライナ又は前記セラミック障壁上の堆積物
    の形成を減らすように、或いは前記ライナ若しくは前記
    障壁からの堆積物の除去を促進するように、制御される
    ことを特徴とする温度制御付きセラミックライナ又はセ
    ラミック障壁。
  2. 【請求項2】 前記セラミックライナ又は前記セラミッ
    ク障壁の構成材料が、下記の式、 NaYb によって表され、Nがホウ素、アルミニウム、シリコ
    ン、チタン、ジルコニウム又はクロムを含み、Yが酸
    素、窒素又は炭素を含む群の中から選ばれるものである
    ことを特徴とする請求項1に記載の温度制御付きセラミ
    ックライナ又はセラミック障壁。
  3. 【請求項3】 aが1〜2の整数であって、bが1〜3
    の整数であることを特徴とする請求項2に記載の温度制
    御付きセラミックライナ又はセラミック障壁。
  4. 【請求項4】 前記構成材料が、所定の前記式によりそ
    れぞれが表される材料の混合物を備えていることを特徴
    とする請求項2に記載の温度制御付きセラミックライ
    ナ。
  5. 【請求項5】 前記構成材料が、所定の前記式によりそ
    れぞれが表される材料の混合物を備えていることを特徴
    とする請求項3に記載の温度制御付きセラミックライ
    ナ。
  6. 【請求項6】 前記ライナ又は前記障壁が、独立に制御
    可能な温度設定を行う複数の部分からなることを特徴と
    する請求項1に記載の温度制御付きセラミックライナ又
    はセラミック障壁。
  7. 【請求項7】 半導体処理チャンバの表面に隣接して、
    前記処理チャンバからの半導体加工物又は半導体基板の
    取り出しに続いた前記処理チャンバの洗浄中に使用され
    る温度制御付きセラミックライナ又はセラミック障壁に
    おいて、前記セラミックライナ又は前記セラミック障壁
    の温度が、前記ライナ又は前記障壁の表面からの堆積物
    の除去を促進するように、制御されることを特徴とする
    温度制御付きセラミックライナ又はセラミック障壁。
  8. 【請求項8】 前記セラミックライナ又は前記セラミッ
    ク障壁の構成材料が、下記の式、 NaYb によって表され、Nがホウ素、アルミニウム、シリコ
    ン、チタン、ジルコニウム又はクロムを含み、Yが酸
    素、窒素又は炭素を含む群の中から選ばれるものである
    ことを特徴とする請求項5に記載の温度制御付きセラミ
    ックライナ又はセラミック障壁。
  9. 【請求項9】 aが1〜2の整数であって、bが1〜3
    の整数であることを特徴とする請求項8に記載の温度制
    御付きセラミックライナ又はセラミック障壁。
  10. 【請求項10】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項8に記載の温度制御付きセラミックライ
    ナ。
  11. 【請求項11】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項9に記載の温度制御付きセラミックライ
    ナ。
  12. 【請求項12】 前記ライナ又は前記障壁が、独立に制
    御可能な温度設定を行う複数の部分からなることを特徴
    とする請求項7に記載の温度制御付きセラミックライナ
    又はセラミック障壁。
  13. 【請求項13】 セラミック表面を備えると共に、前記
    セラミック表面の温度を制御する手段を有する半導体処
    理装置において、 前記セラミック表面の温度が、半導体基板の処理中に、
    前記セラミック表面上の堆積物の形成を減らすように、
    或いは前記セラミック表面からの堆積物の除去を促進す
    るように、制御されることを特徴とする半導体処理装
    置。
  14. 【請求項14】 温度制御される前記セラミック表面の
    構成材料が、下記の式、 NaYb によって表され、Nがホウ素、アルミニウム、シリコ
    ン、チタン、ジルコニウム又はクロムを含み、Yが酸
    素、窒素又は炭素を含む群の中から選ばれるものである
    ことを特徴とする請求項13に記載の半導体処理装置。
  15. 【請求項15】 aが1〜2の整数であって、bが1〜
    3の整数であることを特徴とする請求項14に記載の半
    導体処理装置。
  16. 【請求項16】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項14に記載の半導体処理装置。
  17. 【請求項17】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項15に記載の半導体処理装置。
  18. 【請求項18】 温度制御される前記セラミック表面
    が、独立に制御可能な温度設定を行う複数の部分からな
    ることを特徴とする請求項13に記載の半導体処理装
    置。
  19. 【請求項19】 セラミック表面を備えると共に、前記
    セラミック表面の温度を制御する手段を有する半導体処
    理装置において、 前記セラミック表面の温度が、洗浄中に前記セラミック
    表面から堆積物の除去を促進するように制御されること
    を特徴とする半導体処理装置。
  20. 【請求項20】 温度制御される前記セラミック表面の
    構成材料が、下記の式、 NaYb によって表され、Nがホウ素、アルミニウム、シリコ
    ン、チタン、ジルコニウム又はクロムを含み、Yが酸
    素、窒素又は炭素を含む群の中から選ばれるものである
    ことを特徴とする請求項19に記載の半導体処理装置。
  21. 【請求項21】 aが1〜2の整数であって、bが1〜
    3の整数であることを特徴とする請求項20に記載の半
    導体処理装置。
  22. 【請求項22】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項20に記載の半導体処理装置。
  23. 【請求項23】 前記構成材料が、所定の前記式により
    それぞれが表される材料の混合物を備えていることを特
    徴とする請求項21に記載の半導体処理装置。
  24. 【請求項24】 温度制御される前記セラミック表面
    が、独立に制御可能な温度設定を行う複数の部分からな
    ることを特徴とする請求項19に記載の半導体処理装
    置。
  25. 【請求項25】 プラズマにより促進される堆積処理の
    方法において、 請求項1に記載の前記温度制御付きセラミックライナ又
    はセラミック障壁を使って、前記処理中に前記セラミッ
    クライナの上の堆積物の形成を制御若しくは低減した
    り、或いは前記セラミックライナからの堆積物除去を促
    進したりすることが達成されることを特徴とする方法。
  26. 【請求項26】 前記プラズマが、前記堆積処理から離
    れた場所で生成されることを特徴とする請求項25に記
    載の方法。
  27. 【請求項27】 プラズマにより促進される洗浄処理の
    方法において、 請求項5に記載の温度制御付きセラミックライナ又はセ
    ラミック障壁を使って、前記セラミックライナ又は前記
    セラミック障壁から堆積物を除去することを特徴とする
    方法。
  28. 【請求項28】 前記プラズマが、前記洗浄処理から離
    れた場所で生成されることを特徴とする請求項27に記
    載の方法。
  29. 【請求項29】 セラミック表面を備えた半導体処理装
    置をプラズマにより促進される洗浄を行う方法におい
    て、 a)前記セラミック表面の温度を制御する手段を設け、 b)前記セラミック表面からの堆積物除去を促進をする
    ように前記セラミック表面の温度を制御する、ことを備
    えることを特徴とする方法。
  30. 【請求項30】 前記温度制御付きセラミック表面が、
    汚染物質の除去を最適化するように制御される複数の部
    分からなることを特徴とする請求項29に記載の方法。
  31. 【請求項31】 プラズマにより促進される前記洗浄で
    使用されるプラズマを、前記洗浄処理から離れた場所で
    生成することを特徴とする請求項30に記載の方法。
  32. 【請求項32】 ハロゲンを備えるプラズマ活性種の輸
    送に適した導管において、 少なくとも前記反応種に接触する前記導管の内部表面が
    少なくともハロゲン含有材料を備えることを特徴とする
    導管。
  33. 【請求項33】 前記内部表面に存在するハロゲンが、
    前記導管の前記内部を通って供給されるべき活性種との
    適合性に基づいて選択されることを特徴とする、請求項
    32に記載の導管。
  34. 【請求項34】 プラズマ活性化活性種の発生源が、プ
    ラズマ活性種の使用される処理チャンバから離れている
    プラズマ活性化の方法において、 前記発生源から前記処理チャンバに前記プラズマ活性種
    を輸送するために適した導管の少なくとも内部表面が、
    ハロゲン含有材料を備えていることを特徴とする方法。
  35. 【請求項35】 前記ハロゲン含有材料が、前記導管の
    前記内部を通って供給されるべき活性種との適合性に基
    づいて選択されることを特徴とする請求項34に記載の
    方法。
  36. 【請求項36】 前記プラズマ活性種がフッ素を備えて
    いるときは、テトラフルオロエチレンを備えていること
    を特徴とする請求項34に記載の方法。
JP9153946A 1996-06-11 1997-06-11 半導体処理チャンバ表面を洗浄する装置及び方法 Pending JPH1070112A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/661842 1996-06-11
US08/661,842 US5788799A (en) 1996-06-11 1996-06-11 Apparatus and method for cleaning of semiconductor process chamber surfaces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008097444A Division JP2008252099A (ja) 1996-06-11 2008-04-03 半導体処理チャンバ表面を洗浄する装置及び方法

Publications (1)

Publication Number Publication Date
JPH1070112A true JPH1070112A (ja) 1998-03-10

Family

ID=24655334

Family Applications (2)

Application Number Title Priority Date Filing Date
JP9153946A Pending JPH1070112A (ja) 1996-06-11 1997-06-11 半導体処理チャンバ表面を洗浄する装置及び方法
JP2008097444A Pending JP2008252099A (ja) 1996-06-11 2008-04-03 半導体処理チャンバ表面を洗浄する装置及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008097444A Pending JP2008252099A (ja) 1996-06-11 2008-04-03 半導体処理チャンバ表面を洗浄する装置及び方法

Country Status (2)

Country Link
US (1) US5788799A (ja)
JP (2) JPH1070112A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203195A (ja) * 1999-11-09 2001-07-27 Axcelis Technologies Inc プラズマ処理装置、温度制御装置及びその制御方法
JP2002520811A (ja) * 1998-07-03 2002-07-09 アプライド マテリアルズ インコーポレイテッド プラズマ処理用2重スリット弁ドア
JP2003007674A (ja) * 2001-06-19 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2003529928A (ja) * 2000-03-30 2003-10-07 ラム リサーチ コーポレーション プラズマ分離型絶縁膜エッチャにおける強化されたレジスト剥離
US7166965B2 (en) 2002-10-31 2007-01-23 Applied Materials, Inc. Waveguide and microwave ion source equipped with the waveguide
JP2007520059A (ja) * 2003-12-22 2007-07-19 ラム リサーチ コーポレーション 基板処理方法、プラズマ室および半導体デバイス
JP2012519235A (ja) * 2009-02-27 2012-08-23 ケンブリッジ ナノテック インコーポレイテッド Aldシステムおよび方法
JP2016536797A (ja) * 2013-08-30 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 冷却された真空閉じ込め容器を備えるホットウォールリアクタ

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
JP4641569B2 (ja) * 1998-07-24 2011-03-02 日本碍子株式会社 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設および半導体保持装置
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6318381B1 (en) 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6706542B1 (en) * 2000-01-07 2004-03-16 Triquint Technology Holding Co. Application of InAIAs double-layer to block dopant out-diffusion in III-V device Fabrication
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7011039B1 (en) * 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100887014B1 (ko) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP2002243898A (ja) * 2001-02-13 2002-08-28 Ebara Corp ビーム取り出し装置
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
KR20030090650A (ko) * 2001-02-26 2003-11-28 어낵시스 발처스 악티엔게젤샤프트 부품 제조 방법 및 진공 처리 시스템
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003021644A1 (en) * 2001-08-28 2003-03-13 Hyundai Semiconductor America, Inc. Chamber shields for a plasma chamber
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TWI245329B (en) * 2001-11-14 2005-12-11 Anelva Corp Heating element CVD device and heating element CVD method using the same
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
WO2004020694A1 (ja) * 2002-08-30 2004-03-11 Tokyo Electron Limited 基板処理装置及び基板処理装置のクリーニング方法
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR100479977B1 (ko) * 2002-12-30 2005-03-31 동부아남반도체 주식회사 반도체 금속 라인 식각 방법
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
KR100864668B1 (ko) * 2003-05-23 2008-10-23 이구루코교 가부시기가이샤 반도체 제조장치 및 그 가열유닛
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7097714B2 (en) * 2003-09-17 2006-08-29 Intersil Americas Inc. Particulate removal from an electrostatic chuck
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP4312063B2 (ja) * 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
KR100886029B1 (ko) * 2004-01-28 2009-02-26 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 처리실 청정화 방법, 기판 처리 장치 및기판 처리 방법
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7241475B2 (en) * 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
JP2006140492A (ja) * 2004-11-11 2006-06-01 Samsung Electronics Co Ltd 半導体素子製造に使用される乾式クリーニング装置
CA2597623C (en) 2005-02-28 2015-07-14 Epispeed S.A. System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7938931B2 (en) * 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
CN101165868B (zh) * 2006-10-20 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理室的内衬及包含该内衬的晶片处理室
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
US9623449B2 (en) * 2012-04-09 2017-04-18 Lam Research Corporation Dielectric window cleaning apparatuses
KR102036325B1 (ko) * 2013-02-13 2019-10-25 삼성디스플레이 주식회사 방착 유니트를 가지는 박막 증착 장치와, 이의 증착물을 제거하는 방법
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6151605B2 (ja) * 2013-08-14 2017-06-21 株式会社ディスコ プラズマエッチング装置
KR20160111521A (ko) 2014-01-27 2016-09-26 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 시스템을 위한 복합 반경들을 갖는 유지 포켓들을 구비한 웨이퍼 캐리어
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
US10522330B2 (en) * 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
JP7285761B2 (ja) * 2019-11-06 2023-06-02 東京エレクトロン株式会社 処理方法
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5978529A (ja) * 1982-10-28 1984-05-07 Toshiba Ceramics Co Ltd 半導体製造用炭化珪素質材料
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5252892A (en) * 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JP2545154B2 (ja) * 1990-06-04 1996-10-16 松下電器産業株式会社 コンタクト構造の形成方法
JP2949874B2 (ja) * 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
JPH06140342A (ja) * 1992-10-28 1994-05-20 Ulvac Japan Ltd プラズマcvd装置のクリーニング方法
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5501740A (en) * 1993-06-04 1996-03-26 Applied Science And Technology, Inc. Microwave plasma reactor
EP0648861A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
US5472509A (en) * 1993-11-30 1995-12-05 Neomecs Incorporated Gas plasma apparatus with movable film liners
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3077516B2 (ja) * 1994-07-15 2000-08-14 住友金属工業株式会社 プラズマ処理装置
JPH08133840A (ja) * 1994-10-31 1996-05-28 Kyocera Corp 半導体製造装置用セラミックス

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002520811A (ja) * 1998-07-03 2002-07-09 アプライド マテリアルズ インコーポレイテッド プラズマ処理用2重スリット弁ドア
JP4713737B2 (ja) * 1998-07-03 2011-06-29 アプライド マテリアルズ インコーポレイテッド プラズマ処理用2重スリット弁ドア
JP2001203195A (ja) * 1999-11-09 2001-07-27 Axcelis Technologies Inc プラズマ処理装置、温度制御装置及びその制御方法
JP2003529928A (ja) * 2000-03-30 2003-10-07 ラム リサーチ コーポレーション プラズマ分離型絶縁膜エッチャにおける強化されたレジスト剥離
JP4860087B2 (ja) * 2000-03-30 2012-01-25 ラム リサーチ コーポレーション エッチング方法
JP2003007674A (ja) * 2001-06-19 2003-01-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7166965B2 (en) 2002-10-31 2007-01-23 Applied Materials, Inc. Waveguide and microwave ion source equipped with the waveguide
JP2007520059A (ja) * 2003-12-22 2007-07-19 ラム リサーチ コーポレーション 基板処理方法、プラズマ室および半導体デバイス
KR101211446B1 (ko) * 2003-12-22 2012-12-12 램 리써치 코포레이션 고온의 내부 표면을 갖는 소부피 프로세스 챔버
JP2012519235A (ja) * 2009-02-27 2012-08-23 ケンブリッジ ナノテック インコーポレイテッド Aldシステムおよび方法
US9777371B2 (en) 2009-02-27 2017-10-03 Ultratech, Inc. ALD systems and methods
JP2016536797A (ja) * 2013-08-30 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 冷却された真空閉じ込め容器を備えるホットウォールリアクタ
JP2019068105A (ja) * 2013-08-30 2019-04-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 冷却された真空閉じ込め容器を備えるホットウォールリアクタ

Also Published As

Publication number Publication date
JP2008252099A (ja) 2008-10-16
US5788799A (en) 1998-08-04

Similar Documents

Publication Publication Date Title
JPH1070112A (ja) 半導体処理チャンバ表面を洗浄する装置及び方法
KR101024891B1 (ko) 자기 세정을 수행하는 플라즈마 cvd 장치 및 자기 세정 방법
JP4960340B2 (ja) 低減されたポリマー堆積特性を有するプラズマ閉じ込めリング組立体
JP6261974B2 (ja) 基板処理構成部品からの残留物の除去
US5798016A (en) Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
US6482331B2 (en) Method for preventing contamination in a plasma process chamber
EP0671756B1 (en) Plasma processing apparatus employing a textured focus ring
TWI445081B (zh) 用於含矽薄膜的平滑SiConi蝕刻法
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
EP0628985B1 (en) Control of particle generation within a reaction chamber
US20040137745A1 (en) Method and apparatus for removing backside edge polymer
KR100727733B1 (ko) 성막 장치
KR20080099180A (ko) 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 포토레지스트제거를 위한 프로세스
JPH1096082A (ja) 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
US20070062647A1 (en) Method and apparatus for isolative substrate edge area processing
JP2004523894A (ja) 低汚染プラズマチャンバ構成部品とその製造方法
KR20090026186A (ko) 성막 방법, 클리닝 방법 및 성막 장치
JP4237833B2 (ja) プロセスチャンバクリーニング中にセラミックウエハを用いてサセプタを保護する方法及び装置
JPH07312363A (ja) プラズマエッチング装置におけるコンタミナント捕獲用コーティング層の形成方法および副次生成粒子の付着蓄積制御方法、並びにコンタミナント捕獲コーティングを有したプラズマエッチング装置
KR20080071525A (ko) 웨이퍼 후면 폴리머 제거와 웨이퍼 전면 제거제 플라즈마를위한 프로세스
JP2961000B2 (ja) 反応装置の自己洗浄方法
TWI806140B (zh) 用於選擇性乾式蝕刻氧化鎵的方法
US6564810B1 (en) Cleaning of semiconductor processing chambers
US6749717B1 (en) Device for in-situ cleaning of an inductively-coupled plasma chambers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070424

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070720

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070725

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070823

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070828

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080208

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080321

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080403

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080512

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080624

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081016

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081029

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100809

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100812

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100813

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210