TWI407511B - 使用次要處理工廠進行快速傳導冷卻的方法與設備 - Google Patents

使用次要處理工廠進行快速傳導冷卻的方法與設備 Download PDF

Info

Publication number
TWI407511B
TWI407511B TW102102343A TW102102343A TWI407511B TW I407511 B TWI407511 B TW I407511B TW 102102343 A TW102102343 A TW 102102343A TW 102102343 A TW102102343 A TW 102102343A TW I407511 B TWI407511 B TW I407511B
Authority
TW
Taiwan
Prior art keywords
substrate
temperature
cooling
chamber
heating
Prior art date
Application number
TW102102343A
Other languages
English (en)
Other versions
TW201322338A (zh
Inventor
Khurshed Sorabji
Alexander N Lerner
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201322338A publication Critical patent/TW201322338A/zh
Application granted granted Critical
Publication of TWI407511B publication Critical patent/TWI407511B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Furnace Details (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

使用次要處理工廠進行快速傳導冷卻的方法與設備
本發明之實施例主要係關於一種處理半導體基材的方法和設備。更具體地,係關於一種熱處理半導體基材的方法和設備。
積體電路已經發展成可在單一晶片上包含數百萬個電晶體、電容器和電阻器的複雜元件。晶片設計的發展不斷需要更快的電路系統和更高的電路密度,這需要精確度不斷提高的製程。現今常採用的一種製程方法是離子佈植法(ion implantation)。
離子佈植法特別有用於在半導體基材上形成電晶體結構且可在晶片製造期間重復多次。在離子佈植期間,半導體基材(一般包括矽材料與/或含矽膜)受到帶電離子束(通常稱為摻雜物)的轟擊。離子佈植可改變材料的特性,其中將摻雜物植入該材料中從而獲得特定程度的電學性能。可透過控制投射在基材上之能量束中的離子數量和基材經過該能量束的次數來決定摻雜濃度。將摻雜物加速至能夠讓摻雜物進入矽材料或植入預定之膜深度的能量程度。一般係由該束的能 量程度決定安置摻雜物的深度。
在離子佈植期間,植入膜可能形成高度的內應力。為了消除該應力並進一步控制植入膜的生成特性,該膜通常受到熱處理(例如,退火)。通常在快速熱處理(rapid thermal processing,RTP)腔室中實施離子佈植後退火,使基材受到非常短暫的、但高度可控制的熱循環,該熱循環能將基材從室溫加熱到大約450℃至約1400℃。RTP通常可使植入過程中產生的應力最小化或消除之,並可用於進一步修改膜之特性,例如藉由控制摻雜物的擴散而改變該膜的電性。
RTP加熱機制一般包括輻射熱源(諸如,燈和/或電阻加熱元件)的加熱。在傳統的RTP系統中,將基材加熱至預期溫度,然後關閉該輻射熱源,使得基材冷卻。在一些系統中,可以將氣體流入到基材上以加速冷卻。然而,隨著處理參數持續改變,RTP期間溫度上升和加熱均勻性需要更緊密的監控和控制。雖然傳統的RTP腔室依賴輻射熱源來將基材快速地加熱至預期溫度,但當基材需要冷卻以改善加熱均勻性時,和/或當基材需要快速冷卻時,將面臨挑戰。例如,如果整個基材上存在明顯的溫度梯度,基材可能塑性形變或彎曲,這可能不利於基材上實施的後續製程。況且,基材的更快冷卻和/或溫度控制的改善可得到更高的產量和改善的摻雜物均勻性。
因此,需要一種改善熱均勻性控制且用於半導體基材之快速加熱和冷卻的設備和方法。
本發明主要描述一種熱處理基材的設備和方法。該設備包括一腔室,該腔室具有主動加熱構件和主動冷卻構件配置於其中。該腔室亦包括基材支撐件,可在加熱構件和冷卻構件之間移動。在一實施例中,主動冷卻構件係一冷卻板,該冷卻板具有至少一流體管道配置於其中。在另一實施例中,主動冷卻構件包括與入口耦接的冷卻劑源,該入口用於將冷卻氣體提供給腔室的內容積。在一實施例中,加熱構件是與冷卻構件相對配置的多個加熱燈。
在一實施例中,描述一種基材處理設備。該設備包括一腔室;一磁性驅動基材支撐件,配置於腔室中,且該支撐件包括一環形體,該環形體適於以其上表面支撐基材;以及與環形體耦連的窗口,其中該窗口配置在基材下方且係透光和透熱的。
在另一實施例中,描述一種基材處理設備。該設備包括具有內容積的腔室,該內容積包括上部分和下部分;冷卻板和熱源,配置在內容積中,該冷卻板係與熱源相對;以及懸浮基材支撐件,適以在上部分和下部分之間移動基材。
在另一實施例中,描述一種熱處理基材的方法。該方法包括提供一腔室,該腔室具有懸浮基材支撐件配置於其中;將基材移動至第一位置;加熱第一位置中的基材;將基材移動至與主動冷卻構件相鄰的第二位置;並冷卻第二位置中的基材;其中第一和第二位置係配置於腔室中。
在另一實施例中,描述一種熱處理基材的方法。該方法包括在第一溫度下將基材提供給腔室;在第一時間周期 將基材加熱至第二溫度;在第二時間周期將基材加熱至第三溫度;在第二時間周期將基材冷卻至第二溫度;以及在第三時間周期將基材冷卻至第一溫度,其中第二時間周期小於約2秒。
100‧‧‧腔室
102‧‧‧腔體
104‧‧‧基材支撐件
106‧‧‧熱源
108‧‧‧壁
110‧‧‧底部
112‧‧‧頂部
114‧‧‧窗口
116、117‧‧‧感測器
118‧‧‧定子
120‧‧‧內容積
122‧‧‧致動組件
124‧‧‧控制器
126‧‧‧記憶體
128‧‧‧輔助電路
130‧‧‧中央處理器
132‧‧‧導螺杆
134‧‧‧凸緣
136‧‧‧聯軸器
138‧‧‧馬達
140‧‧‧基材
144‧‧‧舉升銷
148‧‧‧進出口
158‧‧‧螺母
160‧‧‧管
164‧‧‧控制系統
168‧‧‧驅動線圈組件
170‧‧‧懸浮線圈組件
180‧‧‧冷卻塊
181A‧‧‧入口
181B‧‧‧出口
182、183‧‧‧冷卻劑源
184‧‧‧管道
186‧‧‧流體源
190‧‧‧外罩
202‧‧‧坐落表面
208‧‧‧磁環部分
209‧‧‧內徑
210‧‧‧支撐環
212‧‧‧支撐部分
214‧‧‧環型延伸件
219‧‧‧支撐唇
220‧‧‧環形體
222‧‧‧內壁
223、224‧‧‧管道
300‧‧‧腔室
305‧‧‧溫度轉變區
306A‧‧‧加熱區
306B‧‧‧冷卻區
310‧‧‧氣孔
312‧‧‧延伸件
314‧‧‧底部
315‧‧‧平板
320‧‧‧出口
322、324‧‧‧孔
332‧‧‧外表面
348A、348B、348C‧‧‧冷卻劑管道
360‧‧‧冷卻劑組件
400‧‧‧腔室
412‧‧‧槽
415‧‧‧氣室孔
418‧‧‧間隙
420‧‧‧孔
423‧‧‧平板
425‧‧‧流體管道
426‧‧‧隔離物
427‧‧‧主體
428‧‧‧凹槽
445‧‧‧氣室
446‧‧‧空隙
485A‧‧‧冷卻劑源
485B‧‧‧流體源
因此為了可以詳細理解本發明的以上所述特徵,將參照附圖中示出的實施例對以上簡要敘述的本發明進行更具體描述。然而,應該注意,附圖中只示出了本發明典型的實施例,因此不能認為是對本發明範圍的限定,本發明可以允許其他等同的有效實施例。
第1圖是快速熱處理(RTP)腔室之一實施例的簡化等角視圖;第2圖是基材支撐件之一實施例的等角視圖;第3圖是RTP腔室之另一實施例的概略側視圖;第4圖是RTP腔室之另一實施例的部分概略側視圖。
為了便於理解,盡可能採用相同的元件符號表示附圖中共同的相同元件。預期一實施例中公開的元件可以在其他實施方式有利地採用,而不需具體的敍述。
第1圖是快速熱處理(RTP)腔室100之一實施例的簡化等角視圖。適以從本發明受益之快速熱處理腔室的實例是Quantum X plus和CENTURA熱處理系統,都可以從Santa Clara,California(加利福尼亞州,聖克拉拉)的Applied Materials,Inc.(應用材料股份有限公司)購得。雖然該設備係描述為用在快速熱處理腔室中,但在此描述的實施例可用於一個處理區域內需要至少兩個溫度區的其他處理系統和設備中,例如基材支撐平臺,適於機械臂切換(robot handoff)、定位裝置、沈積腔室、刻蝕腔室,電化學處理設備和化學機械研磨設備等,尤其在需要顆粒生成最小化的情形下。
處理腔室100包括無接觸或磁性懸浮基材支撐件104、腔體102,具有壁108、底部110和頂部112以界定內容積102。壁108通常包括至少一基材進出口148以便基材140(在第1圖中示出其中一部分)的進出。進出口可耦接至傳送腔室(未顯示)或負載鎖定室(未顯示),並可選擇性利用諸如流量閥(slit valve)(未顯示)的閥門密封進出口。在一實施例中,基材支撐件104是環形且腔室100包括設置在基材支撐件104內徑中的輻射熱源106。在2002年3月29日遞交的並在2004年10月5日授予的美國專利No.6,800,833,在2004年2月27日遞交的美國專利申請序列號No.10/788,979並在2005年9月1日公佈為美國專利出版號No.2005/0191044中描述了可以修改的RTP腔室和可以採用的基材支撐件的實施例,在此引入其全部內容作為參考。
基材支撐件104適於在內容積120內磁性懸浮和旋轉。基材支撐件104能在處理期間旋轉同時垂直上升並降低,並且還可以在處理之前、期間或之後上升或降低而不旋轉。由於沒有或減少提升/降低和/或旋轉基材支撐件通常所需之移動部件,因此所述磁性懸浮和/或磁性旋轉可防止或使顆粒 生成達到最小化。
腔室100亦包括不同波長之光(包括紅外(IR)光譜中的光)和熱可穿透之材料所構成的窗口114,輻射熱源106的光子可通過該窗口加熱基材140。在一實施例中,窗口114係由石英材質所形成,雖然可以使用其他透光材料,諸如藍寶石。窗口114還可包括多個耦接至窗口114上表面的舉升銷144,其適於選擇性接觸和支撐基材140,以便於傳送基材進出腔室100。該複數個舉升銷144之各者係適以使其吸收輻射熱源106的能量達到最小化,且可由與用於窗口114的相同材料(例如,石英材料)所形成。該複數個舉升銷144可經定位並彼此放射狀分隔以有利於與傳送機械臂(未顯示)耦接的端受動器(end effector)的通行。或者,端受動器和/或機械臂可水平和垂直移動以便於基材140的傳送。
在一實施例中,輻射熱源106包括燈組件,該燈組件由包括複數個蜂窩管16之外罩所形成,該複數個蜂窩管位於與冷卻劑源183耦接的冷卻劑組件360(第3圖中顯示)中。冷卻劑源183可以是水、乙二醇、氮(N2)和氦(He)其中之一或其之組合。外罩可由銅質材料或其他合適材料形成,並具有適當的冷卻劑管道形成於其中,以便來自冷卻劑源183之冷卻劑的流動。每個管160可包含反射器和高強度燈組件或IR發射器,由其形成蜂窩形管狀配置。此緊密六角形排列的管提供具有高能量密度和良好空間解析度的輻射能量源。在一實施例中,輻射熱源106提供充足的輻射能來熱處理基材,例如,退火處理沈積在基材140上的矽層。輻射熱源106 可進一步包括環形區,其中透過控制器124提供給複數個管160的電壓可有所變化以改善管160之能量的放射狀分佈。可用適於測量基材140表面溫度的一個或多個溫度感測器117(下文更詳細描述)執行基材140加熱的動態控制。
定子組件(stator assembly)118圍繞腔體102的壁108並與一個或多個致動組件122連接,該致動器控制定子組件118沿著腔體102之外部的高度。在一實施例中(未顯示),腔室100包括圍繞腔體放射狀配置的三個致動組件122,例如圍繞腔體102約成120°角。定子組件118與設置在腔體102之內容積120內的基材支撐件104磁性耦合。基材支撐件104可包含或包括作為轉子功能的磁性部分,從而產生磁性軸承組件以提升和/或旋轉基材支撐件104。在一實施例中,至少部分基材支撐件104由槽412(第4圖顯示)部分包圍,該槽連接流體源186,該流體源可包括水、乙二醇、氮(N2 )和氦(He)其中之一或其之組合,適於作為基材支撐件的熱交換媒介。定子組件118亦可包括包圍定子組件118之多個零件和部件的外罩190。在一實施例中,定子組件118包括層疊在懸浮線圈組件170上的驅動線圈組件168。驅動線圈組件168適於旋轉和/或提升/降低基材支撐件104,而懸浮線圈組件170適於被動地將基材支撐件104置於處理腔室100正中。或者,可藉由具有單一線圈組件的定子執行旋轉和居中功能。
空氣控制系統164亦與腔體102的內容積120耦接。空氣控制系統164一般包括用於控制腔室壓力的節流閥和真空泵。空氣控制系統164可額外地包括用於向內容積120 提供製程氣體或其他氣體的氣體源。空氣控制系統164亦適於輸送熱沈積處理所用之製程氣體。
腔室100亦包括控制器124,其通常包括中央處理器(CPU)130、輔助電路128和記憶體126。CPU 130可以是一種任意形式的電腦處理器,其可用在工業設置中控制多種操作和子處理器。記憶體126,或電腦可讀媒介,可以是一種或多種可讀記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位記憶體、本地或遠端的,且通常與CPU 130連接。輔助電路128與CPU 130耦接用於以傳統方式輔助控制器124。這些電路包括快取(cache)、電源、時鐘電路、輸入/輸出電路、子系統等。
在一實施例中,每一致動組件122通常包括從腔體102之壁108延伸的兩個凸緣134之間耦接的高精度導螺杆132。導螺杆132具有隨著螺杆旋轉沿著導螺杆132軸向運動的螺母158。聯軸器(coupling)136耦合於定子118和螺母158之間,從而當導螺杆132旋轉時,聯軸器136沿著導螺杆132移動以控制與聯軸器136接觸處定子118的高度。因此,當旋轉其中一個致動器122的導螺杆132以在其他致動器122的螺母158之間產生相對位移時,可相對腔體102的中心軸改變定子118的水平面。
在一實施例中,馬達138(諸如,步進式馬達或伺服馬達)與導螺杆132耦接以回應控制器124的信號提供可控制的旋轉。或者,可用其他類型的致動器122來控制定子118的線性位置,諸如氣壓缸、液壓缸、滾珠螺桿(ball screw)、 螺線管、線性致動器和凸輪隨動件(cam follwer)等。
腔室100亦包括一個或多個感測器116,其通常適於檢測腔體102之內容積120內基材支撐件104(或基材140)的高度。感測器116可耦接至腔體102和/或處理腔室100的其他部分並適於提供基材支撐件104和腔體102頂部112和/或底部110之間距離的輸出指示,以及亦可檢測基材支撐件104和/或基材140的錯位。
一或多個感測器116係耦接至控制器124,該控制器接收來自感測器116的輸出度量並對一或多個致動組件122提供信號以提升或降低至少部分的基材支撐件104。控制器124可利用從感測器116獲得的位置度量來調節每個致動組件122處之定子118的高度從而基材支撐件104和固定在其上之基材140的高度和水平面都可相對RTP腔室100的中心軸和/或輻射源106進行調節。例如,控制器124可提供信號以藉由一致動器122的操作提升基材支撐件來修正基材支撐件104的軸錯位,或者控制器可提供所有致動器12供信號以便基材支撐件104的同步垂直移動。
該一或多個感測器116可以是超音波、雷射、電感、電容或可檢測腔體102內之基材支撐件104接近度的其他類型感測器。感測器116可靠近頂部112與腔體102耦接或與壁108耦接,雖然腔體102內和圍繞腔體的其他位置是合適的,諸如連接到腔室100外部的定子118。在一實施例中,一或多個感測器116可耦接至定子118並適於通過壁108感測基材支撐件104(或基材140)的高度和/或位置。在該實施例 中,壁108可包括較薄的截面以便於通過壁108的位置感測。
腔室100亦包括一或多個溫度感測器117,其適於在處理前、期間和之後感測基材140溫度。在第1圖所示的實施例中,溫度感測器117穿過頂部112而設置,雖然可使用腔體102內和圍繞腔體102的其他位置。溫度感測器117可以是光學高溫計,作為示例,具有光纖探頭的高溫計。感測器117適於以感測基材的整個直徑或部分基材而與頂部112耦接的結構。感測器117可包括界定基本上等於基材直徑的感測區域或者基本上等於基材半徑的感應區域之圖案。例如,多個感測器117可與頂部112成放射狀或直線結構耦接以形成整個基材半徑或直徑的感應區域。在一實施例中(未顯示),可以將多個感測器117配置於頂部112的大約中心處放射延伸到頂部112的週邊部分的直線上。在該方式中,可藉由感測器117監控基材的半徑,這能夠在旋轉期間感測基材的直徑。
RTP腔室100亦包括與頂部112相鄰、耦接或在頂部112中形成的冷卻塊180。一般而言,冷卻塊180與輻射熱源106相隔並相對。冷卻塊180包括一或多個與入口181A和出口181B連接的冷卻劑管道184。冷卻塊180可由諸如不銹鋼、鋁、聚合物或陶瓷材料的製程抵抗材料所形成。冷卻劑管道184可包括螺旋形圖案、矩形圖案、圓形圖案或其組合且管道184可一體形成於冷卻塊180內,例如,通過澆鑄冷卻塊180和/或由兩件或多件製造冷卻塊180並將這些件接合。另外地或可選地,可將冷卻劑管道184鑽入冷卻塊180 中。
如本文所述,腔室100適於接收「朝上」方向的基材,其中基材的沈積接收側面或表面朝向冷卻塊180且基材的「背面」面向輻射熱源106。「朝上」方向可使得輻射熱源106的能量更快地被基材140吸收,因為基材背面的反射性通常低於基材正面。
雖然冷卻塊180和輻射熱源106如所描述的分別位於內容積120的上部和下部,但冷卻塊180和輻射熱源106的位置可以相互顛倒。例如,可設計冷卻塊180的尺寸並配置於基材支撐件104的內徑中,而輻射熱源106可以與頂部112耦接。在此配置中,石英窗口114將設置在輻射熱源106和基材支撐件104之間,諸如與腔室100上部中的輻射熱源106相鄰。雖然當基材背面朝向輻射熱源106時,基材140可更快地吸收熱量,但在任一結構中可以朝上方向或朝下方向定位基材140。
可通過閥和適合的配管將入口181A和出口181B與冷卻劑源182耦接,而冷卻劑源182係與控制器124相通以便於對位於其中的流體壓力和/或流動進行控制。該流體可以是水、乙二醇、氮(N2 )、氦(He)或其他用作熱交換媒介的流體。
在一實施例中,腔室100適於實施基材140的熱處理。該方法包括將基材140移動至與輻射熱源106相鄰的第一位置以加熱第一位置中的基材140。其上具有經加熱之基材140的基材支撐件104隨後移動至與主動冷卻構件相鄰的第 二位置(例如,冷卻塊180)以冷卻第二位置中的基材。
第2圖是基材支撐件104之一實施例的等角視圖。基材支撐件104包括環形體220,其具有尺寸上可接收輻射熱源和其他硬體(未在該視圖中顯示)的內徑209。基材支撐件104至少部分由磁環部分208和支撐部分212所組成。磁環部分208可至少部分由磁性材料(例如,含鐵材料)所組成以利於基材支撐件104與定子118磁耦合。含鐵材料包括低碳鋼、不銹鋼,其可包括例如鎳電鍍的電鍍金屬。在一實施例中,磁環部分208係由多個圍繞中心軸成極性陣列設置的永久磁鐵所組成。磁環部分208可額外包括具有一或多個管道223形成於其中的外表面。在一實施例中,磁環部分208包括一經構型的形狀,諸如具有一或多個形成於其中的管道223的「E」外形或「C」外形。
支撐部分212一般適於使輻射熱源106的能量(諸如,熱和/或光)損失達到最小,從而使輻射熱源106的主要部分能量包含在基材140的下表面和輻射熱源106的上端之間的區域內(未在該圖中顯示)。支撐部分212可以是從磁環部分208上表面延伸的環形延伸件214。支撐部分212亦可包括支撐環210,在一實施例中,其有利於對準並提供基材140的坐落表面202。在一實施例中,至少部分支撐環210係由輻射熱源106之能量可穿透的材料(例如,石英材料)所形成。在另一實施例中,支撐環210包括可熔結的碳化矽材料。支撐環210可進一步包括氧化物塗層或氧化層,其可包括氮。在2004年2月5日遞交的並在2005年5月3日授予的美國專利 No.6,888,104描述了可以使用之支撐環210的示例,在此引入其全部內容作為參考。
支撐環210通常包括內壁222和從內壁222向內延伸的支撐唇(support lip)219。可以分級或斜率式使內壁222在尺寸上略大於基材以及當基材支撐件104提升時便於基材140的對準和/或居中。基材隨後可坐落在支撐唇219上以及在基材支撐件104提升和/或旋轉期間維持基材居中。支撐環210亦可包括從支撐環210上表面向下延伸的外壁223(與內壁222相對)。外壁223和內壁222之間的區域形成管道224,便於將支撐環210對準環形延伸件214。支撐部分212可藉由緊固、黏接或重力連接至磁環部分208,並適於在處理期間支撐基材140。在一實施例中,支撐環210作為邊緣環的功能且為了方便移除和置換可以重力附接於環形延伸件214。
支撐部分212可由減少潛在刮擦、化學或物理污染、和/或基材的損傷的材料形成,例如,諸如碳化矽、不銹鋼、鋁、陶瓷的材料,或者可使用高溫聚合物。或者,可由磁環部分208的材料製造一體構件式的支撐部分212。至少部分支撐部分212可用反射性材料製造或塗覆,或根據製程參數由黑色材料形成或塗覆以類似黑體來吸收熱量。應當注意在此使用的黑色材料可包括暗色(例如,黑色),但不限於暗色材料或塗層。更具體地,黑色材料、黑色抛光面或黑色塗層指類似於黑體無反射率或缺乏吸收能量(諸如,熱和/或光)能力的材料、塗飾或塗層。
第3圖是RTP腔室300之另一實施例的側視圖,該 腔室包括腔體102,並具有界定第1圖所示之內容積120的壁108、底部110和頂部112。腔室300亦包括如第1圖所示之無接觸或磁性懸浮基材支撐件104,但為簡單起見未示出腔室200外部的定子和其他部件。在此實施例中,顯示位於交換位置的基材支撐件104,其中多個舉升銷144支撐基材140以便於基材的傳送。
在該實施例中,部分基材支撐件104和/或磁環部分208可坐落在或接近腔體102之底部110的上表面。而窗口114係藉由其中一個磁環部分208的上表面和/或延伸件312(耦接至或透過其他方式由底部110的上表面所支撐)來支撐。延伸件312可以為圍繞輻射熱源106(設置在基材支撐件104的內徑中)之一部分的冷卻劑組件360的側壁,或者延伸件312可以為與底部110上表面(介於基材支撐件104的內徑與冷卻劑組件360的外部之間)耦接的支撐構件。亦可將接合板(adaptor plate)315耦接至腔室底部110以便於輻射熱源106和/或冷卻劑組件360之電線和其他支撐裝置的連接。
支撐部分212可以為從基材支撐件104之上表面或磁環部分208延伸的環形延伸件214。支撐部分亦可包括支撐環210,其提供基材140之對準和坐落表面。支撐環210包括內壁222和從內壁222向內延伸的支撐唇219。內壁222在尺寸上略大於基材且當基材支撐件104提升時便於基材140的對準和/或居中。基材140可隨後坐落在支撐唇219上以及在基材支撐件104的提升和/或旋轉期間維持基材居中。
在一實施例中,冷卻塊180包括多個冷卻劑管道 348A-348C,用於如上所述般循環冷卻液。冷卻劑管道可以是獨立的管道或離散的流徑,或者冷卻劑管道包括多個與冷卻劑源182耦接的閉合流徑。在一實施例中,冷卻塊180包括多個冷卻區域,諸如一般藉由冷卻劑管道348A界定的外區,一般藉由冷卻劑管道348C界定的內區,以及藉由冷卻劑管道348B界定的中間區。外區可對應於基材140的週邊,而內區和中間區可對應於基材140的中央部分。可以在這些區域中控制冷卻劑溫度和/或冷卻劑流動,例如,相對於基材的中央,在基材140的週邊上提供更多的冷卻。在此方式中,冷卻塊180可藉由在需要或預期冷卻的基材區域中提供更多或更少的冷卻來改善基材140的溫度控制。
冷卻塊180可由諸如鋁、不銹鋼、鎳、陶瓷或抵抗製程聚合物的材料所形成。冷卻塊180可包括反射性材料,或包括適以將熱量反射至基材表面上的反射塗層。或者,冷卻塊180可包括黑色材料(例如,適以大致上如同黑體般吸收能量的黑色材料)或另外用黑色材料或表面(適以吸收基材和/或內容積120的熱量)塗覆或抛光。冷卻塊180亦可包括表面或外表面332,其可經粗糙化或抛光以增加熱和/或光形式之輻射能量的反射率或吸收。根據製程參數,外表面332亦可包括塗層或光潔面以增加反射率或吸收。一實施例中,冷卻塊180可為黑色材料或類似黑色材料之材料,或另外用黑色材料或類似黑色材料塗覆或抛光,以具有接近1的放射率(emissivity)或放射度(emittance),諸如約0.70到約0.95之間的放射率。
如第3圖所示,內容積120包括溫度轉變區305或處理區(以距離D3 描述之),其包括可在處理期間接觸之加熱區306A和冷卻區306B。區域306A、306B能使內容積120中之基材140在處理期間快速加熱和快速冷卻。作為示例,取決於製程參數,加熱區306A可使得處理期間基材140表面上的溫度在約450℃到約1400℃之間,而冷卻區306B在處理期間可使基材140表面冷卻至室溫或更低。
例如,可以在室溫或高於室溫的某個溫度(負載鎖定室或其他週邊腔室或傳送裝置中之加熱構件所提供)下將基材140傳送到RTP腔室。在基材傳送至RTP腔室之前、期間或之後的基材140溫度可稱為第一或導入溫度,從此溫度開始RTP製程。在一實施例中,第一或導入溫度介於約室溫到約600℃之間。一旦基材140導入腔室後,可以快速加熱基材,使基材的溫度從導入溫度至約800℃到約1200℃之間(例如,900℃到約1150℃)的第二溫度。在一實施例中,使用感測器117的反饋來改變和監控輻射熱源的功率,以在加熱步驟或第一加熱周期使基材表面到達約900℃至約1150℃的第二溫度。
在一實施例中,第一加熱周期適以使整個基材的溫度在約2分鐘或更少時間(例如,約50秒至約90秒之間,例如約55秒和約75秒之間)從導入溫度提高到約900℃到約1150℃。在基材於加熱周期中已達到第二溫度之後,可能開始尖峰(spike)或轉變階段,其中包括第二加熱周期。該第二加熱周期包括將基材加熱至比第二溫度高約25℃到約100℃ 的第三溫度。轉變階段亦包括將基材溫度降低至比第三溫度低約25℃到約100℃的第四溫度。在一實施例中,第三溫度和第四溫度彼此在約5℃到約20℃內,而在另一實施例中,第三溫度和第四溫度基本上相同。轉變階段可包括約3秒或更短的第三周期,舉例來說約0.1秒到約2秒,例如,約0.3秒到約1.8秒之間。
在轉變階段之後,可將基材置於鄰近冷卻塊180區之處並藉由冷卻塊180和冷卻劑源315其中之一或兩者進行快速冷卻(以下將更詳細描述)。在第四周期中將基材冷卻至基本上等於第一或導入溫度的溫度,第四周期可小於10秒,例如約2秒到約6秒。可快速地將基材冷卻至預期的溫度,包括室溫或近似室溫的溫度,或冷卻至能進行傳送之高於室溫的溫度,從而提高產量。
在一個應用中,提出一種藉由本文所述之RTP腔室進行熱處理基材的方法。該方法包括以第一溫度(例如,室溫或高於室溫的某個溫度)向腔室提供基材140,以及在第一時間周期(例如,約2分鐘或更短)中加熱基材至第二溫度,該第二溫度係約900℃到約1150℃。該方法亦包括在可能少於約2秒的第二時間周期中將基材140加熱至第三溫度(例如,比第二溫度高約25℃至約100℃)。該方法亦包括在第二時間周期中將基材140冷卻至第二溫度,以及在可能少於約3秒的第三時間周期將基材冷卻至第一溫度。
如上所述之基材的快速加熱和冷卻具有許多優點。藉由感測器117的反饋持續監控基材的溫度,且可透過相對 冷卻塊180和/或加熱源106來移動基材便於基材溫度的改進控制。可藉由基材快速並可控的加熱和冷卻來改善摻雜劑擴散控制,並且可以改善裝置性能。另外地,加熱和冷卻時間的減少可以增加產量。
為了能夠快速加熱和冷卻基材,可在溫度轉變區305中移動基材。基材140在內容積120和區域306A、306B中的移動可促使基材加熱和冷卻之間的明顯轉變和/或較少的停留時間。在一個示例中,一旦基材140置於處理位置,溫度轉變區305的加熱區306A可包括基材140(或基材支撐件104)的移動距離D1 (例如,約0.5英寸到約1.5英寸之間)。溫度轉變區的冷卻區306B可包括基材140(或基材支撐件104)的移動距離D2 (約0.5英寸到約1.5英寸之間)。在一實施例中,內容積中之基材140(或基材支撐件104)的總共移動距離(例如,在輻射熱源106和冷卻塊180之間)係約0.75英寸到約3.25英寸之間,舉例來說,約1.0英寸和約2.75英寸之間,諸如約2英寸。在一實施例中,距離D1 包括距離D3 的約二分之一,而距離D2 包括距離D3 的約二分之一。根據基材的平整度和基材的其他物理特徵,以及基材支撐件的機械性質,基材支撐件104適以將基材提升至緊鄰基材140的位置。假設基材具有適合的平整度,且基材支撐件104和設置於其上的基材基本平行於冷卻塊180,可將基材提升至離冷卻塊180下表面約0.005英寸到約0.025英寸之間。將基材帶至緊鄰冷卻區之處能夠快速熱能傳遞並改善基材的冷卻。
在一實施例中,腔室300包括與冷卻劑源315相連 的氣孔310。氣孔310可以是歧管或複數個開口(形成於腔室壁108的上部分或以另外方式與之耦接),以及可形成、或適於連接至噴嘴,舉例來說,該噴嘴能夠使得層流(laminar flow)經過鄰近冷卻塊180之外表面332的冷卻區306B。為了能形成改良的流動通道,腔室亦包括腔室壁108上形成的出口320,通常係與氣孔310相對。出口320可耦接至真空源,該真空源適以輔助空氣控制系統164(第1圖)以及移除氣孔310所提供的過量氣體。冷卻劑源315包括冷卻液,諸如氦(He)、氮(N2 )或其他適當冷卻液,並指向或適以在冷卻區306B內流動。當基材處於冷卻區306B中時,來自氣孔310的冷卻液能夠快速冷卻基材140。
如參照第1圖所示般,輻射熱源106耦接至冷卻劑組件360,該冷卻劑組件適於維持適當的溫度和/或冷卻輻射熱源106的蜂窩管160。冷卻劑組件360包括側壁312和底部314,適於包含流體。底部314包括孔322和324,其適以提供並去除來自冷卻劑源183的冷卻劑流體,所述冷卻劑流體可以是水、乙二醇或其他適當的冷卻液。冷卻劑組件360亦可包括多個流體管道形成於其中(參照第4圖所示),用於改善冷卻液和輻射熱源106的熱能傳遞。
第4圖是RTP腔室400另一實施例在處理位置中的部分側視圖,並將對冷卻劑組件360的詳細內容進行描述。冷卻劑組件360包括底部322和側壁312(如其他附圖中所示),以及亦包括主體427,該主體包括分隔多個蜂窩管160的多個隔離物426。該主體亦可包括與底部322相對的平板 423以在二者之間形成空隙(void)446,該平板適以包含第一冷卻劑源485A的冷卻劑並將空隙446與多個蜂窩管160隔離。空隙446透過與底部322耦接的孔324與冷卻劑源485A相通,且孔324與氣室445相通,該氣室445透過氣室孔415與空隙446形成流體相通。平板423可包括多個管道或凹槽428形成於其中以增加冷卻液的可用表面積,從而增強輻射熱源106的散熱。
在運作中,透過孔322將第一源485A的冷卻液提供給空隙446,而冷卻劑至少部分地填充空隙446。冷卻劑可持續流入空隙以散熱並通過氣室孔415排放至氣室445。可通過孔324從氣室445移除冷卻劑並使其返回第一源485A。在通過空隙446循環之前補充和/或冷卻冷卻劑。在此方式中,係可控制輻射熱源106的溫度。
冷卻劑組件360亦可包括多個流體管道425,形成於多個隔離物426的至少一部分中。流體管道425適以流動來自第二流體源485A的冷卻液,諸如水、乙二醇、氮(N2 )、氦(He)或其他作為熱交換媒介的流體。流體管道425係通過至少一入口和出口(未示出)耦接至第二流體源485B。第一和第二源485A、485B之冷卻劑的流動便於改善輻射熱源106的溫度控制。
腔室100亦包括磁懸浮或無接觸基材支撐件104,該支撐件具有支撐構件210和耦接於與環形體220(設置在管道或槽412中)的環形延伸件212。槽412係透過孔420(用於將冷卻劑提供給槽)連接至流體源186,從而可消除輻射熱源 106傳遞的熱量和/或處理期間環形體220的旋轉所產生的熱量。流體源186可包括冷卻液,諸如水、乙二醇、氮(N2 )、氦(He)或其他作為熱交換媒介的流體。亦可在冷卻劑組件360的側壁312和槽412的側壁之間形成間隙418以便於基材支撐件104的環形體220和輻射熱源106之間的隔熱。
雖然前述係關於本發明的實施例,但在不偏離本發明的基本精神範圍內可以發展出本發明其他和進一步的實施例,而其範圍係藉由以下之申請專利範圍所確定。
100‧‧‧腔室
102‧‧‧腔體
104‧‧‧基材支撐件
106‧‧‧熱源
108‧‧‧壁
110‧‧‧底部
112‧‧‧頂部
114‧‧‧窗口
116、117‧‧‧感測器
118‧‧‧定子
120‧‧‧內容積
122‧‧‧致動組件
124‧‧‧控制器
126‧‧‧記憶體
128‧‧‧輔助電路
130‧‧‧中央處理器
132‧‧‧導螺杆
134‧‧‧凸緣
136‧‧‧聯軸器
138‧‧‧馬達
140‧‧‧基材
144‧‧‧舉升銷
148‧‧‧進出口
158‧‧‧螺母
160‧‧‧管
164‧‧‧控制系統
168‧‧‧驅動線圈組件
170‧‧‧懸浮線圈組件
180‧‧‧冷卻塊
181A‧‧‧入口
181B‧‧‧出口
182、183‧‧‧冷卻劑源
184‧‧‧管道
186‧‧‧流體源
190‧‧‧外罩

Claims (11)

  1. 一種熱處理一基材的方法,包括:傳送一第一溫度下的一基材至一腔室,該腔室具有一加熱區與一冷卻區於該腔室內;移動該基材至該加熱區中之一第一位置;在該加熱區中加熱該基材至一第二溫度;當該基材被加熱至一第三溫度時,移動該基材離開該加熱區中的該第一位置並朝向該冷卻區,該第三溫度比該第二溫度高出25℃至100℃;進一步移動該基材至該冷卻區中之一第二位置;及冷卻該第二位置中之該基材至一第四溫度,該第四溫度比該第三溫度低25℃至100℃。
  2. 如請求項1所述之方法,其中加熱該基材至該第二溫度的步驟包括2分鐘或更少的一時間週期。
  3. 如請求項1所述之方法,其中加熱該基材至該第三溫度與冷卻該基材至該第四溫度的步驟包括3秒或更少的一時間週期。
  4. 如請求項1所述之方法,其中該第一溫度是一室溫下或接近室溫的溫度。
  5. 如請求項4所述之方法,其中該第四溫度是一高於室溫的溫度。
  6. 如請求項1所述之方法,其中該冷卻區包括一氣孔,該氣孔配置於該腔室之一上部分中,該氣孔設以流動一冷卻氣體橫跨該基材。
  7. 如請求項1所述之方法,其中該冷卻區是一冷卻板,該冷卻板配置於該腔室之一上部分中。
  8. 如請求項7所述之方法,其中該冷卻板包括至少一冷卻劑通道。
  9. 如請求項7所述之方法,其中該冷卻板包括一放射率為0.70至0.95的材料。
  10. 一種熱處理一基材的方法,包括:傳送一第一溫度下的一基材至一腔室,該腔室具有一加熱區與一冷卻板於該腔室內;移動該基材至該加熱區中之一第一位置;在2分鐘或更少的一時間週期過程中,在該加熱區中加熱該基材至一第二溫度;當該基材被加熱至一第三溫度時,移動該基材離開該加熱區中的該第一位置並朝向該冷卻板,該第三溫度比該第二溫度高出25℃至100℃;進一步移動該基材至一接近該冷卻板之一第二位置;及冷卻該基板至一第四溫度,該第四溫度實質相同於該第二溫度,其中加熱該基材至該第三溫度與冷卻該基材的步驟包括3秒或更少的一時間週期。
  11. 如請求項10所述之方法,其中該冷卻板包括至少一冷卻劑通道。
TW102102343A 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備 TWI407511B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/611,061 US7378618B1 (en) 2006-12-14 2006-12-14 Rapid conductive cooling using a secondary process plane

Publications (2)

Publication Number Publication Date
TW201322338A TW201322338A (zh) 2013-06-01
TWI407511B true TWI407511B (zh) 2013-09-01

Family

ID=39312914

Family Applications (3)

Application Number Title Priority Date Filing Date
TW096138277A TWI401746B (zh) 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備
TW102128330A TWI545655B (zh) 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備
TW102102343A TWI407511B (zh) 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW096138277A TWI401746B (zh) 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備
TW102128330A TWI545655B (zh) 2006-12-14 2007-10-12 使用次要處理工廠進行快速傳導冷卻的方法與設備

Country Status (6)

Country Link
US (5) US7378618B1 (zh)
EP (1) EP1933368A3 (zh)
JP (2) JP5473206B2 (zh)
KR (4) KR20080055608A (zh)
CN (2) CN103943537B (zh)
TW (3) TWI401746B (zh)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5043021B2 (ja) * 2005-10-04 2012-10-10 アプライド マテリアルズ インコーポレイテッド 基板を乾燥するための方法及び装置
JP4937278B2 (ja) * 2006-03-08 2012-05-23 ラム・リサーチ・アクチエンゲゼルシヤフト 板状物品の流体処理用装置
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
KR101749044B1 (ko) * 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
TWI381452B (zh) * 2008-08-29 2013-01-01 Applied Materials Inc 用於擴大溫度高溫測定之方法與設備
US8217317B2 (en) * 2008-09-10 2012-07-10 Applied Materials, Inc. Apparatus with strain release feature for high temperature processes
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
TWI505370B (zh) * 2008-11-06 2015-10-21 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
JP5441243B2 (ja) * 2009-02-24 2014-03-12 信越石英株式会社 赤外線透過性部材の熱処理用石英ガラス治具
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US8062384B2 (en) 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
GB2483421B (en) * 2009-06-24 2013-10-09 Canon Anelva Corp Vacuum heating/cooling apparatus and manufacturing method of magnetoresistance element
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130074358A1 (en) * 2011-09-24 2013-03-28 Quantum Technology Holdings Limited Heated body with high heat transfer rate material and its use
CN103088308B (zh) * 2011-11-01 2016-07-13 无锡华润上华科技有限公司 除气腔内的温度监控装置
CN105679695B (zh) * 2011-11-03 2019-03-22 应用材料公司 快速热处理腔室
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US10124445B2 (en) * 2012-01-18 2018-11-13 Halliburton Energy Services, Inc. Heat containment apparatus
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CH706662A1 (de) * 2012-06-14 2013-12-31 Oc Oerlikon Balzers Ag Transport- und Übergabevorrichtung für scheibenförmige Substrate, Vakuumbehandlungsanlage und Verfahren zur Herstellung behandelter Substrate.
US9200965B2 (en) 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9606587B2 (en) * 2012-10-26 2017-03-28 Google Inc. Insulator module having structure enclosing atomspheric pressure gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US10403521B2 (en) 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
WO2014182405A1 (en) * 2013-05-10 2014-11-13 Applied Materials, Inc. Dome cooling using compliant material
WO2014186085A1 (en) 2013-05-15 2014-11-20 Applied Materials, Inc. Diffuser for lamp heating assembly
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
KR102253990B1 (ko) * 2013-06-26 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 Icp 플라즈마 프로세싱 챔버에서의 기판 최외곽 엣지 결함 감소, 높은 수율을 위한 단일 링 디자인
US9385004B2 (en) * 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US8861191B1 (en) 2013-09-30 2014-10-14 Google Inc. Apparatus related to a structure of a base portion of a computing device
US9430006B1 (en) 2013-09-30 2016-08-30 Google Inc. Computing device with heat spreader
CN107342252B (zh) * 2013-09-30 2020-08-11 应用材料公司 具有封装的光阻隔件的支撑环
CN107507799B (zh) * 2013-11-06 2021-01-26 应用材料公司 溶胶凝胶涂布的支撑环
KR102359295B1 (ko) * 2013-12-06 2022-02-08 세메스 주식회사 기판 가열 유닛
KR102258244B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258247B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258248B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258245B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258243B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258246B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
JP6219178B2 (ja) * 2014-01-20 2017-10-25 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
US9863043B2 (en) 2014-05-27 2018-01-09 Applied Materials, Inc. Window cooling using compliant material
US9442514B1 (en) 2014-07-23 2016-09-13 Google Inc. Graphite layer between carbon layers
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201704367QA (en) * 2015-01-02 2017-07-28 Applied Materials Inc Processing chamber
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478455B1 (en) * 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6655996B2 (ja) * 2016-01-19 2020-03-04 東京エレクトロン株式会社 基板温調装置及び基板処理装置
JP6513041B2 (ja) 2016-02-19 2019-05-15 信越半導体株式会社 半導体ウェーハの熱処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107557871B (zh) * 2016-07-01 2019-10-25 上海微电子装备(集团)股份有限公司 激光退火装置及方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6847199B2 (ja) 2016-07-22 2021-03-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピの均一性調整を改善するための加熱変調器
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018035093A1 (en) 2016-08-15 2018-02-22 Littelfuse, Inc. Flexible positive temperature coefficient device with battery management system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10661223B2 (en) 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102406942B1 (ko) 2019-09-16 2022-06-10 에이피시스템 주식회사 엣지 링 및 이를 포함하는 열처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TWI717246B (zh) * 2020-03-30 2021-01-21 群翊工業股份有限公司 具有溫控模組的烤箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11915953B2 (en) 2020-04-17 2024-02-27 Applied Materials, Inc. Apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102504568B1 (ko) * 2020-05-20 2023-03-02 세메스 주식회사 기판 가열 유닛
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
KR102615845B1 (ko) * 2020-11-19 2023-12-22 세메스 주식회사 지지 유닛 및 기판 처리 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102569912B1 (ko) * 2020-12-29 2023-08-28 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512991B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512992B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230304741A1 (en) * 2022-03-25 2023-09-28 Tokyo Electron Limited Magnetic Annealing Equipment and Method
US11649855B1 (en) * 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW366517B (en) * 1995-10-18 1999-08-11 Tokyo Electron Ltd Heat processing device
US6097005A (en) * 1998-08-20 2000-08-01 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6770851B2 (en) * 1999-12-29 2004-08-03 Asm International N.V. Method and apparatus for the treatment of substrates

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62282437A (ja) * 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63269515A (ja) * 1987-04-27 1988-11-07 Nikon Corp 光照射装置
JPH01123321A (ja) 1987-11-09 1989-05-16 Matsushita Electric Ind Co Ltd データ処理装置
JPH01276623A (ja) * 1988-04-27 1989-11-07 Mitsubishi Electric Corp ビームアニール装置
JPH0613324A (ja) * 1992-06-26 1994-01-21 Fujitsu Ltd 真空加熱装置
JPH0778831A (ja) * 1993-06-25 1995-03-20 Sony Corp 熱処理方法
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH08316157A (ja) * 1995-05-23 1996-11-29 Souei Tsusho Kk 熱処理炉
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
US5818137A (en) 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
JPH10251853A (ja) 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US6157106A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH111775A (ja) * 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6323496B1 (en) 1999-04-19 2001-11-27 Applied Materials, Inc. Apparatus for reducing distortion in fluid bearing surfaces
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
KR20020003425A (ko) 2000-06-29 2002-01-12 서정은 인터넷을 이용한 다자간 판매방법 및 시스템
JP2002134592A (ja) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP3869655B2 (ja) * 2000-12-28 2007-01-17 大日本スクリーン製造株式会社 ランプアニール装置
JP4765169B2 (ja) 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP3660254B2 (ja) * 2001-02-23 2005-06-15 大日本スクリーン製造株式会社 基板の熱処理装置
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US6809035B2 (en) * 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
JP2004079677A (ja) * 2002-08-13 2004-03-11 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003142468A (ja) * 2002-10-08 2003-05-16 Mitsubishi Electric Corp 化学気相成長装置
DE10260672A1 (de) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
US6888104B1 (en) 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US8658945B2 (en) 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP2005303082A (ja) * 2004-04-13 2005-10-27 Tokyo Electron Ltd 基板載置台および熱処理装置
JP4733405B2 (ja) * 2005-02-22 2011-07-27 株式会社国際電気セミコンダクターサービス 熱処理装置及び熱処理方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW366517B (en) * 1995-10-18 1999-08-11 Tokyo Electron Ltd Heat processing device
US6097005A (en) * 1998-08-20 2000-08-01 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6770851B2 (en) * 1999-12-29 2004-08-03 Asm International N.V. Method and apparatus for the treatment of substrates

Also Published As

Publication number Publication date
US7812286B2 (en) 2010-10-12
KR20100014208A (ko) 2010-02-10
JP5473206B2 (ja) 2014-04-16
KR101381313B1 (ko) 2014-04-04
TW200826199A (en) 2008-06-16
EP1933368A3 (en) 2008-08-27
US8227729B2 (en) 2012-07-24
JP2008166706A (ja) 2008-07-17
US9209049B2 (en) 2015-12-08
KR20080055608A (ko) 2008-06-19
KR101168795B1 (ko) 2012-07-25
KR20110079597A (ko) 2011-07-07
TWI545655B (zh) 2016-08-11
CN103943537A (zh) 2014-07-23
EP1933368A2 (en) 2008-06-18
TW201351507A (zh) 2013-12-16
US20110008740A1 (en) 2011-01-13
KR101464931B1 (ko) 2014-11-25
KR20130114628A (ko) 2013-10-17
US20080141556A1 (en) 2008-06-19
US20080142497A1 (en) 2008-06-19
US20120270166A1 (en) 2012-10-25
US20140199786A1 (en) 2014-07-17
CN103943537B (zh) 2017-08-11
TW201322338A (zh) 2013-06-01
US7378618B1 (en) 2008-05-27
CN101207010A (zh) 2008-06-25
US8658947B2 (en) 2014-02-25
TWI401746B (zh) 2013-07-11
JP2014057073A (ja) 2014-03-27

Similar Documents

Publication Publication Date Title
TWI407511B (zh) 使用次要處理工廠進行快速傳導冷卻的方法與設備
US8111978B2 (en) Rapid thermal processing chamber with shower head
US9390950B2 (en) Rapid thermal processing chamber with micro-positioning system
TWI421945B (zh) 含有微定位系統之快速熱處理腔室與處理基材之方法