CN103943537B - 用于半导体衬底的快速加热和冷却的装置 - Google Patents

用于半导体衬底的快速加热和冷却的装置 Download PDF

Info

Publication number
CN103943537B
CN103943537B CN201410136512.0A CN201410136512A CN103943537B CN 103943537 B CN103943537 B CN 103943537B CN 201410136512 A CN201410136512 A CN 201410136512A CN 103943537 B CN103943537 B CN 103943537B
Authority
CN
China
Prior art keywords
substrate
coldplate
thermal source
support
annular solid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410136512.0A
Other languages
English (en)
Other versions
CN103943537A (zh
Inventor
库赫斯特·索瑞伯基
亚历山大·N·勒纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103943537A publication Critical patent/CN103943537A/zh
Application granted granted Critical
Publication of CN103943537B publication Critical patent/CN103943537B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Furnace Details (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明描述了一种用于热处理衬底的方法和装置。该装置包括配置为通过磁驱动线性移动和/或旋转移动的衬底支架。该衬底支架还配置为接收辐射热源在腔室的一部分中提供加热区。包括冷却板的有源冷却区与加热区相对设置。衬底可以在该两个区域之间移动以便于衬底的快速可控加热和冷却。

Description

用于半导体衬底的快速加热和冷却的装置
本申请是中国专利申请200710163593.3的分案申请。
技术领域
本发明实施方式主要涉及一种用于处理半导体衬底的方法和装置。更具体地,涉及一种用于热处理半导体衬底的方法和装置。
背景技术
集成电路已发展成可在单个芯片上包含数百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展不断需要更快的电路和更大的电路密度,这就要求不断增加的精确制造工艺。常采用的一种制造工艺是离子注入。
离子注入对在半导体衬底上形成晶体硅结构尤其重要并且可以在芯片制造期间重复多次。在离子注入期间,典型地包括硅材料和/或含硅膜的半导体衬底通常称为掺杂剂的带电离子束轰击。离子注入改变材料的属性,其中将掺杂剂注入该材料中从而获得特定级别的电学性能。掺杂浓度可以通过控制投射在衬底上的能量束中的离子数量和衬底经过所述能量束的次数来确定。将掺杂剂加速至能使掺杂剂进入硅材料或注入到膜预设深度的能量级别。束的能量级别典型地确定放置掺杂剂的深度。
在离子注入期间,所注入的膜可以形成高级别的内应力。为了消除该应力并进一步控制所注入的膜的生成属性,该膜通常经受诸如退火的热处理。通常在快速热处理(RTP)腔室中实施过离子注入退火,使衬底经受非常短暂的、但高度可控的热循环,该热循环能将衬底从室温加热到大约450℃到约1400℃。RTP通常最小化或消除注入过程中产生的应力,并可以用于进一步修改膜属性,诸如通过控制掺杂扩散而改变该膜的电性。
RTP加热机制一般包括来自诸如灯和/或电阻加热元件的辐射热源的加热。在传统的RTP系统中,将衬底加热至预期温度,并然后关闭该辐射热源,使得衬底冷却。在一些系统中,可以将气体流入到衬底上以加速冷却。然而,由于处理参数持续衍变,RTP期间温度上升和加热均匀性需要更紧密的监控和控制。虽然传统的RTP腔室依赖于热源来将衬底快速地加热至预期温度,但当衬底需要冷却以改善加热均匀性时,和/或当衬底需要快速冷却时,将面临挑战。例如,如果整个衬底存在明显的温度梯度,衬底可能塑性形变或弯曲,这可能不利于在衬底上实施的后续工艺。况且,衬底的更快的冷却和/或改善的温度控制可以得到更高的产量和增强的掺杂剂均匀性。
因此,需要一种具有改善的热均匀性控制的一种用于半导体衬底的快速加热和冷却的装置和方法。
发明内容
本发明主要描述一种用于处理衬底的装置和方法。该装置包括具有有源加热装置的腔室和设置在其中的有源冷却装置。该腔室还包括在加热装置和冷却装置之间可移动的衬底支架。在一个实施方式中,有源冷却装置是具有其中设置有至少一个流体管道的冷却板。在另一实施方式中,有源冷却装置包括与入口连接的冷却剂源,该入口用于将冷却气体提供给腔室的内容积。在一个实施方式中,加热装置是与冷却装置相对设置的多个加热灯。
在一个实施方式中,描述了一种衬底处理装置。该装置包括腔室,设置在腔室中的磁驱动衬底支架,该支架包括配置为在其上表面上支撑衬底的环形体,以及与环形体相连的窗口,其中该窗口设置在衬底下方并透光和透热。
在另一实施方式中,描述了一种衬底处理装置。该装置包括具有内容积的腔室,该内容积包括上部分和下部分,设置在内容积中的冷却板和热源,该冷却板与热源相对,以及配置为使衬底在上部分和下部分之间移动的悬浮衬底支架。
在另一实施方式中,描述了一种用于热处理衬底的方法。该方法包括提供具有其中设置悬浮衬底支架的腔室,朝第一位置移动衬底,加热位于第一位置的衬底,将衬底移动至与有源冷却装置相邻的第二位置,并冷却位于第二位置的衬底,其中第一和第二位置设置在腔室中。
在另一实施方式中,描述了一种用于热处理衬底的方法。该方法包括在第一温度下将衬底提供给腔室,在第一时间周期将衬底加热至第二温度,在第二时间周期将衬底加热至第三温度,在第二时间周期将衬底冷却至第二温度,以及在第三时间周期将衬底冷却至第一温度,其中第二时间周期小于约2秒。
附图说明
为了可以详细地理解本发明的上述特征,将参照附图中示出的实施例对以上简述的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等同的有效实施例。
图1是快速热处理(RTP)腔室的一个实施方式的简化等角视图;
图2是衬底支架的一个实施方式的等角视图;
图3是RTP腔室的另一实施方式的示意性等角视图;和
图4是RTP腔室的另一实施方式的部分示意性侧视图。
为了便于理解,尽可能采用相同的附图标记表示附图中共同的相同元件。预期在一个实施方式中公开的元件可以有利地在其他实施方式采用,而不做具体的叙述。
具体实施方式
图1是快速热处理(RTP)腔室100的一个实施方式的简化等角视图。可以适于从本发明受益的快速热处理腔室的实施例是Quantum X plus和CENTURA热处理系统,都可以从Santa Clara,California(加利福尼亚州,圣克拉拉)的Applied Materials,Inc.(应用材料股份有限公司)购买得到。虽然该装置描述为应用在快速热处理腔室中,但在此描述的实施方式可用于在一个处理区域内需要至少两个温度区的其他处理系统和装置中,诸如衬底支架平台,适于机械手切换、定位装置、沉积腔室、刻蚀腔室,电化学处理装置和化学机械抛光装置等,尤其在需要颗粒生成最小化情形下。
处理腔室100包括无接触或磁悬浮衬底支架104、腔体102,具有壁108、底部110和限定内容积102的顶部112。壁108通常包括至少一个衬底进出口148以便于衬底140(在图1中示出其中一部分)的进出。进出口可以耦合至传送腔室(未示出)或真空交换腔(未示出)并可以选择性用诸如狭口阀(未示出)的阀门密封。在一个实施方式中,衬底支架104是环形以及腔室100包括设置在衬底支架104的内径中的辐射热源106。在2002年3月29日递交的并在2004年10月5日授予的美国专利No.6,800,833,在2004年2月27日递交的美国专利申请序列号No.10/788,979并在2005年9月1日公布为美国专利出版号No.2005/0191044中描述了可以修改的RTP腔室和可以采用的衬底支架的实施例,在此引入其全部内容作为参考。
衬底支架104适于在内容积120内磁悬浮和旋转。衬底支架104能在处理期间旋转同时垂直上升并降低,并且还可以在处理之前、期间或之后上升或降低而不旋转。由于为提升/降低和/或旋转衬底支架通常所需的移动零件的缺失或减少,因此所述磁悬浮和/或磁旋转防止或最小化颗粒生成。
腔室100还包括由对不同波长光,包括红外(IR)光谱的光,和热透明的材料形成的窗口114,通过该窗口来自辐射热源106的光子可以加热衬底140。在一个实施方式中,窗口114由石英材料形成,虽然可以使用其他透光材料,诸如蓝宝石。窗口114还可包括多个耦合至窗口114的上表面的升降杆144,该升降杆144适于选择性接触和支撑衬底140,以便于传送衬底进出腔室100。多个升降杆144每个配置为使来自辐射热源106的能量吸收最小化,以及可以由与用于窗口114的相同材料,诸如石英材料形成。可以定位多个升降杆144并彼此径向分隔以有利于与传送机械手(未示出)耦接的端受动器的通行。可选地,端受动器和/或机械手可以水平和垂直移动以便于衬底140的传送。
在一个实施方式中,辐射热源106包括灯组件,该灯组件由包括在冷却剂组件360(图3中示出)中与冷却剂源183耦接的多个蜂窝管160的罩形成。冷却剂源183可以是水、乙二醇、氮(N2)和氦(He)其中之一或组合。罩可以由铜材料或其他合适材料形成,并具有在其中形成的适合冷却剂管道,用于来自冷却剂源183的冷却剂的流动。每个管160可以包含发生器和高强度灯组件或IR发射器,由其形成蜂窝形管排列。管的所述紧密六角形排列提供具有高能量密度和优良空间分辨率的辐射能源。在一个实施方式中,辐射热源106提供充足的辐射能来热处理衬底,例如,退火沉积在衬底140上的硅层。辐射热源106可进一步包括环形区,其中通过控制器124提供给多个管160的电压可以变化以改善来自管160的能量的径向分布。衬底140的加热动态控制可以受适于测量衬底140表面温度的一个或多个温度传感器117(下文更详细描述)的影响。
定子组件118围绕腔体102的壁108并与一个或多个致动器组件122连接,该致动器控制定子组件118沿着腔体102的外部上升。在一个实施方式中(未示出),腔室100包括围绕腔体径向设置的三个致动器组件122,例如围绕腔体102成约120°角。定子组件118与设置在腔体102的内容积120内的衬底支架104磁耦合。衬底支架104可以包含或包括起到转子功能的磁性部分,从而构成磁悬浮轴承组件以提升和/或旋转衬底支架104。在一个实施方式中,至少部分衬底支架104由槽412(图4示出)部分包围,该槽连接到可以包括水、乙二醇、氮(N2)和氦(He)其中之一或组合的流体源186,适于作为用于衬底支架的热交换媒介。定子组件118还可包括包围定子组件118的多个零件和部件的罩190。在一个实施方式中,定子组件118包括层叠在悬挂线圈组件170上的驱动线圈组件168。驱动线圈组件168适于旋转和/或提升/降低衬底支架104,而悬挂线圈组件170可以适于在处理腔室100内将衬底支架104被动地居中。可选地,旋转和居中功能可以通过具有单个线圈组件的定子实施。
空气控制系统164还与腔体102的内容积120耦接。空气控制系统164一般包括用于控制腔室压力的节流阀和真空泵。空气控制系统164可额外地包括用于向内容积120提供工艺气体或其他气体的气体源。空气控制系统164还可适于输送气体用于热沉积工艺。
腔室100还包括控制器124,该控制器124一般包括中央处理器(CPU)130、辅助电路128和内存126。CPU 130可以是一种任意形式的计算机处理器,可在工业设置中使用,用于控制多种操作和子处理。存储器126,或计算机可读媒介,可以是一种或多种可读存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任意其他形式的数字存储器、本地或远程的,并且通常与CPU 130连接。辅助电路128与CPU 130耦接用于以传统方式辅助控制器124。这些电路包括缓存、电源、时钟电路、输入/输出电路、子系统等。
在一个实施方式中,每一个致动器组件122一般包括从腔体102的壁108延伸的两个凸缘134之间耦接的高精度导螺杆132。导螺杆132具有随着螺杆旋转沿着导螺杆132轴向运动的螺母158。联轴器(coupling)136耦合在定子118和螺母158之间,从而当导螺杆132旋转时,联轴器136沿着导螺杆132移动以控制与联轴器136接触处定子118的上升。因此,当旋转其中一个致动器122的导螺杆132在其他致动器122的螺母158之间产生相对位移时,定子118的水平面相对腔体102的中心轴改变。
在一个实施方式中,电机138诸如步进电机或伺服电机,与导螺杆132连接以通过控制器124提供响应于信号的可控旋转。可选地,其他类型的致动器122可用于控制定子118的线性位置,诸如气压缸、液压缸、滚珠螺旋、螺线管、线性致动器和凸轮随动件等。
腔室100还包括一个或多个传感器116,所述传感器116一般适于检测腔体102的内容积120内衬底支架104(或衬底140)的上升。传感器116可耦接至腔体102和/或处理腔室100的其他部分并适于提供衬底支架104和腔体102的顶部112和/或底部110之间的距离的输出指示,以及还可检测衬底支架104和/或衬底140的错位。
所述一个或多个传感器116耦接至控制器124,该控制器接收来自传感器116的输出度量并为一个或多个致动器组件122提供信号以提升或降低至少部分衬底支架104。控制器124可利用从传感器116获得的位置度量来调节每个致动器组件122处的定子118的上升从而衬底支架104和固定在其上的衬底140的上升和平面都可以相对于RTP腔室100的中心轴和/或辐射源106进行调节。例如,控制器124可提供信号以通过一个致动器122的操作提升衬底支架来校正衬底支架104的轴错位,或控制器可以为所有致动器122提供信号以便于衬底支架104的同步垂直移动。
所述一个或多个传感器116可以是超声波、激光、电感、电容或可检测腔体102内的衬底支架104的接近度的其他类型的传感器。传感器116,可以靠近顶部112与腔体102耦接或与壁108耦接,虽然腔体102内和围绕腔体的其他位置可以是合适的,诸如连接到腔室100外部的定子118。在一个实施方式中,一个或多个传感器116可以耦接至定子118并适于通过壁108感测衬底支架104(或衬底140)的上升和/或位置。在该实施方式中,壁108可包括较薄的截面以便于通过壁108的位置感测。
腔室100还包括一个或多个温度传感器117,所述温度传感器117可适于在处理之前、期间和之后感测衬底140温度。在图1所示的实施方式中,温度传感器117穿过顶部112设置,虽然可以使用腔体102内和围绕腔体102的其他位置。温度传感器117可以是光学高温计,作为示例,具有光学探头的高温计。传感器117可适于成与顶部112耦接的结构以感测衬底的整个直径或部分衬底。传感器117可以包括限定基本上等于衬底直径的感测区域或者基本上等于衬底半径的感应区域的图案。例如,多个传感器117可以与顶部112成径向或直线结构耦接以形成贯穿衬底的半径或直径的感应区域。在一个实施方式中(未示出),多个传感器117可以设置在从围绕顶部112的中心到顶部112的外围部分延伸的直线上。以该方式,衬底的半径可以通过能够在旋转期间感测衬底的直径的传感器117监控。
RTP腔室100还包括与顶部112相邻、连接或在顶部112中形成的冷却区180。一般地,冷却区180与辐射热源106隔开并相对。冷却区180包括一个或多个与入口181A和出口181B连接的冷却剂管道184。冷却区180可以由诸如不锈钢、铝、聚合物或陶瓷材料的工艺耐腐蚀材料形成。冷却剂管道184可包括螺旋形图案、矩形图案、圆形图案或其组合以及管道184可以集成地形成在冷却区180内,例如,通过浇铸冷却区180和/或由两件或多件制造冷却区180并将这些件接合。另外地或可选地,冷却剂管道184可以埋入冷却区180中。
如本文所述,腔室100适于接收“面朝上”定位的衬底,其中衬底的沉积物接收侧面或表面朝冷却区180定位并且衬底的“背面”面向辐射热源106。“面朝上”定位可以使得来自辐射热源106的能量更快地被衬底140吸收,原因在于衬底的背面通常比衬底的正面具有较低的反射性。
虽然冷却区180和辐射热源106如所描述的分别位于内容积120的上部和下部,但冷却区180和辐射热源106的位置可以倒置。例如,冷却区180可以设计尺寸并配置为位于衬底支架104的内径中,以及辐射热源106可以与顶部112耦接。以该设置,石英窗口114可以设置在辐射热源106和衬底支架104之间,诸如与腔室100的上部中的辐射热源106相邻。虽然当衬底背面朝向辐射热源106时,衬底140可更快地吸收热量,但衬底140可以面朝上方向或面朝下方向定位的任一结构。
入口181A和出口181B可以通过阀和适合的管子与冷却剂源182耦接以及冷却剂源182与控制器124相通以便于控制位于其中的流体的压力和/或流动。该流体可以是水、乙二醇、氮(N2)、氦(He)或其他用作热交换媒介的流体。
在一个实施方式中,腔室100适于实施衬底140的热处理。该方法包括将衬底140移动至与辐射热源106相邻的第一位置以加热位于第一位置的衬底140。其上具有加热的衬底140的衬底支架104随后移动至与有源冷却装置相邻的第二位置,诸如冷却区180,以冷却位于第二位置的衬底。
图2是衬底支架104的一个实施方式的等角视图。衬底支架104包括环形体220,该环形体220具有尺寸上接收辐射热源和其他硬件(未在该视图中示出)的内径209。衬底支架104至少部分由磁环部分208和支撑部分212组成。磁环部分208可以是至少部分由磁性材料,诸如含铁材料组成以利于衬底支架104与定子118磁耦合。含铁材料包括低碳钢、不锈钢,其可包括诸如镍电镀的电镀金属。在一个实施方式中,磁环部分208由多个围绕中心轴成极性阵列设置的永久磁铁组成。磁环部分208可额外包括具有形成在其中的一个或多个管道223的外表面。在一个实施方式中,磁环部分208包括诸如具有一个或多个形成在其中的管道223的“E”形或“C”形的成形形状。
支撑部分212一般适于最小化来自辐射热源106的能量诸如,热和/或光的损失,从而来自辐射热源106的主要部分的能量包含在衬底140的下表面和辐射热源106的上端之间的区域内(未在该图中示出)。支撑部分212可以是从磁环部分208的上表面延伸的环形延伸214。支撑部分212还可包括支撑环210,在一个实施方式中,该支撑环210有利于对准并为衬底140提供密封表面202。在一个实施方式中,至少部分支撑环210由对来自辐射热源106的能量透明的材料诸如石英材料形成。在另一实施方式中,支撑环210包括可熔结的碳化硅材料。支撑环210可进一步包括氧化物涂层或氧化层,其可包括氮。在2004年2月5日递交的并在2005年5月3日授予的美国专利No.6,888,104描述了可以使用的支撑环210的示例,在此引入其全部内容作为参考。
支撑环210一般包括内壁222和从内壁222向内延伸的支撑唇(support lip)219。内壁222可以分级的或倾斜的形式在尺寸上略大于衬底以及当衬底支架104提升时便于衬底140的对准和/或居中。衬底随后可以固定在支架唇219上以及在衬底支架104提升和/或旋转期间维持衬底居中。支撑环210还可包括与内壁222相对的从支撑环210的上表面向下延伸的外壁223。外壁223和内壁222之间的区域形成便于环形延伸214上的支撑环210对准的管道224。支撑部分212可通过紧固、粘接或重力连接至磁环部分208,并适于在处理期间支撑衬底140。在一个实施方式中,支撑环210起到边缘环功能以及为了方便移除和置换可以重力附接于环形部分214。
支撑部分212可以由减少潜在刮擦、化学或物理污染、和/或衬底的损伤的材料形成,例如,诸如碳化硅、不锈钢、铝、陶瓷的材料,或者可使用高温聚合物。可选地,支撑部分212可以由磁环部分208的材料制造为整体构件。至少部分支撑部分212可以用反射性材料制造或涂覆,或根据工艺参数由黑色材料形成或涂覆类似于黑体吸收热量。应当注意在此使用的黑色材料可包括诸如黑颜色的暗色,但不限于暗色材料或涂层。更具体地,黑色材料、黑色抛光面或黑色涂层指类似于黑体无反射率或缺乏吸收能量,诸如热和/或光的能力的材料、涂饰或涂层。
图3是包括腔体102,并具有限定如图1所示的内容积120的壁108、底部110和顶部112的RTP腔室300的另一实施方式的侧视图的示意图。腔室300还包括如图1所示的无接触或磁悬浮衬底支架104,但为简单起见未示出腔室200外部的定子和其他部件。在该实施方式中,衬底支架104显示为位于交换的位置,其中多个升降杆144支撑衬底140以便于衬底的传送。
在该实施方式中,部分衬底支架104和/或磁环部分208可搁在或接近腔体102的底部110的上表面。以及窗口114通过其中一个磁环部分208的上表面和/或耦接的延伸312来支撑或否则通过底部110的上表面支撑。延伸312可以是围绕设置在衬底支架104的内径中的部分辐射热源106的冷却剂组件360的侧壁,或者延伸312可以是与衬底支架104的内径中和冷却剂组件360的外部的底部的上表面连接接的支撑构件。适配器板315还可耦接至腔室底部110以便于用于辐射热源106和/或冷却剂组件360的电线和其他支撑装置的连接。
支撑部分212可以是从衬底支架104或磁环部分208延伸的环形延伸214。支撑部分还可包括为衬底140提供对准和密封表面的支撑环210。支撑环210包括内壁222和从内壁222向内延伸的支撑唇219。内壁222可以尺寸上略大于衬底以及当衬底支架104提升时便于衬底140的对准和/或居中。衬底140可随后固定在支撑唇219上以及在衬底支架104的提升和/或旋转期间维持衬底居中。
在一个实施方式中,冷却区180包括用于如上所述循环冷却液的多个冷却剂管道348A-348C。冷却剂管道可以是分立的管道或离散的流径,或者冷却剂管道包括多个与冷却剂源182耦接的闭合的流径。在一个实施方式中,冷却区180包括多个冷却区域,诸如一般通过冷却剂管道348A限定的外区,一般通过冷却剂管道348C限定的内区,以及通过冷却剂管道348B限定的中间区。外区可对应于衬底140的外围,而内区和中间区可对应于衬底140的中央部分。冷却剂温度和/或冷却剂流动可以在这些区域中进行控制,例如,相对于衬底的中央,在衬底140的外围上提供更多的冷却。以该方式,冷却区180可通过在需要或预期冷却的衬底的区域中提供更多或更少的冷却来提供改善的衬底140的温度控制。
冷却区180可以由诸如铝、不锈钢、镍、陶瓷或工艺耐腐蚀聚合物的材料形成。冷却区180可包括反射性材料,或包括配置为将热量反射至衬底表面上的反射涂层。可选地,冷却区180可包括黑色材料(诸如配置为基本类似于黑体吸收能量的黑色材料)或另外用黑色材料涂覆或抛光或表面配置为吸收来自衬底和/或内容积120的热量。冷却区180还可包括表面或外表面332,所述表面或外表面332可粗糙化或抛光以增加以热和/或光形式的辐射能量的反射率或吸收。外表面332还可包括涂层或光洁面根据工艺参数增加反射率或吸收。一个实施方式中,冷却区180可以是黑色材料或类似于黑色材料的材料,或另外用黑色材料或类似于黑色材料涂覆或抛光,以具有接近1的发射率或发射度,诸如约0.70到约0.95之间的发射率。
如图3所示,内容积120包括温度转变区305,或者描述为距离D3的处理区,其包括加热区306A和冷却区306B,从而在处理期间可以暴露衬底。区域306A、306B能使衬底140在处理期间在内容积120中快速加热和快速冷却。作为示例,加热区306A可以使得处理期间衬底140的表面上的温度在约450℃到约1400℃之间,以及冷却区306B根据工艺参数在处理期间可以使衬底140的表面冷却至室温或更低。
例如,可以在室温或真空交换腔或其他外围腔室或传送装置中的加热装置提供的高于室温的某个温度将衬底140传送到RTP腔室。衬底140的温度在衬底传送至RTP腔室之前、期间或之后可称为第一或导入温度,可以从所述温度开始RTP工艺。在一个实施方式中第一或导入温度可以在约室温到约600℃之间。一旦衬底140导入至腔室,衬底可以快速加热,使衬底的温度从导入的温度至约800℃到约1200℃之间,诸如900℃到约1150℃的第二温度。在一个实施方式中,使用来自传感器117的反馈改变和监控辐射热源的功率,以在加热步骤或第一加热周期使衬底表面能在约900℃到约1150℃的第二温度。
在一个实施方式中,第一加热周期设置为使衬底的温度在约2分钟或更少时间,诸如从50秒和约90秒,例如约55秒和约75秒之间在整个衬底上从导入温度提高到约900℃到约1150℃。在衬底在加热周期已达到第二温度之后,尖峰或转变阶段可能开始,其中包括第二加热周期。该第二加热周期可包括将衬底加热比第二温度高约25℃到约100℃的第三温度。转变阶段还包括将衬底温度降低至比第三温度低约25℃到约100℃的第四温度。在一个实施方式中,第三温度和第四温度彼此在约5℃到约20℃内,以及在一个实施方式中,第三温度和第四温度基本上相同。转变阶段可包括约3秒或更少的第三周期,诸如约0.1秒到约2秒,例如,约0.3秒到约1.8秒之间。
在转变阶段之后,衬底可放置在邻近冷却区180区并通过冷却区180和冷却剂源315其中之一或两者进行快速冷却(以下将更详细描述)。在第四周期中衬底可冷却至基本上等于第一或导入温度的温度,第四周期可以小于10秒,诸如约2秒到约6秒。衬底可快速冷却至预期的温度,包括在或近似室温的温度,或冷却至能进行传送的高于室温的温度,从而可提高产量。
在一个应用中,一种用于通过如本文所述的RTP腔室进行热处理衬底的方法。该方法包括以第一温度向腔室提供衬底140,诸如室温,或高于室温的某个温度,以及在第一时间周期诸如约2分钟或更少加热衬底至第二温度,该第二温度是约900℃到约1150℃。该方法还包括在可能少于约2秒的第二时间周期将衬底140加热至比第二温度高诸如约25℃到约100℃的第三温度。该方法还包括在第二时间周期将衬底140冷却至第二温度,以及在可能少于约3秒的第三时间周期将衬底冷却至第一温度。
衬底的快速加热和冷却,如上所述,具有许多优点。通过来自传感器117的反馈持续监控衬底的温度,以及可以通过相对于冷却区180和/或加热源106移动衬底便于衬底温度的改进控制。掺杂剂扩散控制可以通过衬底的快速并可控的加热和冷却来改善,并且可以改善器件性能。另外地,减少的加热和冷却时间可以增加产量。
为了使得衬底能够快速加热和冷却,衬底可以在温度转变区305中移动。内容积120和区域306A、306B中衬底140的移动促使在衬底加热和冷却之间的锐转变和/或较少的停留时间。在一个示例中,一旦衬底140放置在处理位置,温度转变区305的加热区306A可包括对于衬底140(或衬底支架104)的移动距离D1,例如,约0.5英寸到约1.5英寸之间。温度转变区的冷却区306B可包括对于衬底140(或衬底支架104)的移动距离D2,约0.5英寸到约1.5英寸之间。在一个实施方式中,内容积内的衬底140(或衬底支架104)的总移动,诸如在辐射热源106和冷却区180之间,是约0.75英寸到约3.25英寸之间,例如,约1.0英寸和约2.75英寸之间,诸如约2英寸。在一个实施方式中,距离D1包括距离D3的约二分之一,以及距离D2包括距离D3的约二分之一。根据衬底的平整度和衬底的其他物理特征,以及衬底支架的机械性质,衬底支架104可配置为将衬底提升至紧邻衬底140的位置。假设衬底具有适合的平整度,以及衬底支架104和设置在其上的衬底基本平行于冷却区180,衬底可以从冷却区180的下表面在约0.005英寸到约0.025英寸内提升。将衬底放到紧邻冷却区能够使得衬底的快速热传递和改善冷却。
在一个实施方式中,腔室300包括与冷却剂源315相连的气孔310。气孔310可以是歧管或形成的多个开口,或另外与腔室壁108的上部分连接,以及可以形成为,或适于连接至能够使得层流经过冷却区306B的喷嘴,例如,邻近冷却区180的外表面332。为了能形成增强的流动通道,腔室还包括在腔室壁108形成的出口320,通常与气孔310相对。出口320可连接到配置为辅助空气控制系统164(图1)的真空源以及通过气孔310去除过量气体。冷却剂源315包括冷却液,诸如氦(He)、氮(N2)或其他适合冷却液,并定向或配置为在冷却区306B内流动。当衬底处于冷却区306B中时,来自气孔310的冷却液能够快速冷却衬底140。
如参照图1所示,辐射热源106耦接至冷却剂组件360,该冷却剂组件适于维持适合温度和/或冷却辐射热源106的蜂窝管160。冷却剂组件360包括侧壁312和底部314,适于包含流体。底部314包括孔322和324,所述孔322和324配置为提供及去除来自冷却剂源183的冷却剂流体,所述冷却液可以是水、乙二醇或其他适合冷却液。冷却剂组件360还可包括多个在其中形成的多个流体管道(参照图4所示),用于改善来自冷却液和辐射热源106的热传递。
图4是处理位置中的RTP腔室400另一实施方式的部分侧视图以及并将对冷却剂组件360的详细内容进行描述。冷却剂组件360包括底部322和侧壁312,如图其他附图中所示,以及还包括主体427,其包括分隔多个蜂窝管160的多个隔离物426。该主体还可包括与底部322相对的平板423使得在二者之间形成空隙(void)446,该平板设计为包含来自第一冷却剂源485A的冷却剂以及将空隙446与多个蜂窝管160隔离。空隙446通过与底部322连接的孔324与冷却剂源485A相通,并且孔324与气室445相通,该气室445通过气室孔415与空隙446流体相通。平板423可包括在其中形成的多个管道或凹槽428以增加可用于冷却液的表面积,从而增强来自辐射热源106的散热。
在操作中,通过孔322将来自第一源485A的冷却液提供给空隙446,以及冷却剂至少部分地填充空隙446。冷却剂可持续流入空隙以散热并通过气室孔415排放至气室445。冷却剂可通过孔324从气室445去除并返回至第一源485A。冷却剂可以在经过空隙446循环之前补充和/或冷却。以该方式,辐射热源106的温度得到控制。
冷却剂组件360还可包括多个在多个隔离物426中的至少一部分形成的多个流体管道425。配置流体管道425使得来自第二流体源485A的冷却液,诸如水、乙二醇、氮(N2)、氦(He)或其他作为热交换媒介的流体流动。流体管道425通过至少一个入口和出口(未示出)耦接至第二流体源485B。来自第一和第二源485A、485B的冷却剂的流动便于改善辐射热源106的温度控制。
腔室100还包括具有支撑构件210的磁悬浮或无接触衬底支架104和与设置在管道或槽412中的环形体220耦接的环形延伸212。槽412通过用于将冷却剂提供给槽的孔420连接至流体源186,从而可将从辐射热源106传递的热量和/或处理期间由于环形体220的旋转产生的热量散失。流体源186可包括冷却液,诸如水、乙二醇、氮(N2)、氦(He)或其他用作热交换媒介的流体。间隙418还可在冷却剂组件360的侧壁312和槽412的侧壁之间形成以便于衬底支架104的环形体220和辐射热源106之间的绝热。
虽然前述涉及本发明的实施方式,但在不偏离本发明的基本精神范围内可以涉及本发明其他和进一步的实施方式,并且其范围通过以下权利要求书确定。

Claims (17)

1.一种衬底处理装置,包括:
具有内容积的腔室,
以相对的关系设置在内容积中的热源和冷却板;所述冷却板包括独立控制的多个冷却区,所述多个冷却区对应于衬底的外区、中间区和内区;
设置在内容积中的磁驱动衬底支架,所述衬底支架具有环形体,该环形体在其上表面上支撑衬底;以及
在内容积中设置在热源与衬底之间的透明窗口,
其中所述热源设置在所述窗口之下和设置在所述环形体的内径中,
其中所述环形体设置在与所述热源分隔开的槽中,所述槽连接至流体源。
2.根据权利要求1所述的装置,进一步包括:
与所述环形体耦接的环形延伸;和
与所述环形延伸可拆卸地耦合的支撑环。
3.根据权利要求1所述的装置,其中,所述环形体与定子组件磁耦合。
4.根据权利要求1所述的装置,进一步包括:
从所述窗口的上表面延伸的多个升降杆。
5.根据权利要求1所述的装置,其中,所述冷却板含有黑色材料。
6.根据权利要求1所述的装置,其中,所述冷却板具有0.70到0.95之间的发射率。
7.根据权利要求1所述的装置,其中,所述冷却板具有至少一个流体管道,所述流体管道用于冷却剂在其中流动。
8.一种衬底处理装置,包括:
腔室,具有包括上部分和下部分的内容积;
设置在内容积中的冷却板和热源;所述冷却板具有多个冷却区并与所述热源相对,所述多个冷却区独立控制并对应于衬底的外区、中间区和内区;
悬浮的可旋转衬底支架,在所述上部分和所述下部分之间移动所述衬底,所述衬底支架具有环形体;以及
设置在热源和衬底之间的窗口,其中所述窗口能透过红外光谱,
其中所述热源设置在所述窗口之下和设置在所述环形体的内径中,
其中所述环形体设置在与所述热源分隔开的槽中,所述槽连接至流体源。
9.根据权利要求8所述的装置,其中,所述冷却板设置在所述内容积的上部分,而所述热源设置在所述内容积的下部分。
10.根据权利要求8所述的装置,其中,所述冷却板含有黑色材料。
11.根据权利要求8所述的装置,其中,所述冷却板包括至少一个流体管道,所述流体管道用于冷却剂在其中流动。
12.根据权利要求8所述的装置,其中,所述衬底支架具有接收并支撑所述衬底的支撑环。
13.一种衬底处理装置,包括:
腔室,具有包括上部分和下部分的内容积;
设置在所述下部分中的热源;
设置在所述上部分中的冷却板;所述冷却板具有多个冷却区,所述多个冷却区独立控制并对应于衬底的外区、中间区和内区;
设置在内容积中的磁驱动衬底支架,所述衬底支架具有环形体,该环形体在其上表面上支撑衬底;以及
设置在所述热源和衬底之间的窗口,其中该窗口能透过红外光谱,
其中所述热源设置在所述窗口之下和设置在所述环形体的内径中,
其中所述环形体设置在与所述热源分隔开的槽中,所述槽连接至流体源。
14.根据权利要求13所述的装置,其中,所述窗口包括从其上表面延伸的多个升降杆。
15.根据权利要求13所述的装置,其中,所述冷却板含有黑色材料。
16.根据权利要求13所述的装置,其中,所述冷却板具有0.70到0.95之间的发射率。
17.根据权利要求13所述的装置,其中,所述冷却板包括至少一个流体管道,所述流体管道用于冷却剂在其中流动。
CN201410136512.0A 2006-12-14 2007-10-12 用于半导体衬底的快速加热和冷却的装置 Active CN103943537B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/611,061 2006-12-14
US11/611,061 US7378618B1 (en) 2006-12-14 2006-12-14 Rapid conductive cooling using a secondary process plane
CNA2007101635933A CN101207010A (zh) 2006-12-14 2007-10-12 使用二次工艺平面快速传导冷却

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101635933A Division CN101207010A (zh) 2006-12-14 2007-10-12 使用二次工艺平面快速传导冷却

Publications (2)

Publication Number Publication Date
CN103943537A CN103943537A (zh) 2014-07-23
CN103943537B true CN103943537B (zh) 2017-08-11

Family

ID=39312914

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2007101635933A Pending CN101207010A (zh) 2006-12-14 2007-10-12 使用二次工艺平面快速传导冷却
CN201410136512.0A Active CN103943537B (zh) 2006-12-14 2007-10-12 用于半导体衬底的快速加热和冷却的装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2007101635933A Pending CN101207010A (zh) 2006-12-14 2007-10-12 使用二次工艺平面快速传导冷却

Country Status (6)

Country Link
US (5) US7378618B1 (zh)
EP (1) EP1933368A3 (zh)
JP (2) JP5473206B2 (zh)
KR (4) KR20080055608A (zh)
CN (2) CN101207010A (zh)
TW (3) TWI407511B (zh)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5043021B2 (ja) * 2005-10-04 2012-10-10 アプライド マテリアルズ インコーポレイテッド 基板を乾燥するための方法及び装置
KR20080107401A (ko) * 2006-03-08 2008-12-10 세즈 아게 판형 물품의 유체 처리 장치
US7877895B2 (en) * 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
EP3573092B1 (en) * 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
TWI381452B (zh) * 2008-08-29 2013-01-01 Applied Materials Inc 用於擴大溫度高溫測定之方法與設備
US8217317B2 (en) * 2008-09-10 2012-07-10 Applied Materials, Inc. Apparatus with strain release feature for high temperature processes
TWI421945B (zh) * 2008-11-06 2014-01-01 Applied Materials Inc 含有微定位系統之快速熱處理腔室與處理基材之方法
US8314371B2 (en) * 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
JP5441243B2 (ja) * 2009-02-24 2014-03-12 信越石英株式会社 赤外線透過性部材の熱処理用石英ガラス治具
US8536491B2 (en) 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
US8062384B2 (en) * 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130074358A1 (en) * 2011-09-24 2013-03-28 Quantum Technology Holdings Limited Heated body with high heat transfer rate material and its use
CN103088308B (zh) * 2011-11-01 2016-07-13 无锡华润上华科技有限公司 除气腔内的温度监控装置
CN103858214B (zh) * 2011-11-03 2017-02-22 应用材料公司 快速热处理腔室
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US10124445B2 (en) * 2012-01-18 2018-11-13 Halliburton Energy Services, Inc. Heat containment apparatus
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CH706662A1 (de) * 2012-06-14 2013-12-31 Oc Oerlikon Balzers Ag Transport- und Übergabevorrichtung für scheibenförmige Substrate, Vakuumbehandlungsanlage und Verfahren zur Herstellung behandelter Substrate.
US9200965B2 (en) 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9606587B2 (en) * 2012-10-26 2017-03-28 Google Inc. Insulator module having structure enclosing atomspheric pressure gas
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US10403521B2 (en) 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
KR20160006778A (ko) 2013-05-10 2016-01-19 어플라이드 머티어리얼스, 인코포레이티드 연성 재료를 이용한 돔 냉각
CN105190850A (zh) * 2013-05-15 2015-12-23 应用材料公司 用于灯加热组件的扩散器
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
CN111180305A (zh) * 2013-06-26 2020-05-19 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9385004B2 (en) * 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US8861191B1 (en) 2013-09-30 2014-10-14 Google Inc. Apparatus related to a structure of a base portion of a computing device
US9430006B1 (en) 2013-09-30 2016-08-30 Google Inc. Computing device with heat spreader
KR102257567B1 (ko) * 2013-09-30 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 캡슐화된 광 배리어를 갖는 지지체 링
CN111584396B (zh) * 2013-11-06 2023-09-01 应用材料公司 溶胶凝胶涂布的支撑环
KR102258248B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258247B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102359295B1 (ko) * 2013-12-06 2022-02-08 세메스 주식회사 기판 가열 유닛
KR102258245B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258244B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258243B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
KR102258246B1 (ko) * 2013-12-06 2021-05-31 세메스 주식회사 기판 가열 유닛
JP6219178B2 (ja) * 2014-01-20 2017-10-25 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
CN106463400A (zh) 2014-05-27 2017-02-22 应用材料公司 利用顺应性材料的窗冷却
US9442514B1 (en) 2014-07-23 2016-09-13 Google Inc. Graphite layer between carbon layers
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016109063A1 (en) * 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478455B1 (en) * 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6655996B2 (ja) * 2016-01-19 2020-03-04 東京エレクトロン株式会社 基板温調装置及び基板処理装置
JP6513041B2 (ja) * 2016-02-19 2019-05-15 信越半導体株式会社 半導体ウェーハの熱処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107557871B (zh) * 2016-07-01 2019-10-25 上海微电子装备(集团)股份有限公司 激光退火装置及方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
EP3488464B1 (en) 2016-07-22 2021-09-08 Applied Materials, Inc. Heating modulators to improve epi uniformity tuning
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20190035762A (ko) 2016-08-15 2019-04-03 리텔퓨즈 인코퍼레이티드 배터리 관리 시스템을 구비한 플렉서블 정온도 계수 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10661223B2 (en) 2017-06-02 2020-05-26 Applied Materials, Inc. Anneal chamber with getter
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102406942B1 (ko) * 2019-09-16 2022-06-10 에이피시스템 주식회사 엣지 링 및 이를 포함하는 열처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TWI717246B (zh) * 2020-03-30 2021-01-21 群翊工業股份有限公司 具有溫控模組的烤箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11915953B2 (en) 2020-04-17 2024-02-27 Applied Materials, Inc. Apparatus, systems, and methods of measuring edge ring distance for thermal processing chambers
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102504568B1 (ko) * 2020-05-20 2023-03-02 세메스 주식회사 기판 가열 유닛
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102615845B1 (ko) * 2020-11-19 2023-12-22 세메스 주식회사 지지 유닛 및 기판 처리 장치
US20220157643A1 (en) * 2020-11-19 2022-05-19 Applied Materials, Inc. Apparatus for rotating substrates
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102512992B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102512991B1 (ko) * 2020-12-29 2023-03-22 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
KR102569912B1 (ko) * 2020-12-29 2023-08-28 주식회사 비아트론 레이저 발광 소자를 이용한 기판 열처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230304741A1 (en) * 2022-03-25 2023-09-28 Tokyo Electron Limited Magnetic Annealing Equipment and Method
US11649855B1 (en) * 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6464825B1 (en) * 1999-06-15 2002-10-15 Ebara Corporation Substrate processing apparatus including a magnetically levitated and rotated substrate holder
US6514073B1 (en) * 1997-05-20 2003-02-04 Tokyo Electron Limited Resist processing method and resist processing apparatus
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
CN1851895A (zh) * 2005-12-07 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62282437A (ja) * 1986-05-31 1987-12-08 Shinku Riko Kk 半導体ウエハ処理用急速加熱冷却装置
JPS63269515A (ja) * 1987-04-27 1988-11-07 Nikon Corp 光照射装置
JPH01123321A (ja) 1987-11-09 1989-05-16 Matsushita Electric Ind Co Ltd データ処理装置
JPH01276623A (ja) * 1988-04-27 1989-11-07 Mitsubishi Electric Corp ビームアニール装置
JPH0613324A (ja) * 1992-06-26 1994-01-21 Fujitsu Ltd 真空加熱装置
JPH0778831A (ja) * 1993-06-25 1995-03-20 Sony Corp 熱処理方法
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH08316157A (ja) * 1995-05-23 1996-11-29 Souei Tsusho Kk 熱処理炉
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
US5818137A (en) * 1995-10-26 1998-10-06 Satcon Technology, Inc. Integrated magnetic levitation and rotation system
US6133550A (en) * 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
JPH10251853A (ja) * 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
US6157106A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
JPH111775A (ja) * 1997-06-09 1999-01-06 Tokyo Electron Ltd 成膜処理装置
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6323496B1 (en) * 1999-04-19 2001-11-27 Applied Materials, Inc. Apparatus for reducing distortion in fluid bearing surfaces
US6259062B1 (en) 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
KR20020003425A (ko) 2000-06-29 2002-01-12 서정은 인터넷을 이용한 다자간 판매방법 및 시스템
JP2002134592A (ja) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP3869655B2 (ja) * 2000-12-28 2007-01-17 大日本スクリーン製造株式会社 ランプアニール装置
JP4765169B2 (ja) 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP3660254B2 (ja) * 2001-02-23 2005-06-15 大日本スクリーン製造株式会社 基板の熱処理装置
US6809035B2 (en) 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
JP2004079677A (ja) * 2002-08-13 2004-03-11 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003142468A (ja) * 2002-10-08 2003-05-16 Mitsubishi Electric Corp 化学気相成長装置
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
KR20050038763A (ko) * 2003-10-22 2005-04-29 삼성전자주식회사 급속열처리장치
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP2005303082A (ja) * 2004-04-13 2005-10-27 Tokyo Electron Ltd 基板載置台および熱処理装置
JP4733405B2 (ja) * 2005-02-22 2011-07-27 株式会社国際電気セミコンダクターサービス 熱処理装置及び熱処理方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514073B1 (en) * 1997-05-20 2003-02-04 Tokyo Electron Limited Resist processing method and resist processing apparatus
US6464825B1 (en) * 1999-06-15 2002-10-15 Ebara Corporation Substrate processing apparatus including a magnetically levitated and rotated substrate holder
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
CN1851895A (zh) * 2005-12-07 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统

Also Published As

Publication number Publication date
TWI407511B (zh) 2013-09-01
KR20130114628A (ko) 2013-10-17
KR101168795B1 (ko) 2012-07-25
TW201322338A (zh) 2013-06-01
US20140199786A1 (en) 2014-07-17
CN103943537A (zh) 2014-07-23
KR101381313B1 (ko) 2014-04-04
JP2014057073A (ja) 2014-03-27
US20080142497A1 (en) 2008-06-19
KR20100014208A (ko) 2010-02-10
US7812286B2 (en) 2010-10-12
US8658947B2 (en) 2014-02-25
US9209049B2 (en) 2015-12-08
TWI401746B (zh) 2013-07-11
CN101207010A (zh) 2008-06-25
KR20080055608A (ko) 2008-06-19
US8227729B2 (en) 2012-07-24
KR101464931B1 (ko) 2014-11-25
KR20110079597A (ko) 2011-07-07
EP1933368A2 (en) 2008-06-18
JP5473206B2 (ja) 2014-04-16
US7378618B1 (en) 2008-05-27
JP2008166706A (ja) 2008-07-17
TWI545655B (zh) 2016-08-11
TW201351507A (zh) 2013-12-16
US20110008740A1 (en) 2011-01-13
EP1933368A3 (en) 2008-08-27
TW200826199A (en) 2008-06-16
US20120270166A1 (en) 2012-10-25
US20080141556A1 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
CN103943537B (zh) 用于半导体衬底的快速加热和冷却的装置
EP2311076B1 (en) Rapid thermal processing chamber with shower head
CN103151290B (zh) 用以在处理腔室内支撑、定位及旋转基板的设备与方法
CN106463399B (zh) 用于低压热处理的光导管结构窗
KR20160083079A (ko) 졸 겔 코팅된 지지 링
US10327284B2 (en) Diffuser for lamp heating assembly

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant