CN107112267A - 用于基板背侧变色控制的支撑组件 - Google Patents

用于基板背侧变色控制的支撑组件 Download PDF

Info

Publication number
CN107112267A
CN107112267A CN201580073204.0A CN201580073204A CN107112267A CN 107112267 A CN107112267 A CN 107112267A CN 201580073204 A CN201580073204 A CN 201580073204A CN 107112267 A CN107112267 A CN 107112267A
Authority
CN
China
Prior art keywords
heating plate
processing chamber
pedestal
chamber housing
circular base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580073204.0A
Other languages
English (en)
Other versions
CN107112267B (zh
Inventor
大木慎
大木慎一
青木裕司
彼得·德蒙特
森義信
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107112267A publication Critical patent/CN107112267A/zh
Application granted granted Critical
Publication of CN107112267B publication Critical patent/CN107112267B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

于此披露用于处理基板的处理腔室。在一个实施方式中,处理腔室包括支撑轴组件。支撑轴组件具有环形基座、盘形加热板和支撑轴系统。支撑轴系统支撑基座和加热板,使得基座被支撑在加热板上方,在加热板和基座之间限定有间隙。在另一实施方式中,加热板包括多个沟槽且基座包括多个鳍片。鳍片被配置成座落于沟槽内,使得基座被支撑在加热板上方,在加热板和基座之间限定间隙。在另一个实施方式中,于此披露处理在上述实施方式中的基板的方法。

Description

用于基板背侧变色控制的支撑组件
技术领域
于此披露用于处理基板的处理腔室。更具体地,于此披露的实施方式涉及用于在基板上沉积外延层中使用的基座和加热板。
背景技术
用于处理半导体基板的一种类型的设备是单一基板处理器,其中一次一个基板被支撑在处理腔室中的基座上。基座将腔室划分为在基座下方的一个部分及在基座上方的第二部分。基座通常被安装在轴上,轴绕轴的中心而旋转基座以增强基板的均匀处理。处理气体的流动提供在腔室的顶部并跨越基板的表面。腔室通常具有在腔室的一侧的气体入口端口(inlet port)和在相反侧的气体出口端口,以实现处理气体跨越基板的流动。基座被加热,以将基板加热到所需的处理温度。用以加热基座的一个方法是通过使用围绕腔室而提供的灯。灯引导光进入腔室并引导至基座上。为了控制基板被加热达到的温度,不断地测量基座的温度。可使用红外温度传感器来测量温度,红外温度传感器检测从被加热的基座所发射的红外辐射。
在处理期间,一些处理气体可围绕基座的边缘流动并将材料层沉积在基板的背表面上。沉积在基板的背表面上的层导致基板的背侧变色,此为被污染的背表面的证据。基板的背表面的污染导致总产出降低。
因此,存在有提供用于处理基板的改良设备和方法的需求。
发明内容
于此所披露的实施方式涉及用于半导体处理的处理腔室。在一个实施方式中,处理腔室具有腔室主体,腔室主体具有内部容积。支撑轴组件设置在内部容积中。支撑轴组件包括支撑轴系统、盘形加热板及环形基座。支撑轴系统包括轴、多个臂及多个可移动的支撑销。每个臂具有第一端和第二端。每个臂的第一端耦接至轴。每个臂的第二端具有销接收凹槽(pin receiving recess)。多个可移动的支撑销被放置在销接收凹槽的相应端内。盘形加热板由支撑轴系统支撑。环形基座由支撑轴系统中的多个销支撑,使得在加热板与基座之间存在间隙。
在另一个实施方式中,用于半导体处理的处理腔室包括腔室主体,腔室主体具有内部容积。支撑轴组件设置在内部容积内。支撑轴组件包括支撑轴系统、盘形加热板及环形基座。支撑轴系统包括轴和多个臂。每个臂具有第一端和第二端。每个臂的第一端耦接至轴。盘形加热板由多个臂的第二端支撑。加热板具有顶表面。顶表面具有多个沟槽。环形基座具有多个鳍片。鳍片设置在加热板中的多个沟槽内。鳍片和沟槽产生在加热板和基座之间的间隙。
在另一实施方式中,于此披露用于在处理腔室中处理基板的方法。方法包括使处理气体流入至处理腔室中,并使净化气体从基板下方流出。处理腔室具有腔室主体,腔室主体具有内部容积。支撑轴组件设置在内部容积中。支撑轴组件包括支撑轴系统、盘形加热板及环形基座。支撑轴系统包括轴、多个臂及多个可移动的支撑销。每个臂具有第一端和第二端。每个臂的第一端耦接至轴。每个臂的第二端具有销接收凹槽。多个可移动的支撑销被放置在销接收凹槽的相应端内。盘形加热板由支撑轴系统支撑。环形基座由支撑轴系统中的多个销支撑,使得在加热板与基座之间存在间隙。净化气体流入至处理腔室中。净化气体在基板的下方,在加热板与基座之间所限定的间隙中流动。
附图说明
可通过参照实施方式(一些实施方式描绘于附图中)来详细理解本公开内容的上述特征及以上简要概述的有关本公开内容的更具体的描述。然而,应注意,附图仅图示本公开内容的典型实施方式,且因此不被视为限制本公开内容的范围,因为本公开内容可允许其他等效的实施方式。
图1是根据一个实施方式的处理腔室的侧视图。
图2A是根据一个实施方式的加热板的一个实施方式的侧面透视图。
图2B是根据一个实施方式的图2A的加热板的顶部透视图。
图3A是根据一个实施方式的基座的一个实施方式的侧面透视图。
图3B是根据一个实施方式的图3A的基座的顶部透视图。
图4A是根据一个实施方式的来自支撑轴组件的臂的一个实施方式的侧视图。
图4B是根据一个实施方式的图4A中的臂具有支撑尖端在适当位置(in place)的侧视图。
图4C是根据一个实施方式的图4B中的臂具有加热板在适当位置的侧视图。
图4D是根据一个实施方式的图4C中的臂具有基座在适当位置的侧视图。
图5A是根据一个实施方式的加热板的一个实施方式的侧面透视图。
图5B是根据一个实施方式的在图5A中所示的加热板的底部透视图。
图6A是根据一个实施方式的基座的一个实施方式的侧面透视图。
图6B是根据一个实施方式的图6A中所示的基座的底部的底部透视图。
图7A是根据一个实施方式的来自支撑轴组件的臂的一个实施方式的侧视图。
图7B是根据一个实施方式的图7A中的臂具有支撑尖端在适当位置的侧视图。
图7C是根据一个实施方式的图7B中的臂具有加热板在适当位置的侧视图。
图7D是根据一个实施方式的图7C中的臂具有基座在适当位置的侧视图。
为了清楚起见,已在适合的地方使用相同的附图标记来标示各图共有的相同元件。此外,一个实施方式的元件可有利地适用于在本文所述的其它实施方式中使用。
具体实施方式
图1是根据一个实施方式的处理腔室100的剖视图。处理腔室100包括腔室主体102及控制器106。腔室主体102包括上拱形结构(dome)112、环形主体160和下拱形结构114。上拱形结构112和下拱形结构114夹持环形主体160并限定处理腔室100的内部容积116。基板支撑组件104被定位在腔室主体102的内部容积116中。
基板支撑组件104包括支撑轴系统108、基座110和加热板118。支撑轴系统108包括轴120、护罩(shroud)122、多个升降杆124、多个臂126及多个可移动的支撑销127。支撑轴系统108的轴120位于护罩122内。致动器128耦接到轴120,且被配置成在中心轴上旋转轴120。护罩122通常被固定在适当位置,且因此在处理期间不旋转。
多个臂126耦接到轴120。臂126径向延伸出,以支撑加热板118及基座110。每个臂126具有第一端130和第二端132。每个臂126的第一端130耦接到轴120。每个臂126的第二端132包括底座构件134和形成在底座构件134中的销接收凹槽(示出于图4A-4B;图7A-7B中)。销接收凹槽被配置成接收支撑销127。销接收凹槽允许具有一种尺寸的销127被替换为具有不同尺寸的销127。例如,具有一种长度的销127可以具有不同长度的销127交换。每个臂126被配置成支撑加热板118。例如,支撑轴系统108可包括大致120度相等间隔隔开的三个臂126。三个接触点帮助支撑基座110。
杆124延伸通过加热板118并支撑基座110。基座110被支撑在加热板118上方,使得间隙136被限定在基座110与加热板118之间。来自净化气体源138的净化气体可流动通过间隙136。净化气体帮助减少因处理气体通过基座110下方导致材料的沉积而使基板101的背侧变色。基板101被支撑于加热板118的正上方,使得第二间隙140形成于加热板118和基板101之间。在基板101和加热板118之间的第二间隙140可具有与在基座110与加热板118之间所形成的间隙136相同的宽度。第二间隙140允许处理气体在基板101下方,在基板101和加热板118之间流动。
升降杆124被设置成通过形成在支撑轴系统108中的开口(未示出)。升降杆124是可垂直致动的。升降杆124适于接触基板101的下侧142,以从处理位置(如图所示)将基板101举升至基板移除位置。
环形主体160包括形成于环形主体160中的多个端口。例如,入口148A可适于从处理气体源150提供处理气体至内部容积116中。出口端口148B被设置成从入口148A跨越,以引起处理气体跨越基板101的横向流动。以此方式,处理气体可平行于基板101的上表面而流动。热源144帮助处理气体热分解到基板101上,以在基板101上形成外延层(未示出)。
环形主体160可进一步包括预热环146。预热环146绕腔室主体102的内部容积116而设置,且当基板101在处理位置时包围基板101。在处理期间,预热环146通过热源144而加热。当处理气体通过邻近于预热环146的入口148A进入环形主体160时,预热环146帮助处理气体的预热。
热源144例如可为灯,灯适于提供热能至定位在处理腔室100内的部件。例如,热源144可适于提供热能至基板101、基座110和/或预热环146。下拱形结构114可由光学透明的材料(诸如石英)形成,以促进热辐射从下拱形结构114通过。在一个实施方式中,热源144可被定位成通过上拱形结构112和下拱形结构114而提供热能。
高温计152适于测量基座110和/或基板101的温度。高温计152的聚焦束(未示出)被引导通过下拱形结构114和通过轴120。高温计152测量基座110的温度(例如,当基座110由碳化硅形成时)或基板101的温度(例如,当基座110由石英形成,或当基座110不存在且基板101以另一种方式(诸如通过环)被支撑时)。
处理腔室100包括用以执行和监测预定工艺(诸如在处理腔室100中的外延膜的生长)的部件。处理腔室100包括一个或更多个气体面板、气体分配导管、真空和排气子系统、电源及工艺控制仪器。控制器106耦接到处理腔室100且适于控制处理腔室100的功能。
控制器106是可操作的,以控制在处理腔室100内的基板101的处理。控制器106包括耦接到处理腔室100的各种部件以促进控制处理基板101的工艺的可编程的中央处理单元(CPU)154、输入控制单元及显示单元(未示出)(诸如电源、时钟、高速缓冲存储器(cache)、输入/输出(I/O)电路及类似物),中央处理单元(CPU)154可与存储器156和大容量存储装置一起操作。控制器106亦可包括用于通过在处理腔室100中的传感器(未示出)监测基板101的处理的硬件。
为促进处理腔室100的控制和处理基板101,CPU 154可为用于控制基板处理的任何形式的通用目的计算机处理器的一种。存储器156耦接到CPU 154,且存储器156是非暂态的并可为一或多种容易获得的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘驱动器、硬盘或任何其它形式的、本地的或远程的数字存储器。支持电路158耦接至CPU154,以传统的方式支持CPU 154。用于处理基板101的工艺通常被存储在存储器156中。用于处理基板101的工艺亦可通过位于由CPU 154所控制的硬件的远程处的第二CPU(未示出)而被存储和/或执行。
存储器156以包括指令的计算机可读的存储介质的形式,当由CPU 154执行时,存储器156帮助处理在处理腔室100中的基板101的操作。在存储器156中的指令是以程序产品的形式(诸如,实现处理基板101的操作的程序)。程序代码可符合多种不同的程序语言的任一种。在一个例子中,本公开内容可被实施为存储在计算机可读的存储介质中、与计算机系统一起使用的程序产品。程序产品的(多个)程序限定实施方式的功能。示例性的计算机可读的存储介质包括(但不限于):(i)信息永久地存储在上面的不可写的存储介质(如,在计算机内的只读存储器装置(诸如可通过CD-ROM驱动器读取的CD-ROM盘)、快闪存储器(flashmemory)、ROM芯片或任何形式的固态的、非挥发的半导体存储器);及(ii)可替代的信息存储在上面的可写的存储介质(如,在软盘驱动器内的软盘或硬盘驱动器或任何形式的固态随机存取半导体存储器)。这种计算机可读的存储介质(当携带了针对在此所述的方法的功能的计算机可读的指令时)是本公开内容的实施方式。
图2A显示加热板118的侧视图。加热板118包括第一盘形主体200和第二盘形主体202。加热板118可由石墨碳或石墨硅涂层制成。第一盘形主体200包括底表面204、顶表面206、内壁208和外壁210。顶表面206与底表面204相对并平行于底表面204。内壁208垂直于底表面204并将顶表面206连接至底表面204。内壁208包括内径212。外壁210与内壁208相对并将顶表面206连接至底表面204。外壁210包括外径214,使得外径214大于内径212。
第二盘形主体202是与第一盘形主体200同心的。第二盘形主体202包括底表面216、顶表面218、内壁220和外壁222。顶表面218与底表面216相对并平行于底表面216。底表面216耦接至第一盘形主体200的顶表面206。内壁220垂直于底表面216并将底表面216连接到顶表面218。内壁220具有内径224,使得内径224大于第一盘形主体200的内径212。外壁222与内壁220相对并平行于内壁220。外壁222将底表面216连接到顶表面218。外壁222具有外径226,使得外径226大于内径224并小于第一盘形主体200的外径214。第一盘形主体200和第二盘形主体202在第一盘形主体200的顶表面206中限定突出部分(ledge)228。
图2B是加热板118的顶部透视图。加热板118进一步包括穿过加热板118而形成的多个孔232、234、236。第二盘形主体202包括两组孔232、234。这两组孔232、234都提供了第二盘形主体202的顶表面218和第一盘形主体200的底表面204之间的流体连通。第一组孔232具有小于第二组孔234的直径。加热板118具有每平方厘米约5.25个孔的孔密度,并允许净化气体在基板下流动(未示出)。第二组孔234绕第二盘形主体202的周缘而相等地间隔开,诸如(例如)以120度彼此隔开三个孔。第二组孔234被配置成使得支撑尖端(未示出)可延伸通过孔234,并从基座升高基板/将基板降低至基座上(未示出)。
第三组孔236设置在加热板118的突出部分228上。第三组孔236提供突出部分228和第一盘形主体200的底表面204之间的流体连通。第三组孔236被配置成使得支撑销(未示出)可延伸通过孔236,以支撑基座。第三组孔236可沿着突出部分228均匀地间隔开,诸如(例如)以120度彼此隔开。
图3A是基座110的一部分的侧面透视图。基座110包括环形主体300。基座110可以由与加热板118相同的材料制出,诸如(例如)石墨碳,或基座110可具有石墨硅涂层。环形主体300包括底表面302、顶表面304、外壁306、内壁308、凹壁(indented wall)310和突出部分312。底表面302具有第一长度314,且与顶表面304相对并平行于顶表面304。底表面302被配置成接触支撑销的顶表面,如图4D中所示。顶表面304包括第二长度316。
外壁306垂直于底表面302,且包括第一高度318和外径320。外壁306将顶表面304连接至底面302。内壁308包括第二高度322和内径324,其中内径324小于外径320。外壁306垂直于底表面302。凹壁310垂直于底表面302,且包括大于内径324并小于外径320的直径326,和第三高度328。凹壁310的第三高度328使得凹壁310的第三高度328加上内壁308的第二高度322实质上等于外壁306的第一高度318。
突出部分312是通过内壁308和凹壁310而限定的。突出部分312平行于底表面302,且具有长度,使得突出部分312的长度330加上顶表面304的第二长度316实质上等于底表面302的第一长度314。
图3B是基座的顶部透视图。突出部分312进一步包括穿过突出部分312而形成的多个孔332。在突出部分312中的多个孔332提供用于与底表面302的流体连通。在突出部分312中的多个孔332与在加热板(未示出)的突出部分中的多个孔(未示出)对齐,使得净化气体可从加热板下方通过加热板流动,通过间隙,并通过基座110。基座110具有每平方厘米约5.99个孔的孔密度。
图4A是支撑轴系统108的臂126的侧面透视图。支撑轴系统108的臂126包括两端,第一端(未示出)和第二端132。第二端132具有底座构件134,销接收凹槽400形成于底座构件134中。销接收凹槽400被配置成接收可互换的销(如图4B中所示),使得加热板和基座之间的间隙可改变(如图4D中所示)。销接收凹槽400亦被配置成支撑基座。底座构件134被配置成支撑加热板。例如,在加热板和基座之间的间隙可为至少0.1mm,诸如在0.1mm至1mm的范围中。
图4B是图4A的臂126具有支撑销127在适当位置的侧面透视图。支撑销127包括第一圆柱部分404和第二圆柱部分406。销127可由SiC制成。第一圆柱部分404包括顶表面408、底表面410、内壁412和外壁414。顶表面408与底表面410相对并平行于底表面410。内壁412和外壁414彼此平行并垂直于底表面410。壁412、414将顶表面408连接至底表面410。内壁412进一步包括内径416。外壁414进一步包括外径418,其中外径418大于内径416。
第二圆柱部分406包括顶表面420、底表面422、内壁424和外壁426。顶表面420与底表面422相对并平行于底表面422。第二圆柱部分406的底表面422耦接到第一圆柱部分404的顶表面420。内壁424平行于外壁426,且两个壁424、426垂直于底表面422。壁424、426将顶表面420连接至底表面422。内壁424进一步包括内壁直径428,其中内壁直径428小于第一圆柱部分404的内径416。外壁426进一步包括外径430,其中外径430大于第一圆柱部分404的外径418。
图4C是图4B的臂126具有加热板118在适当位置的侧面透视图。加热板118由支撑轴系统108支撑。臂126的底座构件134接触加热板118,并支撑加热板118。多个销127延伸穿过形成在加热板118中的孔234。多个销127被配置成支撑基座(未示出)。
图4D是图4C的臂126具有基座110在适当位置的侧面透视图。基座110的底表面302由支撑销127的第二圆柱部分406的顶表面420支撑,使得在基座110的底表面302和加热板118的顶表面206、218之间产生间隙136。间隙136允许在处理期间从腔室100的底部所提供的处理气体从基板101下方流出,导致处理气体在基板的背表面上的沉积减少。此外,当加热板118和基座110由臂126支撑时,在加热板118中的孔232与在基座110中的孔332对齐。孔的对齐允许净化气体到达腔室100的上拱形结构112。孔232、332也起到降低在垂直方向上升的净化气体和在水平方向上跨越基板的表面流动的处理气体之间的紊流(turbulence)的作用。孔232、332减缓在腔室100中升高的净化气体的速率,从而导致当净化气体遇到处理气体时的较少紊流。紊流的减少还允许材料更厚重(smother)地沉积在基板上。
图5A是加热板500的另一个实施方式的侧面透视图。加热板500包括第一盘形主体502和第二盘形主体504。第一盘形主体502包括底表面506、顶表面508、内壁510和外壁512。顶表面508与底表面506相对并平行于底表面506。内壁510垂直于底表面506,且将底表面506连接到顶表面508。内壁510包括内径514。外壁512与内壁510相对,且将顶表面508连接至底表面506。外壁512包括外径516,使得外径516大于内径514。
顶表面508进一步包括第一平面536和第二平面538。第一平面536位于第二平面538上方。第二平面538包括垂直于底表面506的两个侧壁540。两个侧壁540将第一平面536连接至第二平面538。下壁542平行于底表面506,且连接两个侧壁540。下壁542垂直于侧壁540。两个侧壁540和下壁542限定在第一个盘形主体502的顶表面508中的沟槽544。沟槽544被配置成接收基座的一部分(如图7D中所示)。
第二盘形主体504与第一盘形主体502是同心的。第二个盘形主体504包括底表面518、顶表面520、内壁522和外壁524。顶表面520与底表面518相对并平行于底表面518。底表面518耦接到第一盘形主体502的顶表面520。内壁522垂直于底表面518且将底表面518连接到顶表面520。内壁522具有内径526,使得内径526大于第一盘形主体502的内径526。外壁524与内壁522相对并平行于内壁522。外壁524将底表面518连接到顶表面520。外壁524具有外径528,使得外径528大于内径526且小于第一盘形主体502的外径516。第一盘形主体502和第二盘形主体504在的第一盘形主体502的顶表面508中限定突出部分530。
图5B显示在图5A中所示的加热板500的底部透视图。突出部分530包括穿过突出部分530而形成的多个第一孔532和穿过突出部分530而形成的多个第二孔534。多个第一孔532提供在第一盘形主体502的顶表面508和第一盘形主体502的底表面518之间的流体连通。孔532具有第一直径。多个第二孔534提供在第一盘形主体502的顶表面508和第二盘形主体504的底表面518之间的流体连通。多个第二孔534具有第二直径,其中第二直径比第一直径大。多个第二孔534被配置成接收所述升降尖端,使得基板可被移除或放置在处理腔室的内部区域中(如图7D中所示)。加热板500具有每平方厘米约5.25个孔的孔密度。
图6A是基座600的另一个实施方式的侧面透视图。基座600包括盘形主体602。盘形主体602包括底表面604、顶表面606、外壁608、内壁610、凹壁612和突出部分614。顶表面606包括第一长度616。底表面604具有第二长度618,且与顶表面606相对并平行于顶表面606。底表面604被配置成接触支撑尖端的顶表面(如图7D中所示)。
底表面604进一步包括与底表面604耦接的多个鳍片620。鳍片620在基座600的底表面604下方延伸,并包括两个侧壁622和底表面624。鳍片620的底表面624与基座600的底表面604相对并平行于基座600的底表面604。这两个侧壁622垂直于底表面624且将基座600的底表面604连接至鳍片620的底表面624。鳍片620被配置成座落于加热板的沟槽(如图7D中所示)内。多个鳍片620与加热板和基座是同心的。鳍片620支撑基座600,使得在基座600的底表面604与加热板的顶表面之间存在间隙。间隙允许净化气体从基板下方流出,使得基板的背侧不因不想要的沉积而变色。
外壁608包括第一高度628、外径630,且垂直于底表面604。外壁608将顶表面606连接至底表面604。内壁610包括第二高度632、内径634,其中内径634小于外径630。外壁608垂直于底表面604。凹壁612垂直于底表面604,且包括直径638及高度636,直径638大于内径634并小于外径630。凹壁612的高度636是使得凹壁612的高度636加上内壁610的高度636实质上等于外壁608的第一高度628。
突出部分614是由内壁610和凹壁612限定的。突出部分614平行于底表面604,且具有长度640,使得突出部分614的长度640加上顶表面606的第一长度616实质上等于底表面604的第二长度618。
图6B显示图6A中的基座的底部透视图。突出部分614进一步包括穿过突出部分614而形成的多个孔642。突出部分614中的多个孔642提供用于与底表面604的流体连通。突出部分614中的多个的孔642与在加热板的突出部分中的多个孔对齐,使得净化气体可从加热板下方流动通过加热板,通过间隙,并通过基座600。基座600具有每平方厘米约6.06个孔的孔密度。
图7A是支撑轴系统108的臂126的侧面透视图。支撑轴系统108的臂126包括两端,第一端130(未示出)和第二端132。第二端132包括底座构件134和形成在底座内的销接收凹槽400。销127可被改变,使得支撑轴系统108的高度可改变,进而设定跨越间隙702(如图7D中所示)的距离。
图7B是图7A的臂126具有支撑销127在适当位置的侧面透视图。当第二圆柱部分406被定位在销接收凹槽400内时,支撑销127处于适当位置。当支撑销127在适当位置时,支撑销127的第二圆柱部分406的底表面422接触臂126的底座构件134。
图7C是图7B的臂126具有加热板500在适当位置的侧面透视图。销127的顶表面520接触加热板500的底表面506,使得销127支撑加热板500。销127绕加热板500而被相等地间隔开,诸如(例如)三个销127被设置成隔开120度。
图7D是图7C的臂126具有基座600在适当位置的侧面透视图。基座600设置在加热板500的顶上,使得基座600的鳍片620位于加热板500的沟槽544内。鳍片620具有高度700,使得在基座600与加热板500之间形成间隙702。间隙702允许在处理期间净化气体从基板(未示出)下方流出,导致来自不想要的沉积材料令基板的背侧变色的减少。此外,当加热板500和基座600由臂126支撑时,在加热板500中的孔532与在基座600中的孔642对齐。孔的对齐允许净化气体到达腔室的上拱形结构。孔532、642也作为限制在垂直方向上上升的净化气体和在水平方向上跨越基板的表面流动的处理气体之间的紊流的方式。孔532、642减缓在腔室中的净化气体上升的速率,使得在气体之间的紊流可被减少。紊流的减少允许在基板101的上表面上更均匀的沉积。
虽然前面部分是关于本公开内容的实施方式,但是可在不背离本公开内容的基本范围的情况下,设计出本公开内容的其他和进一步的实施方式,且本公开内容的范围是由以下权利要求确定的。

Claims (15)

1.一种用于半导体处理的处理腔室,所述处理腔室包括:
腔室主体,所述腔室主体具有内部容积;
支撑轴组件,所述支撑轴组件设置在所述内部容积中,所述支撑轴组件包括:
支撑轴系统,所述支撑轴系统包括:
轴;
多个臂,每个臂具有第一端和第二端,每个臂的所述第一端耦接至所述轴,每个臂的所述第二端具有销接收凹槽;和
多个可移动的支撑销,每一个销被放置在所述销接收凹槽的相应端内;
盘形加热板,所述盘形加热板由所述支撑轴系统支撑;和
环形基座,所述环形基座由在所述支撑轴系统中的所述多个销支撑,使得在所述加热板与所述基座之间存在间隙。
2.如权利要求1所述的处理腔室,其中在所述多个臂中的每个臂包括:
底座构件,其中所述底座构件支撑所述盘形加热板。
3.一种用于半导体处理的处理腔室,所述处理腔室包括:
腔室主体,所述腔室主体具有内部容积;
支撑轴组件,所述支撑轴组件设置在所述内部容积中,所述支撑轴组件包括:
支撑轴系统,所述支撑轴系统包括:
轴;和
多个臂,每个臂具有第一端和第二端,每个臂的所述第一端耦接至所述轴;
盘形加热板,所述盘形加热板由所述支撑轴系统支撑,所述加热板具有顶表面,所述顶表面进一步包括多个沟槽;和
环形基座,所述环形基座具有多个鳍片,所述鳍片设置在所述加热板的所述多个沟槽内,使得在所述加热板和所述基座之间存在间隙。
4.如权利要求1或3所述的处理腔室,其中所述盘形加热板包括:
形成在所述盘形加热板中的多个孔。
5.如权利要求4所述的处理腔室,其中形成在所述盘形加热板中的所述多个孔的一部分具有每平方厘米5.25个孔的孔密度。
6.如权利要求1或3所述的处理腔室,其中所述环形基座具有形成在所述环形基座中的多个孔。
7.如权利要求6所述的处理腔室,其中形成在所述环形基座中的所述多个孔具有每平方厘米5.99个孔的孔密度。
8.如权利要求7所述的处理腔室,其中形成在所述环形基座中的所述多个孔与形成在所述盘形加热板中的具有每平方厘米5.25个孔的孔密度的所述多个孔的部分对齐。
9.如权利要求6所述的处理腔室,其中形成在所述环形基座中的所述多个孔具有每平方厘米6.06个孔的孔密度。
10.如权利要求9所述的处理腔室,其中形成在所述环形基座中的所述多个孔与形成在所述盘形加热板中的具有每平方厘米5.25个孔的孔密度的所述多个孔的部分对齐。
11.如权利要求1或3所述的处理腔室,其中在所述加热板和所述基座之间的所述间隙为至少0.1mm。
12.如权利要求1或3所述的处理腔室,其中所述环形基座进一步包括:
突出部分,所述突出部分被配置成接收基板。
13.一种用于在处理腔室中处理基板的方法,所述方法包括以下步骤:
使处理气体流入至所述处理腔室中,所述处理腔室进一步包括:
腔室主体,所述腔室主体具有内部容积;
支撑轴组件,所述支撑轴组件设置在所述内部容积中,所述支撑轴组件包括:
支撑轴系统,所述支撑轴系统包括:
轴;
多个臂,每个臂具有第一端和第二端,每个臂的所述第一端系耦接至所述轴,每个臂的所述第二端具有销接收凹槽;和
多个可移动的支撑销,每个销被放置在所述销接收凹槽的相应端内;
盘形加热板,所述盘形加热板由所述支撑轴系统支撑;和
环形基座,所述环形基座由在所述支撑轴系统中的所述多个销支撑,使得在所述加热板与所述基座之间存在间隙;和
使净化气体从所述基板下方,通过形成在所述盘形加热板和所述环形基座之间的所述间隙流出。
14.如权利要求13所述的方法,其中所述盘形主体具有形成在是盘形主体中的多个孔。
15.如权利要求13所述的方法,其中所述环形基座具有形成在所述环形基座中的多个孔。
CN201580073204.0A 2015-01-12 2015-12-10 用于基板背侧变色控制的支撑组件 Active CN107112267B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562102375P 2015-01-12 2015-01-12
US62/102,375 2015-01-12
PCT/US2015/065056 WO2016114877A1 (en) 2015-01-12 2015-12-10 Support assembly for substrate backside discoloration control

Publications (2)

Publication Number Publication Date
CN107112267A true CN107112267A (zh) 2017-08-29
CN107112267B CN107112267B (zh) 2020-09-22

Family

ID=56368033

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580073204.0A Active CN107112267B (zh) 2015-01-12 2015-12-10 用于基板背侧变色控制的支撑组件

Country Status (6)

Country Link
US (1) US10704146B2 (zh)
JP (1) JP6752797B2 (zh)
KR (1) KR102506495B1 (zh)
CN (1) CN107112267B (zh)
TW (1) TWI679298B (zh)
WO (1) WO2016114877A1 (zh)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102412341B1 (ko) * 2019-06-25 2022-06-23 피코순 오와이 기판 후면 보호
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116190187A (zh) * 2022-02-15 2023-05-30 台湾积体电路制造股份有限公司 用于处理半导体晶片的方法、工具及系统

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
CN1862204A (zh) * 2005-05-12 2006-11-15 大日本网目版制造株式会社 基板热处理装置
US20100086784A1 (en) * 2008-10-02 2010-04-08 Applied Materials, Inc. Methods and apparatus for improved azimuthal thermal uniformity of a substrate
US20110120366A1 (en) * 2009-11-20 2011-05-26 Shinya Higashi Susceptor, film forming apparatus and method
JP2012124476A (ja) * 2010-11-16 2012-06-28 Shin Etsu Handotai Co Ltd 気相成長装置及びエピタキシャルウェーハの製造方法
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JP3090339B2 (ja) * 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JPH1179888A (ja) * 1997-09-01 1999-03-23 Inotetsuku Kk 気相成長装置
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US20110155058A1 (en) * 2009-12-18 2011-06-30 Applied Materials, Inc. Substrate processing apparatus having a radiant cavity
US20120073503A1 (en) * 2010-09-24 2012-03-29 Juno Yu-Ting Huang Processing systems and apparatuses having a shaft cover
KR101859344B1 (ko) * 2012-01-26 2018-05-18 어플라이드 머티어리얼스, 인코포레이티드 상부 기판 지지 어셈블리를 갖는 열 처리 챔버
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
CN1862204A (zh) * 2005-05-12 2006-11-15 大日本网目版制造株式会社 基板热处理装置
US20100086784A1 (en) * 2008-10-02 2010-04-08 Applied Materials, Inc. Methods and apparatus for improved azimuthal thermal uniformity of a substrate
US20110120366A1 (en) * 2009-11-20 2011-05-26 Shinya Higashi Susceptor, film forming apparatus and method
JP2012124476A (ja) * 2010-11-16 2012-06-28 Shin Etsu Handotai Co Ltd 気相成長装置及びエピタキシャルウェーハの製造方法
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes

Also Published As

Publication number Publication date
CN107112267B (zh) 2020-09-22
US20160204005A1 (en) 2016-07-14
WO2016114877A1 (en) 2016-07-21
KR102506495B1 (ko) 2023-03-03
JP2018504781A (ja) 2018-02-15
TW201636450A (zh) 2016-10-16
TWI679298B (zh) 2019-12-11
KR20170105563A (ko) 2017-09-19
US10704146B2 (en) 2020-07-07
JP6752797B2 (ja) 2020-09-09

Similar Documents

Publication Publication Date Title
CN107112267A (zh) 用于基板背侧变色控制的支撑组件
TWI613744B (zh) 強化基板加熱控制的具有無基座式基板支座之基板處理系統
KR101645262B1 (ko) 가스 분산 장치
TWI583819B (zh) 多區石英氣體分配設備
CN107833848A (zh) 具有空间分布的气体通道的气流控制衬垫
CN106716607A (zh) 用于基板热处理的基座与预热环
KR970702387A (ko) 화학증착 반응기 및 방법(CHEMlCAL VAPOR DEPOSITION REACTOR AND METHOD)
TWI703636B (zh) 用於低壓熱處理的光導管結構窗
CN105074885B (zh) 用于高效热循环的模块化基板加热器
CN105981133B (zh) 具有注入组件的上部圆顶
TWI673396B (zh) 大氣磊晶沈積腔室
WO2016206542A1 (zh) 半导体镀膜设备控温系统
TWI692825B (zh) 用於熱腔室應用及熱製程之光管陣列
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
TW201732077A (zh) 用於最小化跨基板的溫度分布的具有凹槽的平板基座
TWI625790B (zh) 熱耦合石英圓頂散熱器
CN208368473U (zh) 用于热处理腔室的盖和用于处理基板的设备
TW202021011A (zh) 用於流體支撐基板的光學透明基座
JP6348790B2 (ja) リング型蒸着源
JP2006100743A (ja) 昇温ユニット及び昇降温ユニット
CN108884589A (zh) 使基底通过负压夹紧的衬托器以及用于外延沉积的反应器
TW201501207A (zh) 用於熱腔室應用及製程的光管窗口結構
JPS61281527A (ja) 半導体ウエハ加熱処理装置
JPS60128614A (ja) 熱処理装置
TW201822312A (zh) 用於燈泡加熱組件的散光器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant