TWI679298B - 用於基板背側變色控制的支撐組件 - Google Patents

用於基板背側變色控制的支撐組件 Download PDF

Info

Publication number
TWI679298B
TWI679298B TW105100687A TW105100687A TWI679298B TW I679298 B TWI679298 B TW I679298B TW 105100687 A TW105100687 A TW 105100687A TW 105100687 A TW105100687 A TW 105100687A TW I679298 B TWI679298 B TW I679298B
Authority
TW
Taiwan
Prior art keywords
heating plate
base
processing chamber
holes
wall
Prior art date
Application number
TW105100687A
Other languages
English (en)
Other versions
TW201636450A (zh
Inventor
大木慎一
Shinichi Oki
青木裕司
Yuji Aoki
迪蒙特彼得
Peter DEMONTE
森義信
Yoshinobu Mori
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201636450A publication Critical patent/TW201636450A/zh
Application granted granted Critical
Publication of TWI679298B publication Critical patent/TWI679298B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

於此揭露用於處理基板之處理腔室。在一個實施例中,處理腔室包含支撐軸組件。支撐軸組件具有環形基座、盤形加熱板和支撐軸系統。支撐軸系統支撐基座和加熱板,使得基座被支撐在加熱板之上,加熱板在加熱板和基座之間界定有間隙。在另一實施例中,加熱板包含複數個溝槽且基座包含複數個鰭片。鰭片經配置以座落於溝槽內,使得基座被支撐在加熱板之上方,界定在加熱板和基座之間的間隙。在另一個實施例中,於此揭露處理在上述實施例中之基板的方法。

Description

用於基板背側變色控制的支撐組件
於此揭露用於處理基板之處理腔室。更具體地,於此揭露的實施例關於用於在基板上沉積磊晶層中使用的基座和加熱板。
用於處理半導體基板的一種類型的裝置是單一基板處理器,其中一次一個基板被支撐在處理腔室中之基座上。基座劃分腔室為在基座之下方的一個部分及在基座之上方的第二部分。基座通常被安裝在軸上,軸繞軸之中心而旋轉基座以增強基板的均勻處理。製程氣體的流動係提供在腔室的頂部並跨越基板的表面。腔室通常具有在腔室之一側的氣體入口埠,和在相反側的氣體出口埠,以實現製程氣體跨越基板的流動。基座被加熱,以將基板加熱到所欲的處理溫度。用以加熱基座的一個方法是藉由使用圍繞腔室而提供的燈。燈引導光進入腔室並引導至基座上。為了控制基板被加熱到達的溫度,基座的溫度係不斷地測量。溫度可使用紅外線溫度感測器而被測量,紅外線溫度感測器偵測從被加熱的基座所發射的紅外輻射。
在處理期間,一些製程氣體可圍繞基座的邊緣而流動並沉積材料層在基板的背表面上。沉積在基板的背表面上之層導致基板的背側變色,此為被汙染的背表面之證據。基板的背表面之污染導致總產出降低。
因此,存在有提供用於處理基板之改良設備和方法的需求。
於此所揭露的實施例關於用於半導體處理之處理腔室。在一個實施例中,處理腔室具有腔室本體,腔室本體具有內側容積。支撐軸組件係設置在內側容積中。支撐軸組件包含支撐軸系統、盤形加熱板及環形基座。支撐軸系統包含軸、複數個臂及複數個可移動的支撐銷。每個臂具有第一端和第二端。每個臂的第一端係耦接至軸。每個臂的第二端具有銷接收凹陷。複數個可移動的支撐銷被放置在銷接收凹陷的相應端內。盤形加熱板係藉由支撐軸系統而支撐。環形基座係藉由在支撐軸系統中之複數個銷而支撐,使得在加熱板與基座之間存在有間隙。
在另一個實施例中,用於半導體處理之處理腔室包含腔室本體,腔室本體具有內側容積。支撐軸組件係設置在內側容積內。支撐軸組件包含支撐軸系統、盤形加熱板及環形基座。支撐軸系統包含軸和複數個臂。每個臂具有第一端和第二端。每個臂的第一端係耦接至軸。盤形加熱板係藉由複數個臂的第二端而支撐。 加熱板具有頂表面。頂表面具有複數個溝槽。環形基座具有複數個鰭片。鰭片被設置在加熱板中之複數個溝槽內。鰭片和溝槽產生在加熱板和基座之間的間隙。
在另一實施例中,於此揭露用於在處理腔室中處理基板的方法。方法包含使製程氣體流入至處理腔室中,並從基板下將淨化氣體流出。處理腔室具有腔室本體,腔室本體具有內側容積。支撐軸組件係設置在內側容積中。支撐軸組件包含支撐軸系統、盤形加熱板及環形基座。支撐軸系統包含軸、複數個臂及複數個可移動的支撐銷。每個臂具有第一端和第二端。每個臂的第一端係耦接至軸。每個臂的第二端具有銷接收凹陷。複數個可移動的支撐銷被放置在銷接收凹陷的相應端內。盤形加熱板係藉由支撐軸系統而支撐。環形基座係藉由在支撐軸系統中之複數個銷而支撐,使得在加熱板與基座之間存在有間隙。淨化氣體係流入至處理腔室中。淨化氣體在基板的下方,在加熱板與基座之間所限定的間隙中流動。
100‧‧‧腔室
101‧‧‧基板
102‧‧‧腔室本體
104‧‧‧基板支撐組件
106‧‧‧控制器
108‧‧‧支撐軸系統
110‧‧‧基座
112‧‧‧上圓頂
114‧‧‧下圓底
116‧‧‧內側容積
118‧‧‧加熱板
120‧‧‧軸
122‧‧‧護罩
124‧‧‧舉升桿
126‧‧‧臂
127‧‧‧支撐銷
128‧‧‧致動器
130‧‧‧第一端
132‧‧‧第二端
134‧‧‧底座構件
136‧‧‧間隙
138‧‧‧淨化氣體源
140‧‧‧第二間隙
142‧‧‧下側
144‧‧‧加熱源
146‧‧‧預熱環
148A‧‧‧入口
148B‧‧‧出口埠
150‧‧‧製程氣體源
152‧‧‧高溫計
154‧‧‧中央處理單元/CPU
156‧‧‧記憶體
158‧‧‧支援電路
160‧‧‧環形本體
200‧‧‧第一盤形本體
202‧‧‧第二盤形本體
204‧‧‧底表面
206‧‧‧頂表面
208‧‧‧內壁
210‧‧‧外壁
212‧‧‧內徑
214‧‧‧外徑
216‧‧‧底表面
218‧‧‧頂表面
220‧‧‧內壁
222‧‧‧外壁
224‧‧‧內徑
226‧‧‧外徑
228‧‧‧凸耳
232‧‧‧孔
234‧‧‧孔
236‧‧‧孔
300‧‧‧環形本體
302‧‧‧底表面
304‧‧‧頂表面
306‧‧‧外壁
308‧‧‧內壁
310‧‧‧凹壁
312‧‧‧凸耳
314‧‧‧第一長度
316‧‧‧第二長度
318‧‧‧第一高度
320‧‧‧外徑
322‧‧‧第二高度
324‧‧‧內徑
326‧‧‧直徑
328‧‧‧第三高度
330‧‧‧長度
332‧‧‧孔
400‧‧‧銷容納凹陷
404‧‧‧第一圓柱部分
406‧‧‧第二圓柱部分
408‧‧‧頂表面
410‧‧‧底表面
412‧‧‧內壁
414‧‧‧外壁
416‧‧‧內徑
418‧‧‧外徑
420‧‧‧頂表面
422‧‧‧底表面
424‧‧‧內壁
426‧‧‧外壁
428‧‧‧內壁直徑
430‧‧‧外徑
500‧‧‧加熱板
502‧‧‧第一盤形本體
504‧‧‧第二盤形本體
506‧‧‧底表面
508‧‧‧頂表面
510‧‧‧內壁
512‧‧‧外壁
514‧‧‧內徑
516‧‧‧外徑
518‧‧‧底表面
520‧‧‧頂表面
522‧‧‧內壁
524‧‧‧外壁
526‧‧‧內徑
528‧‧‧外徑
530‧‧‧凸耳
532‧‧‧孔
534‧‧‧孔
536‧‧‧第一平面
538‧‧‧第二平面
540‧‧‧側壁
542‧‧‧下壁
544‧‧‧溝槽
600‧‧‧基座
602‧‧‧盤形本體
604‧‧‧底表面
606‧‧‧頂表面
608‧‧‧外壁
610‧‧‧內壁
612‧‧‧凹壁
614‧‧‧凸耳
616‧‧‧第一長度
618‧‧‧第二長度
620‧‧‧鰭片
622‧‧‧側壁
624‧‧‧底表面
628‧‧‧第一高度
630‧‧‧外徑
632‧‧‧第二高度
634‧‧‧內徑
636‧‧‧高度
638‧‧‧直徑
640‧‧‧長度
642‧‧‧孔
700‧‧‧高度
702‧‧‧間隙
為使本揭露書之上述所載之特徵可被詳細理解之方式,可藉由參照實施例(一些實施例係顯示於附隨的圖式中)而獲得本揭露書之較特定的說明(如前面所簡單地摘要者)。然而,應注意附隨的圖式僅顯示本揭露書之通常實施例,且不因此 被視為限制本揭露書之範圍,因為本揭露書可採用其他等效的實施例。
第1圖是根據一個實施例之處理腔室的側視圖。
第2A圖是根據一個實施例之加熱板的一個實施例的側面透視圖。
第2B圖是根據一個實施例之第2A圖的加熱板的頂部透視圖。
第3A圖是根據一個實施例之基座的一個實施例的側面透視圖。
第3B圖是根據一個實施例之第3A圖的基座的頂部透視圖。
第4A圖是根據一個實施例之來自支撐軸組件的臂之一個實施例的側視圖。
第4B圖是根據一個實施例之第4A圖中之臂具有支撐尖端在定位的側視圖。
第4C圖是根據一個實施例之第4B圖中之臂具有加熱板在定位的側視圖。
第4D圖是根據一個實施例之第4C圖之臂具有基座在定位的側視圖。
第5A圖是根據一個實施例之加熱板的一個實施例的側面透視圖。
第5B圖是根據一個實施例之在第5A圖中所示之加熱板的底部透視圖。
第6A圖是根據一個實施例之基座的一個實施例的側面透視圖。
第6B圖是根據一個實施例之第6A圖中所示的基座之底部的底部透視圖。
第7A圖是根據一個實施例之來自支撐軸組件的臂之一個實施例的側視圖。
第7B圖是根據一個實施例之第7A圖中之臂具有支撐尖端在定位的側視圖。
第7C圖是根據一個實施例之第7B圖中之臂具有加熱板在定位的側視圖。
第7D圖是根據一個實施例之第7C圖中之臂具有基座在定位的側視圖。
為了清楚起見,已在適合的地方使用相同的元件符號以指定共用於圖式之間的相同元件。此外,一個實施例的元件可被有利地適用於在於中所述的其它實施例中使用。
第1圖是根據一個實施例之處理腔室100的剖面圖。處理腔室100包含腔室本體102及控制器106。腔室本體102包含上圓頂112、環形本體160和下圓底114。上圓頂112和下圓底114夾持環形本體160並界定處理腔室100的內側容積116。基板支撐組件104被定位在腔室本體102的內側容積116中。
基板支撐組件104包含支撐軸系統108、基座110和加熱板118。支撐軸系統108包括軸120、護罩122、複數個舉升桿124、複數個臂126及複數個可移動的支撐銷127。支撐軸系統108的軸120係位於護罩122內。致動器128被耦接到軸120,且經配置以在中心軸上旋轉軸120。護罩122通常被固定定位,且因此在處理期間不旋轉。
複數個臂126被耦接到軸120。臂126逕向延伸出,以支撐加熱板118及基座110。每個臂126具有第一端130和第二端132。每個臂126的第一端130被耦接到軸120。每個臂126的第二端132包含底座構件134和形成在底座構件134中之銷接收凹陷(顯示於第4A-4B圖;第7A-7B圖中)。銷接收凹陷經配置以接收支撐銷127。銷接收凹陷允許具有一種尺寸的銷127被替換為具有不同尺寸的銷127。例如,具有一種長度的銷127可以具有不同長度的銷127交換。每個臂126經配置以支撐加熱板118。例如,支撐軸系統108可包含大致120度相等間隔隔開的三個臂126。三個接觸點幫助支撐基座110。
桿124延伸通過加熱板118並支撐基座110。基座110被支撐在加熱板118之上,使得間隙136被界定在基座110與加熱板118之間。來自淨化氣體源138之淨化氣體可流動通過間隙136。淨化氣體幫助減少因製程氣體通過基座110之下,來自材料的沉積之基 板101的背側變色。基板101被支撐於加熱板118之正上方,使得第二間隙140係形成於加熱板118和基板101之間。在基板101和加熱板118之間的第二間隙140可具有與在基座110與加熱板118之間所形成的間隙136相同的寬度。第二間隙140允許製程氣體在基板101之下,在基板101和加熱板118之間流動。
舉升桿124被配置通過形成在支撐軸系統108中之開口(圖未示)。舉升桿124係可垂直致動的。舉升桿124係適以接觸基板101的下側142,以從處理位置(如圖所示)將基板101舉升至基板移除位置。
環形本體160包含形成於環形本體160中之複數個埠。例如,入口148A可被適以從製程氣體源150提供製程氣體至內側容積116中。出口埠148B被設置從入口148A跨越,以引起製程氣體跨越基板101的橫貫流動。以此方式,製程氣體可平行於基板101的上表面而流動。熱源144幫助製程氣體熱分解到基板101上,以形成磊晶層(圖未示)在基板101上。
環形本體160可更包含預熱環146。預熱環146係繞腔室本體102的內側容積116而配置,且當基板101係在處理位置時包圍基板101。在處理期間,預熱環146是藉由加熱源144而加熱。當製程氣體通過鄰近於預熱環146的入口148A而進入環形本體160時,預熱環146幫助製程氣體的預熱。
加熱源144可為(例如)燈,燈係適以提供熱能至定位在處理腔室100內之組件。例如,加熱源144可被適以提供熱能至基板101、基座110及/或預熱環146。下圓底114可由光學透明的材料(諸如石英)而形成,以幫助熱輻射從下圓底114通過。在一個實施例中,加熱源144可經定位以通過上圓頂112和下圓底114而提供熱能。
高溫計152係適以測量該基座110及/或基板101的溫度。高溫計152的焦點光束(圖未示)係通過下圓底114和通過軸120而引導。高溫計152測量基座110的溫度(例如,當基座110係由碳化矽而形成時)或基板101的溫度(例如,當基座110係由石英而形成,或當基座110不存在且基板101以另一種方式(諸如藉由環)而被支撐時)。
處理腔室100包含用以執行和監測預定製程(諸如在處理腔室100中之磊晶膜的生長)的部件。處理腔室100包含一或多個氣體面板、氣體分配導管、真空和排氣子系統、功率供應器及製程控制儀器。控制器106被耦接到處理腔室100且被適以控制處理腔室100的功能。
控制器106係可操作的,以控制在處理腔室100內之基板101的處理。控制器106包含耦接到處理腔室100的各種部件,以幫助控制處理基板101的製程的可程式的中央處理單元(CPU)154、輸入控制單元 及顯示單元(圖未示)(諸如功率供應器、時鐘、快取、輸入/輸出(I/O)電路及類似物),中央處理單元(CPU)154係可與記憶體156和大容量儲存裝置操作。控制器106亦可包含用於通過在處理腔室100中之感測器(圖未示)監測基板101的處理之硬體。
為幫助處理腔室100的控制和處理基板101,CPU 154可為用於控制基板製程之任何形式的通用目的計算機處理器的一種。記憶體156係耦接到CPU 154,且記憶體156是非暫態的並可為一或多種容易獲得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟驅動器、硬碟或任何其它形式的、本地的或遠端的數位儲存器。支援電路158係耦接至CPU 154,以傳統的方式支援CPU 154。用於處理基板101的製程通常被儲存在記憶體156中。用於處理基板101的製程亦可藉由位於由CPU 154所控制的硬體之遠端處的第二CPU(圖未示)而被儲存及/或執行。
記憶體156係以包含指令之計算機可讀的儲存介質的形式,當由CPU 154執行時,記憶體156幫助處理在處理腔室100中之基板101的操作。在記憶體156中之指令是以程式產品的形式(諸如,實現處理基板101的操作之程式)。程式碼可符合多種不同的程式語言之任一種。在一個例子中,本揭露書可被實施為儲存在計算機可讀的儲存介質中,用於與計算機系統一起使用的程式產品。程式產品的(多個)程式界定實施例的功 能。示例性之計算機可讀的儲存介質包含(但不限於):(i)資訊係永久地儲存於中之不可寫的儲存介質(如,在計算機內之唯讀記憶體裝置(諸如可藉由CD-ROM驅動器讀取的CD-ROM碟)、快閃記憶體、ROM晶片或任何形式之固態的、非揮發的半導體記憶體);及(ii)可替代的資訊係儲存於中之可寫的儲存介質(如,在軟碟驅動器內的軟碟或硬碟驅動器或任何形式的固態隨機存取半導體記憶體)。此計算機可讀的儲存介質(當攜帶了導致於此所述之方法的功能之計算機可讀的指令時)是本揭露書的實施例。
第2A圖顯示加熱板118的側視圖。加熱板118包含第一盤形本體200和第二盤形本體202。加熱板118可由石墨碳或石墨矽塗層所製成。第一盤形本體200包含底表面204、頂表面206、內壁208和外壁210。頂表面206是相對並平行於底表面204。內壁208是垂直於底表面204並將頂表面206連接至底表面204。內壁208包含內直徑212。外壁210是相對於內壁208並將頂表面206連接至底表面204。外壁210包含外徑214,使得外直徑214大於內徑212。
第二盤形本體202係與第一盤形本體200同心的。第二盤形本體202包含底表面216、頂表面218、內壁220和外壁222。頂表面218是相對並平行於底表面216。底表面216被耦接第一盤形本體200的頂表面206。內壁220是垂直於底表面216並將底表面216連接 到頂表面218。內壁220具有內徑224,使得內徑224大於第一盤形本體200的內徑212。外壁222是相對並平行於內壁220。外壁222將底表面216連接到頂表面218。外壁222具有外徑226,使得外徑226大於內徑224並小於第一盤形本體200的外徑214。第一盤形本體200和第二盤形本體202在第一盤形本體200的頂表面206中界定凸耳228。
第2B圖是加熱板118的頂部透視圖。加熱板118還包含穿過加熱板118而形成的複數個孔232、234、236。第二盤形本體202包含兩組孔232、234。這兩組孔232、234都提供了第二盤形本體202的頂表面218和第一盤形本體200的底表面204之間的流體連通。第一組孔232具有小於第二組孔234的直徑。加熱板118具有每平方公分約5.25個孔的孔密度,並允許淨化氣體可在基板下流動(圖未示)。第二組孔234係繞第二盤形本體202之周緣而被相等地間隔,諸如(例如)以120度彼此隔開三個孔。第二組孔234經配置使得支撐尖端(圖未示)可延伸通過孔234,並從基座升高基板/將基板降低至基座上(圖未示)。
第三組孔236係設置在加熱板118的凸耳228上。第三組孔236提供凸耳228和第一盤形本體200的底表面204之間的流體連通。第三組孔236經配置使得支撐銷(圖未示)可延伸通過孔236,以支撐基座。 第三組孔236可沿著凸耳228均勻地間隔,諸如(例如)以120度彼此隔開。
第3A圖是基座110的一部分的側面透視圖。基座110包括環形本體300。基座110可以由如加熱板118相同的材料製出,諸如(例如)石墨碳,或基座110可具有石墨矽塗層。環形本體300包含底表面302、頂表面304、外壁306、內壁308、凹壁310和凸耳312。底表面302具有第一長度314,且相對並平行於頂表面304。底表面302經配置以接觸支撐銷的頂表面,如第4D圖中所示。頂表面304包含第二長度316。
外壁306是垂直於底表面302,且包含第一高度318和外徑320。外壁306將頂表面304連接於底面302。內壁308包含第二高度322和內徑324,其中內徑324小於外徑320。外壁306是垂直於底表面302。凹壁310是垂直於底表面302,且包含大於內徑324並小於外徑320的直徑326,和第三高度328。凹壁310的第三高度328係使得凹壁310的第三高度328加上內壁308的第二高度322實質上等於外壁306的第一高度318。
凸耳312係藉由內壁308和凹壁310而界定。凸耳312是平行於底表面302,且具有長度,使得凸耳312的長度330加上頂表面304的第二長度316實質上等於底表面302的第一長度314。
第3B圖是基座的頂部透視圖。凸耳312還包含穿過凸耳312而形成的複數個孔332。在凸耳312中 之複數個孔332提供用於與底表面302的流體連通。在凸耳312中之複數個孔332與在加熱板(圖未示)之凸耳中的複數個孔(圖未示)對齊,使得淨化氣體可從加熱板之下通過加熱板流動,通過間隙,並通過基座110。基座110具有每平方公分約5.99個孔的孔密度。
第4A圖是支撐軸系統108的臂126之側面透視圖。支撐軸系統108的臂126包含兩端,第一端(圖未示)和第二端132。第二端132具有底座構件134,銷接收凹陷400係形成於底座構件134中。銷接受凹陷400經配置以接收可互換的銷(如第4B圖中所示),使得加熱板和基座之間的間隙可被改變(如第4D圖中所示)。銷容納凹陷400亦經配置以支撐基座。底座構件134經配置以支撐加熱板。例如,在加熱板和基座之間的間隙可為至少0.1mm,諸如在0.1mm至1mm的範圍中。
第4B圖是第4A圖的臂126具有支撐銷127在定位的側面透視圖。支撐銷127包含第一圓柱部分404和第二圓柱部分406。銷127可由碳化矽所製成。第一圓柱部分404包含頂表面408、底表面410、內壁412和外壁414。頂表面408是相對並平行於底表面410。內壁412和外壁414是彼此平行並垂直於底表面410。壁412、414將頂表面408連接於底表面410。內壁412還包含內徑416。外壁414還包含外徑418,其中外徑418大於內徑416。
第二圓柱部分406包含頂表面420、底表面422、內壁424和外壁426。頂表面420是相對並平行於底表面422。第二圓柱部分406的底表面422係耦接到第一圓柱部分404的頂表面420。內壁424是平行於外壁426,且兩個壁424、426是垂直於底表面422。壁424、426將頂表面420連接於底表面422。內壁424還包含內壁直徑428,其中內壁直徑428小於第一圓柱部分404的內徑416。外壁426還包含外徑430,其中外徑430大於第一圓柱部分404的外徑418。
第4C圖是第4B圖的臂126具有加熱板118在定位的側面透視圖。加熱板118係藉由支撐軸系統108而支撐。臂126的底座構件134接觸加熱板118,並支撐加熱板118。複數個銷127延伸穿過形成在加熱板118中的孔234。複數個銷127經配置以支撐基座(圖未示)。
第4D圖是第4C圖的臂126具有基座110在定位的側面透視圖。基座110的底表面302係藉由支撐銷127的第二圓柱部分406的頂表面420而支撐,使得間隙136產生在基座110的底表面302和加熱板118的頂表面206、218之間。間隙136允許在處理期間從腔室100的底部所提供的製程氣體從基板101下流出,導致來自製程氣體在基板的背表面上之沉積的減少。此外,當加熱板118和基座110係藉由臂126而支撐時,在加熱板118中的孔232係與在基座110中的孔332對 齊。孔的對齊允許淨化氣體到達腔室100的上圓頂112。孔232、332也起到降低在垂直方向上升之淨化氣體和在水平方向上跨越基板的表面流動之製程氣體之間的紊流之作用。孔232、332減緩在腔室100中升高之淨化氣體的速率,此因而導致當淨化氣體遇到製程氣體時的較少紊流。紊流的減少還允許材料更平滑地沉積在基板上。
第5A圖是加熱板500的另一個實施例之側面透視圖。加熱板500包含第一盤形本體502和第二盤形本體504。第一盤形本體502包含底表面506、頂表面508、內壁510和外壁512。頂表面508是相對並平行於底表面506。內壁510是垂直於底表面506,且將底表面506連接到頂表面508。內壁510包含內徑514。外壁512是相對於內壁510,且將頂表面508連接於底表面506。外壁512包含外徑516,使得外徑516大於內徑514。
頂表面508還包含第一平面536和第二平面538。第一平面536位於第二平面538之上方。第二平面538包含兩個側壁540垂直於底表面506。兩個側壁540將第一平面536連接於第二平面538。下壁542是平行於底表面506,且連接兩個側壁540。下壁542是垂直於側壁540。兩個側壁540和下壁542界定在第一個盤形本體502的頂表面508中之溝槽544。溝槽544經配置以接收基座的一部分(如第7D圖中所示)。
第二盤形本體504是與第一盤形本體502同心。第二個盤形本體504包含底表面518、頂表面520、內壁522和外壁524。頂表面520是相對並平行於底表面518。底表面518被耦接到第一盤形本體502的頂表面520。內壁522是垂直於底表面518且將底表面518連接到頂表面520。內壁522具有內徑526,使得內徑526大於第一盤形本體502的內徑514。外壁524是相對並平行於內壁522。外壁524將底表面518連接到頂表面520。外壁524具有外徑528,使得外徑528大於內徑526且小於第一盤形本體502的外徑516。第一盤形本體502和第二盤形本體504在的第一盤形本體502的頂表面508中界定凸耳530。
第5B圖顯示在第5A圖中所示的加熱板500的底部透視圖。凸耳530包含穿過凸耳530而形成的複數個第一孔532和穿過凸耳530而形成的複數個第二孔534。複數個第一孔532提供在第一盤形本體502的頂表面508和第一盤形本體502的底表面518之間的流體連通。孔532具有第一直徑。複數個第二孔534提供在第一盤形本體502的頂表面508和第二盤形本體504的底表面518之間的流體連通。複數個第二孔534具有第二直徑,其中第二直徑比第一直徑大。複數個第二孔534經配置以接收所述舉升尖端,使得基板可被移除或放置在處理腔室的內側區域中(如第7D圖中所示)。加熱板500具有每平方公分約5.25個孔的孔密度。
第6A圖是基座600的另一個實施例的側面透視圖。基座600包括盤形本體602。盤形本體602包含底表面604、頂表面606、外壁608、內壁610、凹壁612和凸耳614。頂表面606包含第一長度616。底表面604具有第二長度618,且是相對並平行於頂表面606。底表面604經配置以接觸支撐尖端的頂表面(如第7D圖中所示)。
底表面604還包含與底表面604耦接的複數個鰭片620。鰭片620延伸在基座600的底表面604之下方,並包含兩個側壁622和底表面624。鰭片620的底表面624是相對並平行於基座600的底表面604。這兩個側壁622是垂直於底表面624且將基座600的底表面604連接於鰭片620的底表面624。鰭片620經配置以座落於加熱板的溝槽(如第7D圖中所示)內。複數個鰭片620是與加熱板和基座同心。鰭片620支撐基座600,使得在基座600的底表面604與加熱板的頂表面之間存在有間隙。間隙允許淨化氣體從基板下流出,使得基板的背側不被不想要的沉積而變色。
外壁608包含第一高度628、外徑630,且垂直於底表面604。外壁608將頂表面606連接於底表面604。內壁610包含第二高度632、內徑634,其中內直徑634小於外徑630。外壁608是垂直於底表面604。凹壁612是垂直於底表面604,且包含直徑638及高度636,直徑638大於內徑634並小於外徑630。凹壁612 的高度636是使得凹壁612的高度636加上內壁610的高度632實質上等於外壁608的第一高度628。
凸耳614是藉由內壁610和凹壁612而界定。凸耳614是平行於底表面604,且具有長度640,使得凸耳614的長度640加上頂表面606的第一長度616實質上等於底表面604的第二長度618。
第6B圖顯示第6A圖中之基座的底部透視圖。凸耳614還包含穿過凸耳614而形成的複數個孔642。凸耳614中之複數個孔642提供用於與底表面604的流體連通。凸耳614中之複數個的孔642與在加熱板的凸耳中之複數個孔對齊,使得淨化氣體可從加熱板下流動通過加熱板,通過間隙,並通過基座600。基座600具有每平方公分約6.06個孔的孔密度。
第7A圖是支撐軸系統108的臂126之側面透視圖。支撐軸系統108的臂126包含兩端,第一端130(圖未示)和第二端132。第二端132包含底座構件134和形成在底座內的銷接收凹陷400。銷127可被改變,使得支撐軸系統108的高度可被變化,進而設定跨越間隙702(如第7D圖中所示)的距離。
第7B圖是第7A圖的臂126具有支撐銷127在定位的側面透視圖。當第二圓柱部分406被定位在銷接收凹陷400中時,支撐銷127是在定位。當支撐銷127在定位時,支撐銷127的第二圓柱部分406的底表面422接觸臂126的底座構件134。
第7C圖是第7B圖的臂126具有加熱板500在定位的側面透視圖。銷127的頂表面520接觸加熱板500的底表面506,使得銷127支撐加熱板500。銷127繞加熱板500而被相等地間隔,諸如(例如)三個銷127被設置成隔開120度。
第7D圖是第7C圖的臂126具有基座600在定位的側面透視圖。基座600係設置在加熱板500的頂上,使得基座600的鰭片620位於加熱板500的溝槽544內。鰭片620具有高度700,使得間隙702係形成在基座600與加熱板500之間。間隙702允許在處理期間淨化氣體從基板(圖未示)下流出,導致來自不想要的沉積材料令基板之背側變色的減少。此外,當加熱板500和基座600係藉由臂126而支撐時,在加熱板500中的孔532係與在基座600中之孔642對齊。孔的對齊允許淨化氣體到達腔室之上圓頂。孔532、642也作為限制在垂直方向上上升之淨化氣體和在水平方向上跨越基板的表面流動之製程氣體之間的紊流的方式。孔532、642減緩在腔室中的淨化氣體上升的速率,使得在氣體之間的紊流可被減少。紊流的減少允許在基板101的上表面上更均勻的沉積。
雖然前面部分是關於本揭露書之實施例,本揭露書的其他和進一步的實施例可經設計而不背離本揭露書之基本範圍,且本揭露書之範圍是藉由以下的申請專利範圍而決定。

Claims (17)

  1. 一種用於半導體處理之處理腔室,該處理腔室包括:一腔室本體,具有一內側容積;一支撐軸組件,設置在該內側容積中,該支撐軸組件包括:一支撐軸系統,包括:一軸;複數個臂,每個臂具有一第一端和一第二端,每個臂的該第一端耦接至該軸,每個臂的該第二端具有一銷接收凹陷;及複數個可移動的支撐銷,每一個支撐銷被放置在該銷接收凹陷的一相應端內;一盤形加熱板,藉由該支撐軸系統而支撐,其中該盤形加熱板包括:一第一表面;一第二表面;一第三表面,在該第一表面與該第二表面對面,該第一表面垂直地低於該第二表面;複數個孔,形成穿過該盤形加熱板,其中該複數個孔的一第一組自該第一表面延伸至該第三表面,而該複數個孔的一第二組自該第二表面延伸至該第三表面;及一環形基座,藉由在該支撐軸系統中之該複數個銷而支撐,使得在該加熱板與該基座之間存在有一間隙。
  2. 如請求項1所述之處理腔室,其中該環形基座包括:一凸耳,經配置以接收一基板。
  3. 如請求項1所述之處理腔室,其中在該複數個臂中之每一臂包括:一底座構件,其中該底座構件支撐該盤形加熱板。
  4. 如請求項1所述之處理腔室,其中該盤形加熱板包括:形成在該盤形加熱板中之複數個孔。
  5. 如請求項4所述之處理腔室,其中形成在該盤形加熱板中之該複數個孔的一部分具有每平方公分5.25個孔的一孔密度。
  6. 如請求項1所述之處理腔室,其中該環形基座具有形成在該環形基座中之複數個孔。
  7. 如請求項6所述之處理腔室,其中形成在該環形基座中之該複數個孔具有每平方公分5.99個孔的一孔密度。
  8. 如請求項7所述之處理腔室,其中形成在該環形基座中之該複數個孔與具有每平方公分5.25個孔的一孔密度之形成在該盤形加熱板中之該複數個孔的該部分對齊。
  9. 如請求項1所述之處理腔室,其中在該加熱板和該基座之間的該間隙係至少0.1mm。
  10. 一種用於半導體處理之處理腔室,該處理腔室包括:一腔室本體,具有一內側容積;一支撐軸組件,設置在該內側容積內,該支撐軸組件包括:一支撐軸系統,該支撐軸系統包括:一軸;及複數個臂,每個臂具有一第一端和一第二端,每個臂的該第一端耦接至該軸;一盤形加熱板,藉由該支撐軸系統而支撐,該加熱板具有一頂表面,該頂表面更包括複數個溝槽,其中該盤形加熱板包括:一第一表面;一第二表面;一第三表面,在該第一表面與該第二表面對面,該第一表面垂直地低於該第二表面;複數個孔,形成穿過該盤形加熱板,其中該複數個孔的一第一組自該第一表面延伸至該第三表面,而該複數個孔的一第二組自該第二表面延伸至該第三表面;及一環形基座,具有複數個鰭片,該等鰭片設置在該加熱板之該複數個溝槽內,使得在該加熱板和該基座之間存在有一間隙。
  11. 如請求項10所述之處理腔室,其中該盤形加熱板包括:形成在該盤形加熱板中之複數個孔。
  12. 如請求項11所述之處理腔室,其中形成在該盤形加熱板中之該複數個孔的一部分具有每平方公分5.25個孔的一孔密度。
  13. 如請求項10所述之處理腔室,其中該環形基座具有形成在該環形基座中之複數個孔。
  14. 如請求項13所述之處理腔室,其中形成在該環形基座中之該複數個孔具有每平方公分6.06個孔的一孔密度。
  15. 如請求項14所述之處理腔室,其中形成在該環形基座中之該複數個孔與具有每平方公分5.25個孔的一孔密度之形成在該盤形加熱板中之該複數個孔的該部分對齊。
  16. 如請求項10所述之處理腔室,其中在該加熱板和該基座之間的該間隙係至少0.1mm。
  17. 如請求項10所述之處理腔室,其中該環形基座更包括:一凸耳,經配置以接收一基板。
TW105100687A 2015-01-12 2016-01-11 用於基板背側變色控制的支撐組件 TWI679298B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562102375P 2015-01-12 2015-01-12
US62/102,375 2015-01-12

Publications (2)

Publication Number Publication Date
TW201636450A TW201636450A (zh) 2016-10-16
TWI679298B true TWI679298B (zh) 2019-12-11

Family

ID=56368033

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105100687A TWI679298B (zh) 2015-01-12 2016-01-11 用於基板背側變色控制的支撐組件

Country Status (6)

Country Link
US (1) US10704146B2 (zh)
JP (1) JP6752797B2 (zh)
KR (1) KR102506495B1 (zh)
CN (1) CN107112267B (zh)
TW (1) TWI679298B (zh)
WO (1) WO2016114877A1 (zh)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN112053991B (zh) 2014-05-21 2022-04-15 应用材料公司 热处理基座
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7300527B2 (ja) * 2019-06-25 2023-06-29 ピコサン オーワイ 基板の裏面保護
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12002660B2 (en) * 2022-02-15 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing chamber with plasma/gas flow control device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JP3090339B2 (ja) * 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JPH1179888A (ja) * 1997-09-01 1999-03-23 Inotetsuku Kk 気相成長装置
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US7964038B2 (en) * 2008-10-02 2011-06-21 Applied Materials, Inc. Apparatus for improved azimuthal thermal uniformity of a substrate
JP5038381B2 (ja) * 2009-11-20 2012-10-03 株式会社東芝 サセプタおよび成膜装置
US20110155058A1 (en) * 2009-12-18 2011-06-30 Applied Materials, Inc. Substrate processing apparatus having a radiant cavity
US20120073503A1 (en) * 2010-09-24 2012-03-29 Juno Yu-Ting Huang Processing systems and apparatuses having a shaft cover
JP5440589B2 (ja) * 2010-11-16 2014-03-12 信越半導体株式会社 気相成長装置及びエピタキシャルウェーハの製造方法
CN106935532B (zh) * 2012-01-26 2021-01-26 应用材料公司 具有顶部基板支撑组件的热处理腔室
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes

Also Published As

Publication number Publication date
US20160204005A1 (en) 2016-07-14
JP6752797B2 (ja) 2020-09-09
WO2016114877A1 (en) 2016-07-21
KR102506495B1 (ko) 2023-03-03
CN107112267B (zh) 2020-09-22
KR20170105563A (ko) 2017-09-19
JP2018504781A (ja) 2018-02-15
CN107112267A (zh) 2017-08-29
US10704146B2 (en) 2020-07-07
TW201636450A (zh) 2016-10-16

Similar Documents

Publication Publication Date Title
TWI679298B (zh) 用於基板背側變色控制的支撐組件
KR101819095B1 (ko) Epi 프로세스를 위한 균일성 튜닝 렌즈를 갖는 서셉터 지지 샤프트
JP6242861B2 (ja) 円錐形の石英ドームを通って伝送される光を制御する光学系
US20160068996A1 (en) Susceptor and pre-heat ring for thermal processing of substrates
TWI673396B (zh) 大氣磊晶沈積腔室
TWI673796B (zh) 使用測溫儀而對錐形燈頭內的燈所為之多區域控制
CN105164788A (zh) 具有空间分布的气体通道的气流控制衬垫
TWI782760B (zh) 用於半導體製程腔室的表面塗層的襯套組件
JP7175766B2 (ja) サセプタ支持体
TW201602404A (zh) 在化學氣相沉積反應器中的基座的設計
JP2009534526A (ja) 降下可能なプロセスチャンバ天井部を備えたcvd反応炉
KR102406942B1 (ko) 엣지 링 및 이를 포함하는 열처리 장치
TWI703636B (zh) 用於低壓熱處理的光導管結構窗
TWI692825B (zh) 用於熱腔室應用及熱製程之光管陣列
CN107109688A (zh) 用于在晶片中消除沉积谷的新基座设计
TW201834073A (zh) 旋轉器蓋
JP2016145391A (ja) 気化装置及び成膜装置
TWI853825B (zh) 燈頭中的多分區燈控制和單獨燈控制
JPH04325686A (ja) Cvd装置の加熱ヒータ
JP6299635B2 (ja) 気相成長装置及び気相成長装置に用いるリフレクタ
TW202020240A (zh) 燈頭中的多分區燈控制和單獨燈控制