JP2008053596A - ロードポート装置 - Google Patents

ロードポート装置 Download PDF

Info

Publication number
JP2008053596A
JP2008053596A JP2006230357A JP2006230357A JP2008053596A JP 2008053596 A JP2008053596 A JP 2008053596A JP 2006230357 A JP2006230357 A JP 2006230357A JP 2006230357 A JP2006230357 A JP 2006230357A JP 2008053596 A JP2008053596 A JP 2008053596A
Authority
JP
Japan
Prior art keywords
carrier
wafer
load port
transfer
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006230357A
Other languages
English (en)
Other versions
JP4904995B2 (ja
Inventor
Mitsuo Natsume
光夫 夏目
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shinko Electric Co Ltd
Original Assignee
Shinko Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinko Electric Co Ltd filed Critical Shinko Electric Co Ltd
Priority to JP2006230357A priority Critical patent/JP4904995B2/ja
Priority to KR1020070086155A priority patent/KR101408294B1/ko
Priority to US11/892,830 priority patent/US7887276B2/en
Priority to TW096131760A priority patent/TWI390661B/zh
Publication of JP2008053596A publication Critical patent/JP2008053596A/ja
Application granted granted Critical
Publication of JP4904995B2 publication Critical patent/JP4904995B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B66HOISTING; LIFTING; HAULING
    • B66FHOISTING, LIFTING, HAULING OR PUSHING, NOT OTHERWISE PROVIDED FOR, e.g. DEVICES WHICH APPLY A LIFTING OR PUSHING FORCE DIRECTLY TO THE SURFACE OF A LOAD
    • B66F11/00Lifting devices specially adapted for particular uses not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Mechanical Engineering (AREA)
  • Structural Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】半導体製造装置のストックヤード内において、ウェハキャリアの収容容量を増やすこと。
【解決手段】製造過程にあるウェハを収納したウェハキャリア30を一時保管するための半導体製造装置におけるストックヤードと、前記ウェハを処理するためのプロセス処理装置とを隔絶する壁体の手前側に装着されて、前記プロセス処理装置との間で受渡しを行うウェハを収納したウェハキャリア30を載置するキャリアステージCを備えたロードポート装置Fであって、前記キャリアステージCの直下にバッファプレート21を水平に配置して、前記ウェハキャリア30を一時保管するためのバッファステージBが形成されている。
【選択図】 図1

Description

本発明は、半導体製造装置内において、ウェハ処理を行うためのプロセス処理装置の前面で、ウェハキャリアの蓋体を開閉し、当該ウェハキャリア内に収納されたウェハの受渡しを行うためのロードポート装置に関するものである。
本発明に係る図面を援用して、最初に半導体製造装置(以下、単に「製造装置」 ということもある。)及びフロントエンド装置(以下、「EFEM」という。)について説明し、次に、従来のロードポート装置について説明する。図7は、製造装置Eの縦断面図、図9は、従来のロードポート装置F’の全体斜視図である。半導体工場において、製品であるウェハWは、複数枚ずつウェハキャリア(以下、単に「キャリア」ということもある。)30内に収納された状態で、キャリア搬送システムによりキャリア単位で生産ライン内の各設備に運ばれる。キャリアとして、FOUP(Front Opening Unified Pod)が用いられることが多い。図7に示すように、キャリア単位でウェハWを受け取り、処理を施す製造装置Eは、ストックヤードR1 を持つ前記EFEM、及びプロセス処理装置R3 から構成される。また、前記EFEMは、外付ロードポートL、ストックヤードR1 、及びエンクロージャR2 から構成され、プロセス処理装置R3 の前面に設置されている。前記外付ロードポートLは、前記キャリア搬送システムから製造装置Eに搬送されて前記ストックヤードR1 内に移載されるキャリア30を載置するためのものである。前記ストックヤードR1 内には、キャリア30を載置して保管しておく複数のキャリア載置棚S、及びキャリア30を移載するキャリア移載装置T1 が配設されている。また、エンクロージャR2 はプロセス処理装置R3 の前室となっており、内部には、FFU(Fan Filter Unit)100、及びウェハ搬送ロボットT2 が設けられている。エンクロージャR2 内には、前記FFU100によりクリーン度の高い空気が送られていて、ウェハ処理前のウェハWのパーティクル汚染を防止している。
製造装置Eにおいて、従来のロードポート装置F’は、ストックヤードR1 とプロセス処理装置R3 の前室であるエンクロージャR2 とを隔絶する壁体Pの手前側にボルト81等で装着された内付ロードポート装置である。以下、前記内付ロードポート装置を「ロードポート装置」といい、前記外付ロードポートLと区別する。図9に示すように、従来のロードポート装置F’は、前記壁体Pに装着される長方形板状の装着盤1、該装着盤1に形成されたウェハ移載窓2、該ウェハ移載窓2の略下端部にキャリア30を載置するために配設されたキャリアステージCから構成される。前記キャリアステージCは、壁体Pの手前側であるストックヤードR1 側に向けて水平に配設されていて、同側をロードポート装置F’の正面側とする。同正面側には、キャリア30内各段のウェハWの存否を検出するマッピング装置Mの昇降装置U2 が、キャリアステージCの側方下部に配設されている。前記ロードポート装置F’の背面側はエンクロージャR2 に臨んでいて、同背面側には、キャリア30の蓋体32を脱着して開閉させるための蓋体開閉装置N、その昇降装置U1 、及び前記マッピング装置Mが配設されている。なお、装着盤1の両側端部には、支持体1aが取り付けられている。
前記製造装置Eにおいて、ウェハWが収納されたキャリア30が搬送されてくると、キャリア30はまず外付ロードポートLに受け取られ、キャリア移載装置T1 によってストックヤードR1 内に収容され、一時保管される。プロセス処理装置R3 のウェハ処理状況に応じてプロセス処理装置R3 内に、未処理のウェハWを供給する場合には、まず、ストックヤードR1 内に保管されていたキャリア30が、キャリア移載装置T1 によってロードポート装置F’のキャリアステージCのキャリアプレート11上に移載され、前記キャリアプレート11がウェハ移載窓2に向けて前進する。次に、ロードポート装置F’の蓋体開閉装置Nによってキャリア30の蓋体32が開けられ、キャリア30内のウェハWがプロセス処理装置R3 内に搬送されてウェハ処理される。処理終了後、ウェハWは、プロセス処理装置R3 からキャリア30内に再収納され、蓋体32が閉じられ、キャリアプレート11がウェハ移載窓2から後退する。次に、前記キャリア30は、キャリアステージCから外付ロードポートL、或いはストックヤードR1 内のキャリア載置棚Sへ移載される。外付ロードポートLに移載されたキャリア30は、キャリア搬送システムにより製造装置Eから搬送され、次の工程に進んでいく。キャリア載置棚Sに移載されたキャリア30も、随時外付ロードポートLに移載されて、同様に製造装置Eから搬送されて、次の工程に進む。なお、特許文献1では、キャリア30の供給と回収が同時に実施できるロードポート装置F’及びキャリア移載装置T1 について開示されている。また、キャリア30の開蓋機構を含むキャリアインターフェース装置については、例えば、特許文献2に開示されている。
プロセス処理装置R3 におけるウェハWの処理状況に応じて、ウェハWをプロセス処理装置R3 に供給する場合、ウェハWを収納したキャリア30をその都度製造装置Eまで搬送して供給するよりも、キャリア30をストックヤードR1 内に一時保管し、必要に応じてキャリア30をストックヤードR1 内から供給する方が、コスト的にも時間的にも効率的である。また、製造装置Eの稼働率を向上させて大量のウェハWを処理するためには、その数量に見合う分のウェハWの保管場所、或いはウェハWの収納容器であるキャリア30の収容スペースを確保する必要がある。しかし、製造装置Eはクリーンルーム内にあるため、限られたクリーンルームの空間内にウェハWの収納、保管場所を濫りに増やすことはできない。このため、クリーンルーム、特にストックヤードR1 におけるウェハWの収納容量、即ちキャリアの収容容量の増加は従来からの課題であった。
特開2003−51527号公報 特開平10−303271号公報
本発明は、半導体製造装置のストックヤード内において、ウェハキャリアの収容容量を増やすことを課題としている。
上記の課題を解決するために請求項1の発明は、製造過程にあるウェハを収納したウェハキャリアを一時保管するための半導体製造装置におけるストックヤードと、前記ウェハを処理するためのプロセス処理装置とを隔絶する壁体の手前側に装着されて、前記プロセス処理装置との間で受渡しを行うウェハを収納したウェハキャリアを載置するキャリアステージを備え、前記キャリアステージは、前記壁体に固定される装着盤と、当該装着盤に設けられたウェハ移載窓の下端縁に手前側に向けて水平に設けられたテーブルと、当該テーブルの上面に配設されて、前記ウェハキャリアを載置した状態で前記プロセス処理装置に対して進退するキャリアプレートとを備えたロードポート装置であって、前記テーブルの直下にバッファプレートを水平に配置して、前記ウェハキャリアを一時保管するためのバッファステージを形成したことを特徴としている。
上記した通り、ロードポート装置の機能は元来キャリアステージに載置されたウェハキャリアの蓋体を開閉することであるため、ロードポート装置がウェハキャリアの収容空間として利用されることはなかった。しかし、請求項1の発明によれば、ロードポート装置にバッファステージを搭載することにより、1台分多くウェハキャリアを載置することが可能となって、ストックヤード内のウェハキャリアの収容容量が増加する。このため、プロセス処理装置内に供給できる未処理のウェハが増加することになるので、ウェハの処理状況に応じてウェハキャリアをキャリア搬送システムにより製造装置まで逐一搬送してストックヤード内に供給する必要はなく、搬送する頻度を減らすことができる。また、前記テーブルの直下にバッファステージが形成されたことによって、ウェハキャリアをバッファステージのバッファプレートからキャリアステージのキャリアプレートに移載する距離は、ストックヤード内に既存のキャリア載置棚から移載する距離よりも短く、このためウェハキャリアの移載効率が向上する。従って、ロードポート装置へのバッファステージの搭載は、製造装置の稼働率の上昇、ウェハの処理工程の効率化、そしてウェハの生産性の向上につながる。また、ロードポート装置にバッファステージを搭載可能となったのは、一般的なロードポート装置に比べて、キャリアステージの厚さ寸法、及びキャリアステージ下に配設されたマッピング装置の昇降装置等の装置の奥行き幅の寸法が小さく、ウェハキャリアを載置可能である十分な空間が確保できるようになっていることに大きく起因している。
請求項2の発明は、請求項1に記載のロードポート装置において、前記キャリアプレートには、当該キャリアプレートに対するウェハキャリアの位置決めを行う複数の位置決めピンと、下方からウェハキャリアを支持して前記キャリアプレートに対してウェハキャリアを着脱させて移載するキャリア移載装置の移載ハンドとの干渉を回避するハンド挿入空間部とが形成され、前記バッファプレートには、前記キャリアプレートの後退位置において平面視で同一配置となるように前記位置決めピン、及びハンド挿入空間部が設けられていることを特徴としている。
ウェハキャリアをキャリアステージ上に移載する場合には、通常キャリアプレートは、プロセス処理装置に対する進退方向に沿ってロードポート装置のウェハ移載窓から最も後退した状態に位置している。請求項2の発明によれば、バッファプレート上に形成された位置決めピン及びハンド挿入空間部は、キャリアプレート上に形成されている位置決めピン及びハンド挿入空間部と同一の構成になっていて、前記キャリアプレートがウェハ移載窓から最も後退した位置にあるとき、平面視において位置決めピンと前記ハンド挿入空間部は同一配置となっている。この結果、ウェハキャリアを前記バッファプレートから前記後退位置のキャリアプレート上に移載する際、キャリア移載装置の3次元各方向への作動範囲の設定を簡便にすることができる。即ち、キャリア移載装置の移載アームの作動範囲において、高さ方向については、前記バッファプレートと前記後退位置のキャリアプレートの距離間を設定すればよく、プロセス装置に対する進退方向については、キャリア移載装置の移載アームが収縮して前記各プレートから最も後退した場合と、前記移載アームが伸長して前記各プレートのハンド挿入空間部に移載ハンドが挿入された場合の二点間の距離を設定すればよい。前記進退方向と直交する横方向については固定でよい。従って、バッファステージからキャリアステージへのウェハキャリアの移載を効率的に行うことができる。
請求項3の発明は、請求項1又は2に記載のロードポート装置において、前記半導体製造装置の最前面のロードポートに載置されたウェハキャリアは、キャリア移載装置の移載アームを前記プロセス処理装置に対する進退方向に沿って作動させるのみで前記バッファステージに移載可能なように、前記ロードポートとバッファプレートは、ほぼ同一高さに配置されていることを特徴としている。
キャリア搬送システムにより前記半導体製造装置に搬送されてきたウェハキャリアは、前記半導体製造装置の最前面に設置されている外付ロードポートに載置される。請求項3の発明によれば、前記ウェハキャリアを一時保管するために、前記ウェハキャリアを前記外付ロードポートからストックヤード内に移載する際、キャリア移載装置の移載アームを、前記ウェハキャリアの底面の位置決め台座に前記バッファプレートの位置決めピンを嵌入させるために、高さ方向に沿って極僅かには動かすが、プロセス処理装置に対する進退方向に沿って作動させるのみで、ウェハキャリアは前記バッファプレートに移載される。このため、前記ストックヤード内のキャリア載置棚に移載するよりも、ウェハキャリアの移載距離が短くなり、ウェハキャリアを前記ストックヤード内に効率的に移載可能となる。
請求項4の発明は、請求項1ないし3のいずれかに記載のロードポート装置において、前記装着盤において、前記キャリアステージより下方部分に、マッピング装置の昇降装置を覆うカバー体が装着されていることを特徴としている。
請求項4の発明によれば、前記キャリアステージより下方部分にはカバー体が装着されていて、前記カバー体はマッピング装置の昇降装置を覆っている。従って、前記カバー体の装着は、ロードポート装置の見栄えを良くするためであると共に、キャリア移載装置の誤作動等でウェハキャリアが前記昇降装置に干渉してしまうのを防止して、前記ウェハキャリア及び前記昇降装置を保護するためでもある。
本発明によれば、ロードポート装置にバッファステージを搭載することにより、1台分多くウェハキャリアを載置することができる。このため、ストックヤード内のウェハキャリアの収容容量が増加するので、ウェハの処理状況に応じてウェハキャリアをキャリア搬送システムにより製造装置まで逐一搬送してストックヤード内に供給する必要はなく、搬送する頻度を減らすことができる。また、テーブル直下にバッファステージが形成されたことによって、ウェハキャリアをバッファステージのバッファプレートからキャリアステージのキャリアプレートに移載する距離は、ストックヤード内に既存のキャリア載置棚から移載する距離よりも短く、ウェハキャリアの移載効率が向上する。従って、ロードポート装置へのバッファステージの搭載は、製造装置の稼働率の上昇、ウェハの処理工程の効率化、ウェハの生産性の向上につながる。
以下、最良の実施形態を挙げて本発明について更に詳細に説明する。なお、「背景技術」の項目で説明した部分と同一部分には同一符号を付し、既述部分との重複説明を避けて本発明の特徴的部分についてのみ説明する。
最初に、図1ないし図4を用いて、本発明に係るロードポート装置Fの構成について説明する。前記ロードポート装置Fは、ストックヤードR1 とプロセス処理装置R3 の前室のエンクロージャR2 とを隔絶する壁体Pの手前側に装着された内付ロードポートであり、外付ロードポートLとは区別して説明する。図1は、ロードポート装置Fの全体斜視図であり、図2は、同正面図であり、図3は、図2のA1 −A1 線断面図であり、図4は、図2のA2 −A2 線断面図である。図1に示されるように、ロードポート装置Fは、長方形の厚板状の装着盤1、装着盤1の上部に形成されたウェハ移載窓2、ウェハ移載窓2の下端縁にプロセス処理装置R3 に対するキャリアプレート11の進退方向X(以下、「方向X」ということもある。)に沿って配設されたキャリアステージC、及び該キャリアステージCのテーブル18の直下に正面手前側に突出して形成されたバッファステージBから構成される。また、ロードポート装置Fの正面側にはマッピング装置Mの昇降装置U2 及びそれを覆うカバー体3が配設され、同背面側には蓋体開閉装置N、その昇降装置U1 、及びマッピング装置Mが配設されている。キャリアステージCの下部空間はバッファステージBにキャリアを載置する空間となるが、マッピング装置Mの昇降装置U2 は、装着盤1表面から突出しているため、これらを保護するために、前記カバー体3が取付けられて、前記昇降装置U2 を覆っている。前記カバー体3は、上からカバー体3a,3b,3cと3つの部分に分かれている(詳細は後述する)。また、ロードポート装置Fにおいて、装着盤1の上下端部には、取付補助片82を介して取付部材80が固定されていて、ロードポート装置Fを壁体Pに形成されたロードポート装着空間Pbに嵌め込んだ後に、各取付部材80の横方向Y(以下、「方向Y」ということもある。)における両端部をボルト81等で壁体Pに固定することによって、壁体Pに装着できる構成になっている。
図1及び図2に示されるように、キャリアステージCは、キャリアプレート11、ウェハ移載窓2の下端縁に装着盤1から手前側に水平に設けられた長さの異なる一対のプレート支持体18a,18bからなるテーブル18、方向Xに沿ってスライドさせるために設けられた一対のガイド体16及びガイドレール17、そしてスライド駆動部12からなる。一対のガイドレール17は、テーブル18上に方向Xに沿って敷設され、ガイド体16は、前記ガイドレール17に装着されている。スライド駆動部12は、本実施例の場合、前記テーブル18において、一方のプレート支持体18bの側面に取付けられている。キャリアプレート11は、キャリア移載装置T1 の移載アーム71がキャリアステージCと干渉しないように、前記移載アーム71の可動領域部分(本実施例では、正面右側の手前部分)が切り欠かれた形状になっている。このため、キャリアプレート11を支持するテーブル18において、前記可動領域側のプレート支持体18aは、他方のプレート支持体18bに比べて短くなっている。また、キャリアプレート11において、前記キャリア移載装置T1 の三角形状の移載ハンド72を挿入するための三角形状のハンド挿入空間部14がキャリアプレート11の略中央部に形成されている。キャリア30底面に三角形の3頂点に対応する位置に形成された各位置決め台座31に嵌入される位置決めピン13、及びキャリア30が載置されたことを検知する着座センサ15は、三角形状の前記ハンド挿入空間部14の3頂点に対応するように、前記ハンド挿入空間部14を形成するキャリアプレート11の周縁部に取付けられている。なお、符号19は、キャリア30を位置決めされた状態で確実に固定しておくロック装置である。
図1,図3,及び図8を用いてバッファステージBについて説明する。図8は、キャリア移載装置T1 によって、バッファステージBのバッファプレート21にキャリア30が載置された状態を示すロードポート装置Fの斜視図である。バッファステージBは、バッファプレート21、補強板22、及び位置決めピン23からなる。バッファプレート21は、略方形状の薄板及びその側端部から下方に起立した一対の側壁部を有する形状であり、カバー体3aの下端部及び支持体1aと連結した状態で固定されていて、カバー体3bの上部を覆っている。バッファプレート21の上面部分は、キャリアステージCのキャリアプレート11と類似の形状であり、キャリア移載装置T1 の三角形状の移載ハンド72を挿入するための略三角形状のハンド挿入空間部24が形成されていると共に、キャリア移載装置T1 がキャリア30をバッファプレート21に載置する際に、移載アーム71がバッファプレート21と干渉しないように、バッファプレート21における移載アーム71の可動領域部分(本実施例では正面右側の手前部分)は切り欠かれている。所定幅の薄板からなる補強板22は、ハンド挿入空間部24を形成するバッファプレート21の周縁部を所定幅で縁取るような形状で、バッファプレート21上の周縁部に取付けられている。また、キャリアプレート11における位置決めピン13がハンド挿入空間部14の3頂点に対応するように固定されているのと同様の構成で、キャリア30底面の位置決め台座31に嵌入される位置決めピン23が、三角形状のハンド挿入空間部24の3頂点に対応するように、補強板22を介してバッファプレート21の前記周縁部に固定されている。ここで、バッファプレート21の位置決めピン23及びハンド挿入空間部24は、キャリアプレート11の位置決めピン13及びハンド挿入空間部14と同一の構成になっていて、キャリアプレート11がウェハ移載窓2から最も後退した位置にあるとき、XY平面(平面視)において、前記位置決めピン23及びハンド挿入空間部24と、キャリアプレート11の位置決めピン13及びハンド挿入空間部14とは同一配置となっている。
なお、バッファプレート21のハンド挿入空間部24の形状は、キャリア移載装置T1 の移載ハンド72がバッファプレート21と干渉しない形状であれば、移載ハンド72の形状と必ずしも対応する必要はない。但し、前記形状が対応しない場合には、キャリア30を搭載した移載ハンド72が前記ハンド挿入空間部24に挿入されて、キャリア30が位置決めピン23によって係止された場合と、キャリアプレート11のハンド挿入空間部14に挿入されて前記キャリア30が位置決めピン13によって係止された場合とで、XY平面(平面視)における前記キャリア30の位置が変わらないように、前記ハンド挿入空間部24の形状と位置決めピン23との配置関係を考慮する必要がある。
図2ないし図4を用いて、蓋体開閉装置N及びその昇降装置U1 について説明する。図4に示されるように、蓋体開閉装置Nは、ロードポート装置Fの背面側にある。蓋体開閉装置Nを構成する装置本体41は、その下端部がガイドレール45によって案内され、方向Xに沿って進退可能となる。図2及び図4に示されるように、装置本体41の正面部(装着盤1の背面に対向する面)には、キャリア30の蓋体32を着脱するための係止爪機構42と吸盤機構43が設けられている。蓋体開閉装置Nの方向Xへの移動及び前記蓋体32の着脱を行う駆動モータ、機械的機構等は、装置本体41の背面部に取付けられた箱体46内に収容されている。なお、図3及び図4に示されるように、蓋体開閉装置Nの昇降装置U1 は、装着盤1の背面における蓋体開閉装置Nの下端部中央に取付けられていて、カバー体44内に収納されている。
次に、図2ないし図4を用いて、マッピング装置M及びその昇降装置U2 について説明する。図2に示されるように、前記昇降装置U2 は以下の部材より構成される。即ち、昇降装置U2 は、キャリアステージCの側方下部(本実施例では、正面右下部)に配されたボールねじ55、ボールねじ55が回転自在となるようにその上下端部を支持するブラケット52、ボールねじ55下端部とカップリング57を介して連結しているステッピングモータ56、ステッピングモータ56を作動させてボールねじ55を回転させることによりボールねじ55上を昇降するナット体53、ナット体53とガイド体61を連結する連結部材54、及びナット体53の昇降に伴ってガイド体61が昇降するのを導くガイドレール58から構成される。装着盤1には、ガイドレールに平行な開口部59が、ガイドレール58と支持体1aの間に位置するように形成されている。図3に示されるように、部材61aと部材61bとが直交して断面略L字状をなすガイド体61は、部材61bを開口部59に挿通させて、装着盤1の背面側に突出した部分においてマッピングフレーム62と連結している。また、装着盤1の正面側の部材61aは連結部材54と連結している。前記昇降装置U2 が上記の構成であるために、前記昇降装置U2 が装着盤1の正面側に大きく突出することはないので、キャリアステージCより下方向にはキャリア30を載置可能な空間が形成されることとなる。図4に示されるように、マッピング装置Mは、マッピング機構(図示せず)、マッピングフレーム62、マッピングヘッド63からなる。マッピングヘッド63は、マッピングフレーム62の上端部に、方向Yに沿って片持ち状態で取り付けられていて、マッピング機構は、マッピングヘッド63に配設されている。マッピングヘッド63は、蓋体開閉装置Nの前記箱体46のほぼ直上に配置されている。
カバー体3は、方形状の薄板に両側端部から所定長さで起立した側壁を有する形状で、キャリアベースCのテーブル18より下方部分の装着盤1を覆っている。前記カバー体3は3つの部分から構成されており、装着盤1正面において、キャリアステージCのテーブル18の直下からバッファステージBのバッファプレート21上面までの部分を覆うカバー体3a、バッファステージBのバッファプレート21直下の所定長さ部分を覆うカバー体3b、及びカバー体3b下端から装着盤1の下端部までを覆うカバー体3cから構成されている。カバー体3aの高さ(即ち、装着盤1からカバー体3aまでの方向Xに沿った長さ)は、前記マッピング装置Mの昇降装置U2 、及びバッファプレート21に載置されたキャリアの蓋体32のいずれにもカバー体3aが干渉しないように設計されている。このため、キャリア移載装置T1 の誤作動等でキャリア30が前記昇降装置U2 に干渉するのを防止して、前記キャリア30及び前記昇降装置U2 を保護できる。カバー体3bの高さ(即ち、装着盤1からカバー体3bまでの方向Xに沿った長さ)は、前記昇降装置U2 のステッピングモータ56との干渉を避けて、カバー体3aの高さよりも大きく形成されているので、カバー体3bは、カバー体3aよりも正面手前側に張出した形状になっている。従って、上記形状を有するカバー体3bは、装着盤1におけるバッファプレート21の取付部分を下から支持することができるので、バッファプレート21を補強する効果も有する。カバー体3cの高さについては、自由に設定が可能であるが、カバー体3cが前記昇降装置U2 の前記ステッピングモータ56と干渉しないように、カバー体3cの高さを全面的に大きくするか、或いは、作動時におけるステッピングモータ56からの発熱を考慮して、ステッピングモータ56に対応する部分を切り抜き、ステッピングモータ56をカバー体3cから突出させて、カバー体3cとステッピングモータ56との干渉を避けれはよい。本実施例におけるカバー体3cでは、カバー体3cの高さはカバー体3aの高さと同値であるが、ステッピングモータ56の形状に沿うようにカバー体3cを切り抜いて、ステッピングモータ56がカバー体3cから突出している形状になっている。
次に、図4ないし図7を用いて、本発明に係るロードポート装置Fが設置された製造装置Eについて説明する。図5は、壁体Pに装着されたロードポート装置Fの正面及びキャリア載置棚Sを示した図であり、図6は、図5のA3 −A3 線断面図である。ロードポート装置Fは、キャリアステージCの突出方向がストックヤードR1 内に配されるように配置され、ストックヤードR1 とエンクロージャR2 を隔絶する壁体Pに形成されたロードポート装着空間Pbに嵌め込まれ、壁体Pにボルト81等で固定されて装着されている。即ち、ロードポート装置Fの正面側はストックヤードR1 側、同背面側はエンクロージャR2 側に臨んでいる。ロードポート装置Fは、方向Yに沿った製造装置Eの大きさによって、壁体Pに一体、或いは複数体並べて取付けられる。本実施例では、図5に示すように、ロードポート装置Fは2体並んで取付けられている。また、通常の製造装置Eでは、ロードポート装置Fと同数の外付ロードポートLが備えられていて、外付ロードポートLからロードポート装置FのキャリアステージCまでのキャリアの移載は、プロセス処理装置R3 に対する進退方向Xに沿った同一直線上で行われる。なお、図中Paは、製造装置Eのフレームである。
図5及び図6を用いて、ストックヤードR1 内に配設されたキャリア載置棚Sについて説明する。キャリア載置棚Sは、外付ロードポートLに載置されたキャリア30をストックヤードR1 内に収容する際に、キャリア30を載置するための棚である。キャリア載置棚Sは、前記壁体P上に取付けられている。各キャリア載置棚Sは、製造装置Eの高さに合わせて、壁体Pに取付けられたロードポート装置Fの上下各方向に、所定間隔で取付けられている。本実施例では、キャリア載置棚Sは、2体の各ロードポート装置Fの上方に二箇所、下方に一箇所、それぞれ壁体Pに取付けられていて、各列に取付けられたキャリア載置棚Sを上から順にS1 ,S2 ,S3 とする。各キャリア載置棚S1 ,S2 ,S3 には、位置決め台座31に嵌入してキャリア30を係止する位置決めピン91と、ハンド挿入空間部(図示せず)が形成されている。同列に配されたロードポート装置F及びキャリア載置棚S1 ,S2 ,S3 において、キャリア移載装置T1 の移載ハンド72に搭載されたキャリアが、該ハンド挿入空間に挿入されて位置決めピン91を介してキャリア載置棚S1 ,S2 ,S3 のいずれかに載置されたとき、XY平面(平面視)における前記キャリアの位置は、キャリアプレート11が最もウェハ移載窓2から後退した位置にあるときに、前記キャリアが前記キャリアプレート11上に載置された位置と合致する。なお、キャリア載置棚S1 ,S2 ,S3 には着座ピン92が形成されていて、キャリア30をキャリア載置棚Sに載置した際、着座ピン92上にキャリア30の底面が当接するようにして、載置されたキャリア30の安定化を図っている。
図8を参照して、キャリア移載装置T1 について説明する。キャリア移載装置T1 は、ストックヤードR1 内に配置されていて、製造装置Eの高さ方向Zに沿って、ストックヤードR1 の側壁内面に固定された昇降機構77、一端を昇降機構77に固定されて方向Yに沿って配設されたレール75、該レール75に嵌合されて該レール75に沿ってスライドする水平移動機構76、該水平移動機構76に回動可能に固定された移載アーム71、及び該移載アーム71の先端に回動可能に取付けられた移載ハンド72からなる。移載アーム71は、ユニット71aとユニット71bから構成されて、ユニット71aの一端は水平移動機構76に回動軸78により回動可能に固定され、他端は回動軸74を介してユニット71bの一端と連結している。ユニット71bの他端は、移載ハンド72と連結している。三角形状の移載ハンド72には、各頂点に係止ピン73が取付けられている。三箇所の係止ピン73は、キャリア30の底面において三角形の各頂点に配置された三箇所の位置決め台座31に対応して配置されている。
次に、図7及び図8を用いて、製造装置Eに搬送されたキャリア30がバッファステージBに一時保管され、そこからキャリアステージCに移載される手順を説明する。本実施例では、ロードポート装置Fが2体設置されているが、その内の1体に着目し、そのロードポート装置Fと方向Xに沿って同一直線上にある外付ロードポートLから前記ロードポート装置Fへの移載について説明する。まず、製造装置Eに搬送されたキャリア30は、蓋体32が製造装置E内への導入口に対向するように、製造装置Eの最前面に設置されている前記外付ロードポートLに受け取られる。キャリア移載装置T1 を構成する昇降機構77により、水平移動機構76の嵌合したレール75が昇降して、所定高さで停止する。次に、水平移動機構76は方向Yに沿ってレール75をスライドし、外付ロードポートLの位置で停止する。移載アーム71は、ユニット71a,71b、及び移載ハンド72を回動させながら伸長し、該移載ハンド72を外付ロードポートL上に載置されたキャリア30の底面下に挿入する。移載アーム71及び移載ハンド72を僅かに上昇させて、キャリア30底面の3箇所の位置決め台座31に、移載ハンド72の係止ピン73をそれぞれ嵌入させる。次に、移載アーム71を僅かに上昇させて、前記キャリア30を下方から完全に移載ハンド72で支持した状態にする。次に、前記移載アーム71はユニット71a,71b、及び移載ハンド72を回動させながら収縮して外付ロードポートLから後退する。これに続けて移載アーム71は、ユニット71a,71b、及び移載ハンド72を回動させて伸長しながら、キャリア30の蓋体32が前方に向いた状態で、前記キャリア30を方向Xに沿ってロードポート装置FのバッファステージBへと前進する。このとき、水平移動機構76の三次元(XYZ)方向の位置は固定させた状態でよい。次に、伸長した前記移載アーム71は、前記キャリア30を支持している移載ハンド72をバッファプレート21のハンド挿入空間部24より僅かに上方に挿入する。前記移載アーム71を僅かに下降させて、前記キャリア30底面の各位置決め台座31にバッファプレート21の位置決めピン23を嵌入させる。このとき、各位置決め台座31内に形成された溝には、内側に移載ハンド72の係止ピン73が、外側にバッファプレート21の位置決めピン23が、両者干渉せずに嵌入されている。次に、移載アーム71を下降させて、移載ハンド72の係止ピン73を完全に位置決め台座31から脱離させると、キャリア30は、その位置決め台座31に前記位置決めピン23が嵌入した状態で、バッファプレート21に載置される。最後に、移載アーム71は、ユニット71a,71b及び移載ハンド72をそれぞれ回動させて収縮し、前記移載ハンド72をハンド挿入空間部24から後退させる。
次に、バッファプレート21上に載置されたキャリア30を、キャリアステージCのキャリアプレート11上に移載する手順について説明する。まず、キャリア移載装置T1 の移載アーム71の位置に応じて、昇降機構77及び水平移動機構76を作動させて、移載するキャリア30の正面まで前記移載アーム71を移動させる。移載アーム71はユニット71a,71b及び移載ハンド72を回動させながら伸長し、バッファプレート21よりも僅かに下方になるように、バッファプレート21のハンド挿入空間部24に移載ハンド72を挿入する。次に、前記移載ハンド72を僅かに上昇させて、前記移載ハンド72の各係止ピン73をキャリア30底面の各位置決め台座31にそれぞれ嵌入させる。このとき、各位置決め台座31内の溝には、内側に前記係止ピン73が、外側にバッファプレート21の位置決めピン23が、両者干渉することなく嵌入されている。次に、前記移載ハンド72を僅かに上昇させて、前記位置決めピン23を位置決め台座31から完全に脱離させ、前記キャリア30を下方から完全に移載ハンド72で支持した状態にする。そして、移載アーム71はユニット71a,71b及び移載ハンド72を回動させて収縮し、キャリア30を方向Xに沿って後退させて、バッファステージBから完全に撤退させる。
次に、昇降機構77によりレール75をほぼキャリアステージCのキャリアプレート11の高さに至るまで上昇させて、前記移載アーム71を上昇させる。このとき、キャリアプレート11は、スライド機構12によりウェハ移載窓2から最も後退した位置までスライドしている。次に、移載アーム71はユニット71a,71b及び移載ハンド72を回動させながら伸長していき、キャリア30を方向Xに沿って前進させて、キャリア30を支持した移載ハンド72を、キャリアプレート11よりも僅かに上方になるようにキャリアプレート11のハンド挿入空間部14に挿入させる。前記移載ハンド72を僅かに下降させて、キャリア30底面の位置決め台座31にキャリアプレート11上の位置決めピン13を嵌入させる。このとき、各位置決め台座31内の溝には、内側に移載ハンド72の係止ピン73が、外側に前記位置決めピン13が干渉することなく嵌入されている。次に、前記移載ハンド72を下降させていくと、前記位置決めピン13は確実に前記位置決め台座31内に嵌入されると共に、前記移載ハンド72の係止ピン73は、前記位置決め台座31内から脱離する。これによって、キャリア30は、前記位置決めピン13を位置決め台座31に嵌入させた状態で、キャリアプレート11上に載置される。最後は、移載アーム71はユニット71a,71b及び移載ハンド72を回動させながら収縮していき、移載ハンド72が方向Xに沿って後退する。キャリア30が載置されたキャリアプレート11は、スライド機構12により、キャリア30の蓋体32がウェハ移載窓2に当接するまで、ウェハ移載窓2に向かって前進する。
上記の手順は、外付ロードポートLからキャリア載置棚S1 ,S2 ,S3 にキャリア30を移載する手順と同一である。従って、外付ロードポートLに搬送されたキャリア30をストックヤードR1 内に収容する際、バッファステージBがロードポート装置Fに取付けられたことによって、キャリア載置棚S1 ,S2 ,S3 以外にキャリア30を載置するスペースが、1体のロードポート装置Fにつき1台分増えることになる。このため、限られた空間であるストックヤードR1 内のキャリアの収容容量が増加する。また、ロードポート装置FにおいてバッファステージBはキャリアステージCのテーブル18直下に形成されているので、キャリア30をバッファステージBのバッファプレート21からキャリアステージCのキャリアプレート11に移載する距離は、ストックヤードR1 内のキャリア載置棚S1 ,S2 ,S3 から移載する距離よりも短い。更に、外付ロードポートLと前記バッファプレート21の高さはほぼ同一である。従って、ストックヤードR1 内でバッファステージBが空いている場合には、外付ロードポートLからキャリア30を移載する際、キャリア載置棚S1 ,S2 ,S3 よりもバッファステージBに移載した方が、キャリア移載距離が短縮されて作業効率が上昇する。
キャリア30がキャリアプレート11に載置されて、キャリア30がウェハ移載窓2に当接するまで前進した後は、周知の手順で、前記キャリア30内に収納されたウェハWがプロセス処理装置R3 にてウェハ処理される。即ち、まず、蓋体開閉装置Nが作動して、キャリア30が開蓋され、蓋体開閉装置Nは蓋体32と共に、昇降装置U1 により下降する。次に、マッピング装置Mが、キャリア30内で多段状に収納されているウェハWの存否等を検出しながら昇降装置U2 により下降する。検出後、ウェハWはウェハ搬送ロボットT2 によって取り出され、プロセス処理装置R3 内に搬送されて処理される。前記プロセス処理装置R3 内に搬送されたウェハWは、ウェハボートVに多段状に多数枚収納され、前記ウェハWはウェハボートVごと化学処理される。前記処理が終了すると、ウェハ搬送ロボットT2 によって、ウェハボートVに収納されていた処理済ウェハW’は、キャリア30内に戻されて再収納される。次に、マッピング装置Mが上昇しながらキャリア30内のウェハW’を検出していく。検出終了後には、蓋体開閉装置Nと共に下降していたキャリア30の蓋体32が上昇して、キャリア30の開口部分に取付けられる。その後、キャリアプレート11がウェハ移載窓2からスライドして、キャリア30はウェハ移載窓2より後退する。
前記キャリア30がウェハ移載窓2から方向Xに沿って後退し、最も後退した位置に至ると、キャリア30は、キャリア移載装置T1 により、キャリアプレート11から外付ロードポートLに移載されるか、或いは、一時バッファステージBのバッファプレート21、又はキャリア載置棚Sに保管されてから、外付ロードポートLに移載される。外付ロードポートLに移載されたキャリア30は、キャリア搬送システムにより製造装置Eから搬送され、次の工程に進んでいく。ここで、キャリアステージCからバッファステージBに一時保管されてから外付ロードポートLに移載される際は、上記した「キャリア30を外付ロードポートLからバッファステージB、そしてキャリアステージCへと移載する」手順と全く逆の手順をたどればよい。
本発明のバッファステージBは、ロードポート装置Fに取付ける以外に、外付ロードポートLに取付けることも可能である。これにより、製造装置Eの外側にもキャリア30の保管場所が確保されることになり、クリーンルーム内のキャリア30の高収容量化に効果的である。
ロードポート装置Fの全体斜視図である。 ロードポート装置Fの正面図である。 図2のA1 −A1 線断面図である。 図2のA2 −A2 線断面図である。 壁体Pに装着されたロードポート装置Fの正面及びキャリア載置棚Sを示した図である。 図5のA3 −A3 線断面図である。 製造装置Eの縦断面図である。 キャリア移載装置T1 によって、バッファステージBのバッファプレート21にキャリア30が載置された状態を示すロードポート装置Fの斜視図である。 従来のロードポート装置F’の全体斜視図である。
符号の説明
B :バッファステージ
C :キャリアステージ
E :半導体製造装置(製造装置)
F :ロードポート装置
P :壁体
1 :ストックヤード
3 :プロセス処理装置
1 :キャリア移載装置
W :ウェハ
X :プロセス処理装置に対するキャリアプレートの進退方向
1 :装着盤
2 :ウェハ移載窓
3 :カバー体
11:キャリアプレート
13:キャリアプレートの位置決めピン
14:キャリアプレートのハンド挿入空間部
18:テーブル
21:バッファプレート
23:バッファプレートの位置決めピン
24:バッファプレートのハンド挿入空間部
30:ウェハキャリア(キャリア)
31:位置決め台座
32:蓋体
71:移載アーム
72:移載ハンド

Claims (4)

  1. 製造過程にあるウェハを収納したウェハキャリアを一時保管するための半導体製造装置におけるストックヤードと、前記ウェハを処理するためのプロセス処理装置とを隔絶する壁体の手前側に装着されて、前記プロセス処理装置との間で受渡しを行うウェハを収納したウェハキャリアを載置するキャリアステージを備え、
    前記キャリアステージは、前記壁体に固定される装着盤と、当該装着盤に設けられたウェハ移載窓の下端縁に手前側に向けて水平に設けられたテーブルと、当該テーブルの上面に配設されて、前記ウェハキャリアを載置した状態で前記プロセス処理装置に対して進退するキャリアプレートと、を備えたロードポート装置であって、
    前記テーブルの直下にバッファプレートを水平に配置して、前記ウェハキャリアを一時保管するためのバッファステージを形成したことを特徴とするロードポート装置。
  2. 前記キャリアプレートには、当該キャリアプレートに対するウェハキャリアの位置決めを行う複数の位置決めピンと、下方からウェハキャリアを支持して前記キャリアプレートに対してウェハキャリアを着脱させて移載するキャリア移載装置の移載ハンドとの干渉を回避するハンド挿入空間部とが形成され、
    前記バッファプレートには、前記キャリアプレートの後退位置において平面視で同一配置となるように前記位置決めピン、及びハンド挿入空間部が設けられていることを特徴とする請求項1に記載のロードポート装置。
  3. 前記半導体製造装置の最前面のロードポートに載置されたウェハキャリアは、キャリア移載装置の移載アームを前記プロセス処理装置に対する進退方向に沿って作動させるのみで前記バッファステージに移載可能なように、前記ロードポートとバッファプレートは、ほぼ同一高さに配置されていることを特徴とする請求項1又は2に記載のロードポート装置。
  4. 前記装着盤において、前記キャリアステージより下方部分に、マッピング装置の昇降装置を覆うカバー体が装着されていることを特徴とする請求項1ないし3のいずれかに記載のロードポート装置。
JP2006230357A 2006-08-28 2006-08-28 ロードポート装置 Active JP4904995B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2006230357A JP4904995B2 (ja) 2006-08-28 2006-08-28 ロードポート装置
KR1020070086155A KR101408294B1 (ko) 2006-08-28 2007-08-27 로드 포트 장치
US11/892,830 US7887276B2 (en) 2006-08-28 2007-08-28 Load port device
TW096131760A TWI390661B (zh) 2006-08-28 2007-08-28 承載介面裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006230357A JP4904995B2 (ja) 2006-08-28 2006-08-28 ロードポート装置

Publications (2)

Publication Number Publication Date
JP2008053596A true JP2008053596A (ja) 2008-03-06
JP4904995B2 JP4904995B2 (ja) 2012-03-28

Family

ID=39151771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006230357A Active JP4904995B2 (ja) 2006-08-28 2006-08-28 ロードポート装置

Country Status (4)

Country Link
US (1) US7887276B2 (ja)
JP (1) JP4904995B2 (ja)
KR (1) KR101408294B1 (ja)
TW (1) TWI390661B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013529383A (ja) * 2010-05-07 2013-07-18 ナノセミコン カンパニー リミテッド 一体型半導体処理装置
US8814488B2 (en) 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US8875866B2 (en) 2012-01-27 2014-11-04 Daifuku Co., Ltd. Article transport facility
JP2015076432A (ja) * 2013-10-07 2015-04-20 東京エレクトロン株式会社 基板搬送室及び容器接続機構
JP2019536272A (ja) * 2016-11-10 2019-12-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたロードポートバックプレーンのためのシステム、装置、及び方法

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4266197B2 (ja) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
JP5003292B2 (ja) * 2006-11-07 2012-08-15 シンフォニアテクノロジー株式会社 搬送システム
KR101015225B1 (ko) * 2008-07-07 2011-02-18 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
KR100978856B1 (ko) * 2008-07-16 2010-08-31 세메스 주식회사 기판 처리 설비 및 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5381054B2 (ja) * 2008-12-02 2014-01-08 シンフォニアテクノロジー株式会社 ロードポート
JP5284808B2 (ja) 2009-01-26 2013-09-11 株式会社Sokudo ストッカー装置及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5318005B2 (ja) * 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
WO2012150644A1 (ja) * 2011-05-02 2012-11-08 村田機械株式会社 自動倉庫
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101285077B1 (ko) * 2011-07-12 2013-07-17 로체 시스템즈(주) 밀폐형 카세트 적재장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5674041B2 (ja) * 2011-08-11 2015-02-18 株式会社ダイフク 物品搬送設備
US8888434B2 (en) 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101329987B1 (ko) * 2011-11-14 2013-11-15 로체 시스템즈(주) 유리 기판 이송장치
US9558978B2 (en) 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI476857B (zh) * 2012-11-20 2015-03-11 Hiwin Tech Corp Wafer handling robot
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015141915A (ja) * 2014-01-27 2015-08-03 東京エレクトロン株式会社 基板熱処理装置、基板熱処理装置の設置方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015141793A1 (ja) * 2014-03-20 2015-09-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6882656B2 (ja) * 2016-07-08 2021-06-02 シンフォニアテクノロジー株式会社 ロードポート及びロードポートを備える基板搬送システム
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106876312B (zh) * 2017-03-14 2023-09-12 上海大族富创得科技股份有限公司 晶圆盒输送装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
WO2018236544A1 (en) 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6819565B2 (ja) * 2017-12-21 2021-01-27 株式会社ダイフク 物品収納設備
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112005356A (zh) * 2018-05-03 2020-11-27 应用材料公司 高速旋转分类器中的基板倾斜控制
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TWI705516B (zh) * 2020-01-22 2020-09-21 迅得機械股份有限公司 晶圓盒移載裝置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TWM600933U (zh) * 2020-05-07 2020-09-01 鈦昇科技股份有限公司 晶圓裝卸機
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI767542B (zh) * 2021-01-29 2022-06-11 樂華科技股份有限公司 晶圓載具之固定結構
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113274519A (zh) * 2021-05-26 2021-08-20 上海智蕙林医疗科技有限公司 一种医用物资对接装置、传输系统及传输方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117524957B (zh) * 2024-01-08 2024-03-19 上海大族富创得科技股份有限公司 用于晶圆盒的装载开盒机构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164406A (ja) * 2000-11-27 2002-06-07 Tokyo Electron Ltd 処理装置
JP2004165543A (ja) * 2002-11-15 2004-06-10 Tdk Corp ウェハーマッピング機能を備えるウェハー処理装置
JP2006086308A (ja) * 2004-09-15 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5303482A (en) * 1991-01-29 1994-04-19 Shinko Electric Co., Ltd. Wafer airtight keeping unit and keeping facility thereof
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
US5741109A (en) * 1995-07-07 1998-04-21 Pri Automation, Inc. Wafer transfer system having vertical lifting capability
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JP2968742B2 (ja) * 1997-01-24 1999-11-02 山形日本電気株式会社 自動保管棚及び自動保管方法
JPH10303271A (ja) 1997-04-30 1998-11-13 Daikin Ind Ltd キャリアインターフェース装置
JPH1159829A (ja) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6013920A (en) * 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP3971601B2 (ja) * 2000-11-28 2007-09-05 大日本スクリーン製造株式会社 基板受渡装置および基板処理装置
US7021882B2 (en) * 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
JP2003051527A (ja) 2001-08-02 2003-02-21 Takehide Hayashi 半導体自動搬送対応efem(密閉容器からウエハーを出し入れし、製造装置に供給、回収する装置)用foup(密閉容器)移載ロボット
KR20050044434A (ko) * 2001-11-13 2005-05-12 에프 에스 아이 인터내셔날,인코포레이티드 초소형전자 기판을 처리하는 감소의 풋프린트 공구
US7134826B2 (en) * 2001-11-28 2006-11-14 Dainippon Screen Mfg. Co., Ltd. Substrate transfer apparatus, substrate processing apparatus and holding table
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
EP1535143A4 (en) * 2002-06-19 2010-05-05 Brooks Automation Inc AUTOMATED MATERIAL HANDLING SYSTEM FOR SEMICONDUCTOR MANUFACTURING BASED ON A COMBINATION OF VERTICAL CAROUSELS AND OVERHEAD HOISTS
CN101048861B (zh) * 2004-08-23 2010-05-26 布鲁克斯自动化公司 基于升降机的工具装载和缓冲系统
US9048274B2 (en) * 2008-12-08 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Portable stocker and method of using same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164406A (ja) * 2000-11-27 2002-06-07 Tokyo Electron Ltd 処理装置
JP2004165543A (ja) * 2002-11-15 2004-06-10 Tdk Corp ウェハーマッピング機能を備えるウェハー処理装置
JP2006086308A (ja) * 2004-09-15 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8814488B2 (en) 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2013529383A (ja) * 2010-05-07 2013-07-18 ナノセミコン カンパニー リミテッド 一体型半導体処理装置
US8875866B2 (en) 2012-01-27 2014-11-04 Daifuku Co., Ltd. Article transport facility
JP2015076432A (ja) * 2013-10-07 2015-04-20 東京エレクトロン株式会社 基板搬送室及び容器接続機構
JP2019536272A (ja) * 2016-11-10 2019-12-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善されたロードポートバックプレーンのためのシステム、装置、及び方法

Also Published As

Publication number Publication date
KR101408294B1 (ko) 2014-06-17
US20080056860A1 (en) 2008-03-06
JP4904995B2 (ja) 2012-03-28
TW200820368A (en) 2008-05-01
TWI390661B (zh) 2013-03-21
KR20080019562A (ko) 2008-03-04
US7887276B2 (en) 2011-02-15

Similar Documents

Publication Publication Date Title
JP4904995B2 (ja) ロードポート装置
JP4796024B2 (ja) 容器交換システム及び容器交換方法
JP5212165B2 (ja) 基板処理装置
KR101157673B1 (ko) 기판 캐리어 지지부의 재배치 방법 및 장치
TWI429573B (zh) 用以處理基板之裝置及輸送其基板之方法
JP4989398B2 (ja) 基板処理装置
KR101152271B1 (ko) 일체형 반도체 처리 장치
WO2013069716A1 (ja) ロードポート、efem
US10081494B2 (en) Container transport device and container transport facility
JP2007317835A (ja) 基板搬送装置、基板処理システムおよび基板搬送方法
JP5610009B2 (ja) 基板処理装置
KR20130116021A (ko) 기판 전달 장치, 기판 전달 방법 및 기억 매체
JP2006237559A (ja) 基板処理装置
TWI773764B (zh) 基板處理裝置、基板處理方法及記憶媒體
US9184078B2 (en) Narrow width loadport mechanism for cleanroom material transfer systems
US20090035098A1 (en) Lid opening/closing system for closed container and substrate processing method using same
US20160086835A1 (en) Cover opening/closing apparatus and cover opening/closing method
JP5279576B2 (ja) 基板処理装置
KR100806250B1 (ko) 로드포트 직결식 로드락 챔버를 위한 풉 적재장치
JP5164416B2 (ja) 基板処理装置、収納容器の搬送方法および半導体装置の製造方法
US20090142164A1 (en) Container lid opening/closing system and substrate processing method using the system
JP2004165458A (ja) 容器開閉装置
CN107393853B (zh) 一种后置型设备前端装载模块
JP6296164B2 (ja) ロボットシステムおよび搬送方法
JP7488442B2 (ja) 搬送システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111226

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150120

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4904995

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250