JP2003525523A - 新規なチップ相互配線およびパッケージング堆積方法および構造体 - Google Patents

新規なチップ相互配線およびパッケージング堆積方法および構造体

Info

Publication number
JP2003525523A
JP2003525523A JP2001524131A JP2001524131A JP2003525523A JP 2003525523 A JP2003525523 A JP 2003525523A JP 2001524131 A JP2001524131 A JP 2001524131A JP 2001524131 A JP2001524131 A JP 2001524131A JP 2003525523 A JP2003525523 A JP 2003525523A
Authority
JP
Japan
Prior art keywords
substrate
conductive material
cavity
layer
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001524131A
Other languages
English (en)
Inventor
ウゾー、サイプリア・エメカ
タリエー、ホマヨウン
ベイソル、ブレント
Original Assignee
ナトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ナトゥール・インコーポレイテッド filed Critical ナトゥール・インコーポレイテッド
Publication of JP2003525523A publication Critical patent/JP2003525523A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1848Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by electrochemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01016Sulfur [S]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01021Scandium [Sc]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01087Francium [Fr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating And Plating Baths Therefor (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

(57)【要約】 本発明は、より効率的で時間を節約できるし方で基板のキャビティに導電材料を堆積する方法を提供することにより、高性能のチップ相互配線およびパッケージを製造する方法に関する。これは、基板の上面からシード層の一部を選択的に除去した後、基板のキャビティ(ここで、キャビティ内にはシード層の一部が残っている)に導電材料を堆積することにより達成される。他の方法は、基板の上面に酸化物層を形成し、導電材料を、基板の上面に形成することなしに、キャビティ内に堆積できるようにする。本発明は多層相互接続を形成する方法および対応する構造も開示している。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は高性能のチップ相互配線およびパッケージを製造する方法に関する。
より具体的には、本発明は、基板の上面からシード層の一部をその場で選択的に
除去し、一方でその内部に形成されたキャビティからシード層が除去されるのを
防止する方法を目標としている。さらに、本発明は基板のキャビティ内に導電材
料を堆積する方法を開示している。
【0002】
【従来の技術】
基板または加工品のキャビティ(トレンチ、ホールおよびビア)内に金属/導
電材料を堆積することは、半導体工業および非半導体工業において重要かつ広い
用途がある。導電材料を基板のキャビティ内に堆積して、その中に含まれる層お
よび部品を相互接続する。近年、非常に高いアスペクト比およびサブミクロンレ
ベル(たとえば0.25μm未満)の特徴サイズを持つチップおよび素子を製造
することに非常に関心がある。その結果、銅は、例えばアルミニウムまたはアル
ミニウム合金よりも良好な導電性および信頼性を与えるので、良質の好ましい導
電材料である。
【0003】 図1A〜1Cは導電材料を基板(たとえば加工品)のキャビティ内に堆積する
従来の一方法を示す。図1Aはその上に設けられた種々の層を有する基板の断面
図を示す。この図は、その上にバリアー層または接着層4およびシード層6を設
けた二酸化シリコン層(SiO2)2(誘電体層)を示す。
【0004】 一般的に、バリアー層4およびシード層6をその上に堆積する前に、誘電体層
2をキャビティでエッチングする。一般的に、反応性イオンエッチング(RIE
)法を用いて、誘電体層2中のキャビティをエッチングする。バリアー層4は、
この分野において普通に用いられる、タンタル(Ta)、チタン(Ti)、タン
グステン(W)、チタン−タングステン(TiW)、窒化チタン(TiN)、N
b、CuWP、CoWP、もしくは他の材料、またはこれらの組み合わせであり
得る。一般的に、種々のスパッタリング法、化学気相成長(CVD)、電着、電
解/無電解めっき法のいずれかを用いて、バリアー層4を誘電体層2上に堆積す
る。その後、シード層6をバリアー層4上に堆積する。種々のスパッタリング法
、CVD、もしくは無電解堆積、またはこれらの組み合わせを用いて、シード層
6を誘電体層2上に再び堆積してもよい。シード層6の厚さは、基板のトポグラ
フィに依存して、20から4000Åまで変えてもよい。
【0005】 シード層6を堆積した後、一般的に導電材料8(たとえば銅)を用いて、誘電
体層2のキャビティを充填する。このことは図1Bに示している。導電材料8は
CVD、スパッタリング、無電解めっき、電着、またはこれらの組み合わせによ
ってシード層6上に形成できる。導電材料8およびシード層6は一般的には同じ
材料である。図示されるように、通常はキャビティを導電材料8で過剰に充填す
る。
【0006】 いったん導電材料8を基板のキャビティ内に形成すると、通常は基板を他の装
置に移して、図1Cに示すように基板の上面を研磨/平坦化する。典型的には、
通常のケミカル・メカニカル・ポリッシング(CMP)装置を用いて基板を平坦
化する。導電材料8の被覆(overburden)を通常のCMP法を用いて除去すること
ができる。基板の上面のシード層6とバリアー層4の一部も研磨して様々な構造
を電気的に分離する。キャビティ内の残存しているシード層6は形が与えられて
図1Cに図示したような導電材料8になる。
【0007】 再び図1A−1Bを参照すると、誘電体層2中のキャビティの深さ9cは、相
互配線のためには0.2から5μm、パッケージのためには50μmまたはそれ
以上の範囲でありうる。基板上に導電材料を堆積する際には、キャビティをたと
えば深さ9cの50〜200%まで過剰に充填して、配線構造体中の欠陥を最小
にすることが好ましい。たとえば、図1Aの構造で、深さ9aが約0.5μm、
幅9bが約10.0μmとする。したがって、より大きなキャビティは、10.
0μmの幅9bおよび約1.0μmのトータル深さ(キャビティの底から基板の
上面まで測定して)を含む。より大きなキャビティを完全に充填するには、最小
深さが少なくとも1.0μmの導電材料をその中に堆積しなければならない。さ
らに、追加量の導電材料8をより大きなキャビティ内に過剰に充填し、キャビテ
ィを完全に充填し、配線欠陥を最小にすることを確実にする。したがって、より
大きなキャビティ上での追加量(すなわち50%)の導電材料8は少なくとも0
.5μmの深さ9eになければならない。この場合、深さ9eが0.5μmであ
ると、フィールド領域上に形成される導電材料8は約1.5μmの深さにあるで
あろう。言い換えれば、少なくとも1.5μmの導電材料8の被覆を、基板のほ
とんどのフィールド領域上に堆積し、一方で大きなキャビティ上で少なくとも0
.5μmのより薄い被覆を堆積する。したがって、基板の種々の特徴点上で、0
.5〜1.5μmの導電材料の被覆を堆積する。
【0008】 基板をわたっての導電材料8の被覆が不均衡であることは、通常のCMP法を
用いて長い研磨時間と高いコストをもたらす。したがって、基板全面で導電材料
8の被覆の量を最小にする堆積方法とともに、基板の表面での被覆深さの不均衡
を最小にすることに対する要求がある。
【0009】 図2A−2Fは、基板のキャビティ内に導電材料を堆積する、他の従来方法を
示す。図2Aは、図1Aの構造と同様に、キャビティでエッチングされ、その上
に堆積されたバリアー層4およびシード層6を有する誘電体(SiO2)層2を
示す。ここでも、通常はRIE法を用いて、誘電体層2中のキャビティをエッチ
ングする。
【0010】 図2Bは、シード層6の上にコートされたフォトレジスト材12を示す。ポジ
型フォトレジストプロセスを用い、マスク(図示せず)を用いて、基板のキャビ
ティ内に形成されたフォトレジスト材12のみに紫外光を照射するようにする。
紫外光がフォトレジストの分子構造を分解するので、紫外光に露光したフォトレ
ジスト(キャビティ内のフォトレジスト)は劣化する。その後、適当な溶媒また
はRIE法を用いて基板のキャビティから劣化したフォトレジストを除去し、図
2Cに示すような構造をもたらす。ここではポジ型フォトレジストプロセスを説
明したが、図2Cの構造を形成するためにネガ型フォトレジストプロセスを用い
ることもできる。
【0011】 たとえば2μmより大きい幅9bの大きなキャビティを有する基板に対しては
、キャビティからのフォトレジスト除去は追加の工程を要することがある。たと
えば、キャビティ内のフォトレジスト材は12シード層6と相互作用することが
あり、フォトレジスト材を除去するために溶媒を用いることが不適当になること
がある。この場合、フォトレジスト溶解のために溶媒を加えた後、基板を酸素プ
ラズマにさらして、基板のキャビティ内のシード層6から残存しているフォトレ
ジスト材をアッシング/剥離する。
【0012】 銅シード層を酸素プラズマにさらすと、酸化銅、硫化銅、または銅−酸化物−
硫化物の化合物が銅シード層上に形成されることがあり、特にフォトレジスト材
が硫黄含有要素を含む場合にはそうである。銅シード層上に形成されたこれらの
化合物は、一般的には導電材料に対して抵抗となり、シード層上に何らかの導電
材料を堆積する前に除去すべきである。したがって、酸化物、硫化物、または酸
化物−硫化物を除去するために第2の剥離工程が必要となることがある。
【0013】 サブミクロンの特徴サイズを有する配線構造の多くにおいては、キャビティ内
の銅シード層を15〜1000Åの間の厚さに堆積することがある。他の場合、
特に特徴サイズが0.5μmより小さくアスペクト比が1.5より大きい場合、
キャビティ内の銅シード層は非常に薄くなるか、不連続になることもある。この
場合、キャビティ内の不連続なシード層上にフォトレジスト材を塗布することは
、シード層の一部がフォトレジスト材で消費される結果を招き、それによって多
数の欠陥を有する基板をもたらす。図2Dにおいては、導電材料8(たとえば銅
)を適当な電気めっき浴または無電解めっき浴からキャビティ内に堆積し、一方
で残存しているフォトレジスト12は導電材料8が基板の上面から形成されるの
を防止する。キャビティ内に導電材料8を堆積した後、適当な溶媒またはRIE
を用いて、全部のフォトレジストを除去し、図2Eの構造になる。その後、基板
の上面のシード層6およびバリアー層4の一部を、通常の方法(たとえばCMP
、RIE、またはこれらの組み合わせ)を用いてエッチングするかまたは研磨し
て、図2Fに示す構造になる。
【0014】 別の従来方法を用いて、図2Bに示す基板の上面のフォトレジスト材12およ
びシード層6をCMP装置および研磨スラリーを用いて除去してもよい。この方
法を用いると、いくらかの研磨粒子がキャビティ内にトラップされ、研磨剤はキ
ャビティの側壁に機械的に付着するようになる。研磨剤がキャビティの側壁に付
着すると、しばしば研磨剤を除去することは非常に困難であり、キャビティ内に
導電材料を堆積すると種々の欠陥をもつ基板をもたらす。
【0015】 チップ相互接続およびパッケージを作るための上述した従来方法は、多段の工
程および/または装置を必要とする。製造プロセスのこのフェーズで要求される
時間と労力を改善し単純にすることができる。したがって、より効率的で効果的
なし方で、基板のキャビティ内に導電材料を堆積することができる方法に対する
要求がある。本発明は従来技術の方法の、これらのおよび他の欠点を克服する。
【0016】
【発明が解決しようとする課題】
本発明の1つの目的は、基板の上面からシード層を除去し、一方で基板のキャ
ビティからシード層が除去されるのを防止するか最小にする方法を提供すること
にある。
【0017】 本発明の他の目的は、基板の上面からシード層を選択的に除去した後、基板の
キャビティ内に導電材料を堆積する方法を提供することにある。
【0018】 本発明のさらなる目的は、パッド材料を用いて基板の上面からシード層を除去
し、一方で基板のキャビティからシード層が除去されるのを防ぐ方法を提供する
ことにある。
【0019】 本発明のさらに他の目的は、基板の上面からシード層を除去し、一方で基板の
キャビティ内に導電材料を堆積する方法を提供することにある。
【0020】 本発明の他の目的は、基板全面で導電材料被覆を減少させ最小にし、一方で基
板のキャビティに導電材料を堆積する方法を提供することにある。
【0021】 本発明のさらに他の目的は、基板全面で導電材料被覆の不均衡を最小にし、一
方で基板のキャビティ内に導電材料を堆積する方法を提供することにある。
【0022】 本発明のさらなる目的は、キャップされたキャビティを有する多層構造を形成
する方法を提供することにある。
【0023】 本発明のさらなる目的は、基板の上面に酸化物層を形成した後、基板のキャビ
ティ内に導電材料を堆積する方法を提供することにある。
【0024】
【課題を解決するための手段】
本発明は、より効率的で時間を節約できるし方で基板のキャビティ内に導電材
料を堆積する方法を開示している。本発明による1つの方法は、基板の上面から
シード層の一部を選択的に除去した後、基板のキャビティ内(ここで、キャビテ
ィ内にはシード層の一部が残っている)に導電材料を堆積する工程を含む。基板
の上面からシード層を研磨するために、アノードに取り付けられたパッド型の材
料を用いる。他の方法は、基板の上面に酸化物層を形成し、導電材料を、基板の
上面に形成することなく、キャビティ内に堆積することができるようにする工程
を含む。本発明は、ここに記載した方法を用いて形成された構造体も開示してい
る。
【0025】
【発明の実施の形態】 本発明のこれらのおよび他の目的および長所は、添付の図面と関連する、本発
明の好適な例としての実施形態の以下に示す詳細な説明から明らかであり、より
容易に理解されるであろう。
【0026】 本発明の好適な実施形態を、図3−8を参照して説明する。ここで、同様な構
造および材料は種々の図面を通して同様の参照符号で指示している。本発明者ら
は、本明細書において、基板のキャビティ内に導電材料、好ましくは銅を堆積す
る方法を開示している。本発明はあらゆる基板または加工品(たとえばウェーハ
、フラットパネル、磁気薄膜ヘッド、集積回路、素子、チップ、鉛−スズはんだ
合金または無鉛はんだ合金を含むパッケージ基板)とともに用いることがことが
できる。また、本明細書で与えられている具体的なプロセスパラメータは、限定
するのではなく、例示的であることを意図している。
【0027】 図3A−3Dは、本発明によるチップ相互配線およびパッケージを作る方法を
示す断面図である。言い換えれば、図3A−3Dは、基板のキャビティ内に材料
、好ましくは導電材料/溶液たとえば銅を堆積する方法を開示している。他の実
施形態では、キャビティ内に導電材料を堆積し、一方で基板の上面からシード層
を選択的に除去することもできる。
【0028】 図3A−3Dに示す方法は、アノードに取り付けられたパッド型の材料を有す
る装置を用いて、基板のキャビティ内に導電材料を堆積する工程を含む。このよ
うな装置は、同時係属中の米国特許出願09/373,681号、1999年8
月13日出願、名称「薄膜のテクスチャーを堆積し制御する方法および装置」(
本発明の譲受人によって共通に所有されている)により詳細に記載されており、
その内容は参照により本明細書に取り込まれている。
【0029】 図3Aは、図1Aおよび2Aを参照して上述したものと同様に、その上にバリ
アーまたは接着層4およびシード層6を堆積させた誘電体またはSiO2層2を
示す。ここでも、SiO2層2の上面は、その上にバリアー層4およびシード層
6が堆積される前に、キャビティでパターニング/エッチングされている。ここ
ではSiO2層2が誘電体層2として示されているが、誘電体層として普通に用
いられる他の材料も本発明にしたがって用いることができることが理解されよう
【0030】 図3Biにおいて、固定した研磨剤粒子(図示せず)を有するかまたは有さな
い多孔質のパッド型の材料20を用いて、基板の上面(フィールド領域)からシ
ード層6を選択的に研磨する。アノード22に取り付けられたパッド型の材料2
0は、円運動で回転するか、振動するか、横方向または垂直に運動してシード層
6と接触するものであってもよい。パッド型材料20およびアノード22は、さ
らに、導電材料/溶液を基板へ向ける出口チャネル21を含む。好適な実施例に
おいては、アノード22、パッド型材料20、および基板は、シード層6の選択
的除去の間に、50から2000rpmの間で回転するが、好ましくは100か
ら1200rpmの間である。基板の上面のシード層6は、このような操作を2
〜60秒間、しかし好ましくは5〜25秒間行うと、研磨される。
【0031】 このような接触がなされると、パッド型材料20は、キャビティ内のシード層
6を除去することなく、基板の上面にあるシード層6を研磨する。この除去工程
の間、パッド型材料20は、0.05〜5psiの範囲の圧力でシード層6と接
触する。さらに、たとえば銅を含む導電性溶液をパッド型材料20の出口チャネ
ル21から流出させ、毎分0.01〜5ガロン(gpm)、しかし好ましくは0
.05〜3gpmで基板に塗布してもよい。アノード22と導電性基板との間に
電気ポテンシャルを印加すると、少量の導電膜14が基板のキャビティの内部に
堆積し、一方でパッド型材料20は、基板の上面からシード層6および導電性溶
液を選択的に除去する。アノード22と導電性溶液との間に電気ポテンシャルを
印加し電流を発生させる目的は、基板の上面を研磨するプロセスの間にキャビテ
ィ内でのシード層6の溶解を避けることにある。この工程の間に、円運動で回転
し、横方向または垂直に運動することができる基板ホルダー(図示せず)が、基
板を適当な位置/運動に導く。
【0032】 代わりの実施形態においては、最初のシード層6を一時的に保護するために、
導電(保護または犠牲)膜14を電解質溶液に再溶解し、一方でフィールド領域
から、かつ基板のキャビティ内に銅導電材料を堆積する前に、導電膜を除去して
もよい。フィールド領域からシード層6を除去した後、電解質溶液が保護または
犠牲膜14を溶解するために、短時間(すなわち2−10秒)の間、電極を非通
電にしてもよい。また、フィールド領域からのシード層除去プロセスを改善する
ために、基板を一時的にアノード化してもよい。
【0033】 基板の上面からのシード層6の選択的な除去工程の間、0.05から15ま/
cm2の間、しかし好ましくは0.1から10mA/cm2の間の電流密度(浴の
薬品の性質に依存する)を基板に印加する。上述したように、この電流密度範囲
は、シード層6のキャビティ内への溶解を防止し、その上に少量の導電膜14を
堆積させる。この工程の間に、基板の上面のシード層6を除去し、一方でキャビ
ティ内部のシード層6は残る。基板のキャビティ内に残存しているシード層6は
、導電材料のより効率的で効果的な堆積を可能にする。これは、導電材料に対し
て、シード層6がバリアー層4よりも低い抵抗を有するためである。
【0034】 基板の上面からシード層6を除去した後、堆積電流密度を増加してキャビティ
を導電材料8で充填し、図3Ciに示す構造を得てもよい。導電材料8を基板の
キャビティ内に堆積することができる。これは、キャビティ内に残存しているシ
ード層6は、基板の上面のバリアー層4よりも低い抵抗を与えるためである。そ
の結果、導電材料8は、基板の上面のバリアー層4上よりもキャビティ内のシー
ド層6上により効率的に堆積する。言い換えれば、導電材料8は、基板の上面の
バリアー層4上よりも基板のキャビティ内に形成される傾向がある。導電膜14
およびキャビティ内に残存しているシード層6は導電材料8として形が与えられ
る。
【0035】 導電材料8を、アノード22およびパッド型材料20を用い出口チャネル21
を通してキャビティ内に堆積することができる。基板の上面からシード層6を研
磨した後、基板の上面から1ミクロンから2ミリメートル離れるように、パッド
型材料20を配置することもできる。導電材料8をキャビティ内に堆積するため
には、アノード22と基板との間の電流密度を5から250mA/cm2の間、
しかし好ましくは7から150mA/cm2の間に増加させてもよい。電流密度
を増加させることは、短時間に導電材料8を基板のキャビティに充填させること
を可能にする。堆積電流を通電するために、導電性のバリアー層4を用いてもよ
い。代わりに、パッド型材料20を実際に基板の上面に接触させながら、導電材
料8をキャビティ内に堆積してもよい。
【0036】 別の実施形態においては、シード層4を選択的に除去した後、上述したように
電着の代わりに、キャビティを無電解めっきまたは選択的金属CVDによって充
填してもよい。この場合、基板を無電解めっきセルに移して、導電材料を堆積す
る。
【0037】 再び図3Ciを参照して、キャビティ内に導電材料8を堆積した後、通常の研
磨またはRIEによりバリアー層4を除去してもよい。バリアー層4を選択的に
除去し基板の上面を平坦化/研磨した後、図3Dに示す構造が形成される。
【0038】 代わりの実施形態においては、図3Ciに示すように導電材料を堆積する代わ
りに、導電材料を堆積するための堆積時間を増加させ、図3Ciiaに示す構造
を得てもよい。図3Ciiaは、キャビティ内に堆積した導電材料16を有する
パッケージング構造を示す。パッケージング用途においては、鉛−スズはんだ合
金または他のはんだ可能な合金16を、電着、蒸着、または他の公知の方法を用
いてキャビティ内に選択的に堆積してもよい。堆積工程の後、バリアー層4の一
部を、導電材料16をマスクとして用いてRIEによって除去し、図3Ciib
の構造を形成する。
【0039】 本発明のさらに他の実施形態においては、図3Aに示す構造を形成した後、基
板のキャビティ内に異なる複数層の導電材料を堆積してもよい。
【0040】 たとえば、図3Biia−図3Biidは、基板のキャビティ内に1またはそ
れ以上の導電材料を堆積する方法を示す。図3Biiaにおいて、アノード22
およびパッド型材料20(図3Biを参照)を用い、たとえば15〜60秒の時
間、5〜35mA/cm2の電流密度で、高レベリング電気めっき溶液を用いて
、基板上に第1の導電材料24を堆積し、キャビティ内を部分的に充填する。代
わりに、無電解めっきまたはCVD法を用いてキャビティを部分的に充填しても
よい。
【0041】 一般的に、第1の導電材料24を、基板の最も広いキャビティの深さの10〜
60%のレベルまで充填する。シード層6を第1の導電材料24にしてもよい。
基板上に第1の導電材料24を堆積した後、基板の上面を平坦化/研磨して、図
3Biibの構造になる。基板の上面を、パッド型材料20を用いて平坦化して
もよい。
【0042】 代わりに、基板の上面を研磨するために、基板をCMPセルへ移してもよい。
固定された研磨粒子および塗布された研磨溶液を有するCMPパッドを用い、3
〜60秒間、しかし好ましくは5〜30秒間基板に対して研磨/ラビングする。
バリアー層4が基板上に残っており、この工程の間に研磨されないことに気づく
ことが重要である。
【0043】 第1の導電材料24の被覆を研磨した後、図3Biicに示すように、第1の
導電材料24上のキャビティ内に第2の導電材料26を堆積する。第2の導電材
料26の堆積を、アノード22およびパッド型材料20を用いるか、またはその
代わりに、無電解めっきまたはCVD法を用いて他の堆積セル内で行ってもよい
。たとえば、電着を用いて第1の導電材料24を基板上に堆積し、一方で無電解
めっきまたはCVD法を用いて第2の導電材料26を堆積してもよい。第1の導
電材料24上に第2の導電材料26を堆積した後、CMPまたはRIEを用いて
第2の導電材料26を平坦化/研磨して、図3Biidに示すような構造を形成
することができる。
【0044】 図3Biia−3Biidを参照して上に述べた工程は、キャビティを種々の
導電材料で充填するために行われる工程の1つの組み合わせを示している。他の
実施形態においては、以下の経時的な工程を行う:(1)第1の導電材料をキャ
ビティ内およびフィールド領域上に部分的に堆積する;(2)フィールド領域上
から第1の導電材料を研磨する;(3)基板をアニールする;(4)キャビティ
内に第2の導電材料を選択的に堆積する;および(5)基板を研磨/平坦化する
。代わりに、以下の一連の工程を用いて導電材料を堆積してもよい:(1)第1
の導電材料をキャビティ内およびフィールド領域上に部分的に堆積する;(2)
基板をアニールする;(3)フィールド領域上から第1の導電材料を研磨する;
(4)キャビティ内に第2の導電材料を選択的に堆積する;および(5)基板を
研磨/平坦化する。本発明においては、上記工程の他の組み合わせも実施できる
【0045】 より詳細には、第1および第2の導電材料24、26は、同じまたは異なった
材料でもよい。たとえば、第1の導電材料24がCuで、第2の導電材料がCu
−Sn、Cu−In、または他の適当な銅合金でもよい。好ましくは、第2の導
電材料26は耐食性およびエレクトロマイグレーションを促進し、一方で第1の
導電材料24および、その上に形成される、続いて堆積される他の導電材料に対
して優れた接着性を与えるものがよい。さらに、第2の導電材料26は、第1の
導電材料24に対してよく似た電気抵抗(好ましくは第1の導電材料24のそれ
の90〜200%の範囲内)を有していてもよい。
【0046】 第1および第2の導電材料24、26が同じ材料である場合、これらの間には
明確な境界が存在しないであろう。一方、第1および第2の導電材料24、26
が異なる場合、何らかの後続の熱工程が行われる前には、これらの間に明確な協
会が存在するであろう。明確な境界は、第1および第2の導電材料24、26の
間の相互混合を妨げるように用いることができる。たとえば、薄い接着またはバ
リアー層(たとえばアルファタンタル、クロム層、CoP、WCoP)を第1お
よび第2の導電材料24、26の間に堆積して、このような相互混合が望ましく
ない場合に2つの材料の間の相互混合を防止してもよい。他の実施形態において
は、ここで開示した工程を用いて、2より多い導電材料を基板のキャビティ内に
形成してもよい。
【0047】 再び図3A−3Dにおいて説明した種々の方法を参照して、本発明者らは、キ
ャビティ内に銅材料を電着するのに適し、一方で基板の上面から銅材料を研磨す
る新規な導電性溶液(すなわち導電性材料8、16、24、26)を開示する。
この溶液を用いると、銅のような導電材料を基板のキャビティ内に堆積し、一方
で同じ材料を基板のフィールド領域から研磨することができる。この導電性溶液
は、酸性でもアルカリ性でもよいが、少なくとも以下の要素/化合物/ソースを
含む:(1)金属イオンのソース;(2)電流キャリアのソース;(3)塩素イ
オンを形成するソース;(4)高レベリング浴添加剤のソース;(5)金属の酸
化剤;(6)パッシベーション剤;および(7)界面活性剤。
【0048】 第1に、本発明の導電性溶液は、たとえば硫酸塩、硝酸塩、またはピロリン酸
塩ソースからの高濃度の金属イオン(すなわちCu)を含む。金属イオン濃度は
1/2〜40g/L、しかし好ましくは2〜25g/Lの範囲である。
【0049】 第2に、導電性溶液は銅イオンのほかに電流キャリアのソースを含み、これは
有機/無機酸および化合物たとえば硫酸、リン酸、酢酸、ブチル酢酸、プロピオ
ン酸、酪酸、硫酸アンモニウム、水酸化カリウム、テトラメチルアンモニウムヒ
ドロキシドなどを含む。酸濃度は0.05〜18体積%、しかしより好ましくは
0.2〜15体積%の範囲がよい。
【0050】 本発明の導電性溶液においては、酸性浴に対して、塩素イオンの第3のソース
(有機または無機)も含まれる。塩素イオン濃度は2〜180ppm、しかし好
ましくは10−170ppmの範囲がよい。アルカリ浴に対して、アンモニウム
を約0.5〜3ml/Lで用いてもよい。
【0051】 導電性材料における第4の要素は、高レベリング浴添加剤のソースおよびそれ
らの種々の組み合わせである。これらの添加剤は、市販の添加剤、たとえばEn
thone−OMIからのCubath MD、Cubath MLおよびCu
bath SC補充液、および/またはShipleyからのUltra fi
ll添加剤A2001およびS2001を含む。他の添加剤、たとえばTech
nic社からの銅ウェーハ添加剤200Bおよび2000C、種々のメルカプト
化合物たとえば2−メルカプト エタンスルホン酸または塩、2−メルカプト
ベンゾチオアゾール、2−メルカプト−5−ベンゾイミダゾール スルホン酸ま
たは塩、2−メルカプト ベンゾイミダゾール、メルカプト ベンゾトリアゾー
ル、酒石酸または塩を用いることもできる。添加剤濃度は、0.01〜4体積%
、しかし好ましくは0.05〜3体積%がよい。アルカリ浴に対しては、Alc
hem社から製造されている、Kupralume 501および502を用い
ることができる。
【0052】 導電性材料の第5の要素/化合物は、金属の酸化剤たとえば有機および無機酸
化剤を含む。これらの酸化剤は、無機および有機の過酸化物、過硫酸塩、硝酸塩
、チオ硫酸塩、ニトロベンゼンスルホネートの塩などを含む。この例において重
要なことは、酸化剤のいかなる成分も堆積された材料に悪影響を及ぼしてはいけ
ないことである。有機過酸化物たとえばブトプロノキシル(butopronoxyl)、te
rt−ブチル ヒドロペルオキシド、tert−ブチル ペルオキシド、硝酸ブ
チルなどを用いることができる。過酸化物(すなわち過酸化水素)は少量のフェ
ノールスルホネートまたは第1ジオール(すなわち1,4−ブタンジオール)を
用いて安定化することができる。酸化剤の濃度は0.1〜60g/L、しかし好
ましくは0.2〜40g/Lの範囲である。他の好適な酸化剤をレドックス反応
に用いてもよい。
【0053】 導電性溶液の第6の要素/化合物は、パッシベートするか、もしくは銅または
他の金属材料のパッシベーションを促進する試薬である。これらの試薬は、ベン
ゾトリアゾール、またはベンゾトリアゾールと他の有機トリアゾールとの組み合
わせたとえばベンゾトリアゾール−1−アセトナイトライド、ベンゾトリアゾー
ル−5−カルボン酸、0−ベンゾトリアゾール−1−イル−N,N’,N’−ビ
ス(テトラメチレン)ウロニウム ヘキサフルオロホスフェートおよびこれらの
組み合わせを含む。パッシベーション剤の濃度は0.0005Mから0.1M、
しかし好ましくは0.001Mから0.2Mの範囲がよい。また、上述した高レ
ベリング添加剤および対応する阻害剤をパッシベーション剤として用いてもよい
。この例で重要なことは、パッシベーション剤の濃度はCMP工程に適当であり
、また導電材料の堆積を可能にするしきい値より低いことである。このしきい値
より高いと、導電材料の代わりに水素がカソードに堆積する。
【0054】 パッシベーション剤のほかに、界面活性剤たとえばDuponol(Dupo
nt Chem.)を用いてもよく、界面活性剤の濃度は20〜800ppm、
しかし好ましくは40〜600ppmの範囲である。さらに、五炭糖たとえばキ
シロース、アラビノースなどを、堆積した導電材料中で酸素を捕獲するために、
0.05〜10g/Lの濃度で添加してもよい。水を用いてここで説明した導電
溶液を調整してもよい。
【0055】 上に開示したこのような導電性溶液によって、基板のフィールド領域上に堆積
された全てまたはほとんどの金属をパッド型材料によって除去しながら、同時に
基板のキャビティ内部へ金属を堆積させることができる。このような配合物をめ
っきおよび研磨装置において用いることで、図1Bで説明したような多量の金属
被覆を避け、または最小限にすることができる。
【0056】 他の用途においては、図3Aに示す構造上での堆積および研磨速度を制御する
ことによる導電性材料の均一な被覆が望ましい場合がある。例えば、パッド型材
料(すなわち図3Bのパッド20)ならびにめっきおよび研磨電解質配合物を用
いた場合、導電性材料8の堆積および除去速度は10mA/cm2であり得る。
その結果、導電性材料8が基板のキャビティを充填し始める一方で、同じ材料が
フィールド領域から研磨される。キャビティが導電性材料8によって充填される
と、堆積速度が研磨速度よりもわずかに大きくなるため、導電性材料の均一な被
覆を基板上へ堆積させることができる。堆積および研磨速度は、電流密度、回転
速度、回転時間などを変えることで調整できる。例えば、均一な導電性材料被覆
を堆積させるためには、電流密度は5〜30mA/cm2で、時間は10〜90
秒にすることができる。
【0057】 電流密度を10mA/cm2よりもわずかに高い状態(すなわち10.5mA
/cm2)にして堆積させることによって、薄くて連続した均一な被覆が基板の
最表面の全体に形成される。被覆の深さは、所望する構造に依存して、0.1〜
10000Å、またはそれ以上であり得る。したがって、堆積速度および/また
は研磨速度を変えることにより、図3Biiiに示すような、均一な導電材料8
被覆を得ることができる。
【0058】 理解されるように、ここで開示した方法は、工程の数を減らし、チップ相互配
線およびパッケージを作る方法を簡単にする。シード層の一部を基板の上面から
選択的に除去し、一方でシード層の他の一部はキャビティ内に残る。その後、シ
ード層が残っているキャビティ内に1またはそれ以上の導電材料を堆積すること
ができる。
【0059】 上述したように、本発明に従った好ましい方法は、選択的にシード層を除去し
、一つのチャンバー/セル内のキャビティ内に導電材料を堆積することである。
しかしながら、別の方法は、シード層を初めに除去するためにCMP装置および
セルを使用し、次に基材を堆積のための別の異なるセルに移送することである。
【0060】 他の実施形態において、無電解めっきを用いてシード層を基材表面上から選択
的に除去することができる。無電解溶液は、陽極および基材の表面上に近接した
パッド型材料内のチャンネル内で放射できる。研磨粒子を有するパッド型材料に
よって、シード層を基材の表面上から除去しながら、キャビティに導電材料を選
択的に充填する。
【0061】 これらの工程において最も重要な条件の一つは、シード層の除去速度が、キャ
ビティ内の導電材料の堆積速度よりも2〜100倍速いことである。したがって
、無電解めっきおよび電着の場合においては、シード層を基材の表面上から除去
した後、基材をパッド型材料から除去することができ、そこでは堆積工程が行わ
れるか、または代わりに、基材の表面とパッド型材料の物理的な接触を維持して
いる間に堆積が行われる。
【0062】 別の実施形態においては、基材の表面上からのシード層の除去速度は、基材の
キャビティ内における導電材料の堆積速度と同じで良い。この場合、表面上のシ
ード層は、同時にキャビティ内に導電材料を堆積しながら、表面上のシード層を
除去する。
【0063】 さらに別の実施形態においては、基材の表面上から選択的にシード層を除去し
ながら、最初の合金層を基材のキャビティ内に堆積させることができる。たとえ
ば、シード層を基材の表面上から選択的に除去する最初のステージの間に(図3
)、合金材料のような電解液を、最初の薄い合金層を形成するために、キャビテ
ィ内のシード層上に堆積させることができる。導電材料が銅である場合、インジ
ウム、カドミウム、スズなどを含有する合金薄膜を使用することができる。
【0064】 シード層を除去し、合金薄膜(図3Bの符号14を参照)を堆積させた後、基
材および/または陽極から電圧を除去し、基材を噴霧によりリンスする。基材を
リンスした後、基材中のキャビティに、無電解槽または電気めっき槽のような好
適なソースを用いて、選択的に銅を充填する。
【0065】 最適な相互配線の性能のためには、堆積された銅をアニールすることにより構
造を固定することが非常に望ましい。アニーリングは、室温で3日間以上の時間
をかけて行うか、またはより速くアニールするために、好適な炉または管状炉内
で、15秒から2時間かけて行うことができる。アニーリング温度は、窒素のよ
うな不活性な環境、または還元性の環境、または真空チャンバー内において、6
0℃〜450℃の範囲である。
【0066】 キャビティ内の薄い合金層は、チップ相互配線の機械的性質、耐食性、および
エレクトロマイグレーション性を強化するために用いられる。合金層はキャビテ
ィ内の堆積された導電材料と相互混合し、基板をアニールすると、合金材料はチ
ップ相互配線の構造的性質を強化する。
【0067】 図4A〜Eは、本発明の好ましい実施形態に従った、キャップされた導電材料
を有する多層構造の形成方法を説明する横断面図である。図3Ciに示されるよ
うな充填されたキャビティは、好適なバリアー材を用いて選択的にキャップする
ことができる。例えば、CoP、NiP、WCoP、またはそれらの組み合わせ
のようなキャッピング層32を、既知の方法を用いて銅材料8上に形成し、図4
Aに示すような構造にすることができる。キャッピング層32は、導電材料の酸
化を防ぎ、バリアー層のように作用し、かつ接着を強化する。
【0068】 導電材料8の上部にキャッピング層32を形成した後、基材の表面上に形成さ
れたバリアー層4を、図4Bに示すようにRIEを用いて選択的に除去すること
ができる。基材の表面上からバリアー層を除去した後、第1誘電体2の一部分も
RIEを用いて除去し、図4Cに構造にすることができる。例えば、第1誘電体
がSiO2の場合、キャビティの深さは0.3〜2.5μmである。除去される
第1誘電体2の量は、キャビティの深さの10〜120%の範囲であるが、最も
好ましくは30〜95%の範囲である。ほとんどフリースタンディング(fre
e−standing)のキャビティを支持するように十分な誘電体が残る。
【0069】 次に、図4Dの構造を形成するために、図4Cの基材上に、CVDまたはスピ
ンオン法により、第2誘電体30を堆積させることができる。次に、図4Eiに
示すようにキャップされた層32を露出するために、第2誘電体30を平坦化/
エッチングする。第2誘電体は、低誘電体または高誘電体であってもよい。
【0070】 本発明の別の実施形態においては、図4Dに示されるような第2誘電体30を
リソグラフィック法によりパターニングすることができる。次に、さらなるキャ
ビティを形成するために、RIEを用いて誘電体30をエッチングすることがで
きる。第2誘電体層30上にバリアー層およびシード層を堆積させた後、第2誘
電体層30の表面上からシード層を再び選択的に除去し、第2誘電体28を第2
誘電体層30のキャビティ内に堆積させ、図4Eiiの構造にする。
【0071】 図5A〜Fは、本発明の好ましい実施形態に従った多層構造の別の形成方法を
説明する横断面図である。CVD、無電解めっき、または電着のいずれかによる
マスクを通した堆積方法は、第1導電材料上に第2導電材料を堆積するのに使用
することができる。図5Aは、図3Dの構造を示す。
【0072】 図5Bは、基材上にコートされたフォトレジスト材50を示し、フォトレジス
ト50の一部分は、第2導電材料が導電材料8上に堆積できるように除去されて
いる。図5Cに示すように、第2導電材料58を、フォトレジストを除去した部
分に堆積させる。第1および第2導電材料層は、同じ材料でも異なる材料であっ
てもよい。フォトレジスト50およびバリアー層4の一部分を通常の方法を用い
て除去し、図5Dの構造にする。第2導電材料58はフリースタンディングを維
持する。
【0073】 次に、バリアー層4および第1誘電体層2の一部分を除去する前に、第2導電
材料58を無電解めっき法により選択的にキャップする。この場合、図5Eに示
すような構造を形成するために、前述したように、バリアー層4の一部分を誘電
体層2の一部分と共に除去することができる。キャップされた層60は、低誘電
体または高誘電体のいずれかにより、図5Eの新しい構造物をコートする。次に
、図5Fの構造を形成するために、第2誘電層70を形成し、平坦化することが
できる。
【0074】 図6A〜6Cに、導電性材料を基板のキャビティ内部に、同じ材料を基板の最
表面に堆積させることなく堆積させる他の方法を図示する。これは、基板の最表
面のみを絶縁することによって行なわれる。例えば、図6Aには、SiO2層2
と、この層の上に堆積されたバリアー層4とを示す構造が示されている。バリア
ー層4は、良く知られた材料、たとえばTa、W、またはTaN(本明細書で前
述している)の1つであり得る。これらの材料は、均一な表面酸化物層(電解ま
たは陽極酸化によって形成し得る)を形成することが知られている。
【0075】 溶極酸化技術を用いて、注目する材料を電解質溶液中に浸漬し、陰極に対して
正の電圧をこの材料に印加する。陰極は電解質溶液とも接触している。表面酸化
物が、陽極酸化される材料上に形成され、表面酸化物の厚みは、電解質溶液の種
類と印加電圧とに依存する。一般に、陽極酸化電圧が高いほど、表面酸化物薄膜
は厚くなる。図6Bにおいて、陽極酸化の後、薄い酸化物層66が、バリアー層
4上の、基板の最表面上にのみ形成される。いったん薄い酸化物層66が形成さ
れれば、導電性材料たとえば銅を用いて、電気めっきによって、導電性材料を酸
化物層66上に形成させることなく、キャビティを充填することができる。その
結果、図6Cに示すような構造が形成される。図6Cの構造が形成されるのは、
酸化物層66は導電性材料に対して非常に高抵抗であるために、導電性材料が基
板のキャビティ内に形成されるからである。
【0076】 図6Bに戻って、陽極酸化は、ある程度の目に見えるバリアー層4が、酸化物
層66の真下に残るように行わなければならない。これは重要なことである。と
いうのは、全ての最表面バリアー層4が酸化されると、導電性材料68をキャビ
ティ内部に電気めっきすることができないからである。これは電流が、完全に酸
化された表面層を全く流れないためである。
【0077】 他の実施形態においては、薄いシード層(図示せず)を、図6Aの構造内のバ
リアー層4上に形成してもよい。この場合、基板の最表面上のシード層は陽極酸
化中に溶解して、バリアー層4が酸化するため、酸化物層66が形成される。シ
ード層の一部は、バリアー層上方のキャビティ内に残る。
【0078】 図6Cの構造を作るためには、図6Aに示すキャビティを、陽極酸化の間じゅ
う電気的に絶縁する必要がある。そうでないと、陽極酸化が、キャビティと最表
面とを含む基板全体に影響を及ぼす。絶縁は、種々の方法によって行うことがで
きる。例えば、キャビティが狭くて深い場合には、基板を陽極酸化電解質溶液中
に、キャビティを下に向けた状態で、降ろしていくことができる。キャビティ内
に捕えられたガス/空気は、電解質溶液がキャビティ内部に達することを防ぐこ
とができるため、絶縁物として働くことができる。
【0079】 その代わりに、絶縁材料をキャビティ内部に充填することを、基板の最表面を
陽極酸化電解質溶液にさらす前に行うことができる。例えば、図7Aに、電解質
溶液70が内部に収容された液体チャンバーを示す。図7Bにおいて、絶縁溶液
72(重量が陽極酸化電解質溶液70よりも軽く、電解質溶液70と混合しない
)が、チャンバー74内に、陽極酸化電解質溶液70の上に配置されている。図
7Cにおいて、チャンバー74を上昇させて、基板の最表面(キャビティの開放
端を有する面)が最初に絶縁溶液72と接触するようにする。この技術を用いれ
ば、液体チャンバー74の上昇とともに、キャビティが最初に絶縁溶液72で充
填される。チャンバー74をさらに上昇させれば、基板の残りの最表面(キャビ
ティの外側の部分)が、この基板最表面が電解質溶液70と接触したときに陽極
酸化される。その結果、陽極酸化が基板の最表面に対して行なわれるときに、キ
ャビティ外部のバリアー層4の部分に酸化物層が形成される。キャビティ内の絶
縁溶液72によって、酸化物層がキャビティ内に形成されることが防がれる。
【0080】 図7A〜7Cで説明したアプローチを用いて、シード層を基板の最表面から取
り除くこともできることに注意されたい。この場合、バリアー層とシード層とが
本来の基板上に存在することになる。陽極酸化電解質溶液70を、エッチング電
解質溶液と取り替える。エッチング電解質溶液は、基板の最表面上のシード層と
接触して、このシード層を化学的にエッチングする。しかし、キャビティ内部の
シード層は絶縁溶液72によって保護される。いったん最表面のシード層がエッ
チング除去されれば、基板をチャンバーから取り出すことができる。絶縁溶液7
2をキャビティから取り除いて、次に導電性材料を基板のキャビティ内に堆積で
きるようにする。
【0081】 図8A〜8Fに、導電性材料を基板のキャビティ内に堆積させるための本発明
のさらに他の実施形態を示す。図8Aには、誘電体層2、その上に堆積されたバ
リアー層4、およびシード層6を示す。これは、図3Aに示した構造と類似する
ものである。
【0082】 図8Bにおいて、犠牲または保護層84(例えばクロム(Cr))をシード層
6上に、厚み25〜1000Åで堆積させる。その後、固い光沢層86(SiO 2 からなる)、架橋エポキシ、UV硬化などを、Cr層84上にコーティングし
て、図8Cの構造となる。
【0083】 次に図8Dにおいて、基板の最表面を、例えば研磨パッドまたは溶液を用いて
平坦化する。こうして、フィールド領域上に存在する固い光沢層86、Cr層8
4、シード層6を除去する。次に基板のキャビティ内の固い光沢層86を、例え
ば希釈HFを用いて除去して、図8Eの構造となる。キャビティ内に残存するC
r層を、当該技術分野で知られている適切な溶液を用いて除去してもよい。その
後、導電性材料88を基板のキャビティ内に、電気めっき、無電解めっきなどに
よって堆積してもよい。
【0084】 銅およびその合金を導電性材料として用いるとともに、以下に示すような他の
導電性材料を本発明では使用してもよい。アルミニウム、鉄、ニッケル、クロム
、インジウム、鉛、スズ、鉛スズ合金、無鉛のハンダ可能合金、銀、亜鉛、カド
ミウム、チタン、タングステン、モリブデン、ルテニウム、金、パラジウム、コ
バルト、ロジウム、プラチナ、それぞれの合金、およびこれらの材料と酸素、窒
素、水素、リンとの種々の組み合わせ。
【0085】 以上の説明では、本発明を完全に理解できるように、多数の具体的な詳細(た
とえば具体的な材料、構造、化学薬品、プロセスなど)を述べた。しかし当業者
であれば、具体的に述べた詳細に頼ることなく本発明を実施できることが分かる
であろう。
【0086】 種々の好ましい実施形態を詳細に前述したが、当業者であれば、本発明の新規
な教示および利点から著しく逸脱することなく、典型的な実施形態の多くの変更
が可能であることを容易に認識するであろう。
【図面の簡単な説明】
【図1A】 基板のキャビティ内に導電材料を堆積する従来の一方法を示す断面図。
【図1B】 基板のキャビティ内に導電材料を堆積する従来の一方法を示す断面図。
【図1C】 基板のキャビティ内に導電材料を堆積する従来の一方法を示す断面図。
【図2A】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図2B】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図2C】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図2D】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図2E】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図2F】 基板のキャビティ内に導電材料を堆積する従来の他の方法を示す断面図。
【図3A】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Bi】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Biia】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Biib】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Biic】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Biid】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Biii】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Ci】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Ciia】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3Ciib】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図3D】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する方法
を示す断面図。
【図4A】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図4B】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図4C】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図4D】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図4Ei】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図4Eii】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する方法を示す断面図。
【図5A】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図5B】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図5C】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図5D】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図5E】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図5F】 本発明の好適な実施例に従ってキャップされた導電材料を有する多層構造を形
成する他の方法を示す断面図。
【図6A】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する他の
方法を示す断面図。
【図6B】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する他の
方法を示す断面図。
【図6C】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積する他の
方法を示す断面図。
【図7A】 本発明の好適な実施例に従って基板のキャビティ内に絶縁材料を堆積する方法
を示す断面図。
【図7B】 本発明の好適な実施例に従って基板のキャビティ内に絶縁材料を堆積する方法
を示す断面図。
【図7C】 本発明の好適な実施例に従って基板のキャビティ内に絶縁材料を堆積する方法
を示す断面図。
【図8A】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
【図8B】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
【図8C】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
【図8D】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
【図8E】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
【図8F】 本発明の好適な実施例に従って基板のキャビティ内に導電材料を堆積するさら
に他の方法を示す断面図。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/288 H01L 21/304 621D 21/304 621 622T 622 21/90 A (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,UA,UG,UZ,VN,YU,ZA, ZW (72)発明者 ベイソル、ブレント アメリカ合衆国、カリフォルニア州 90266 マンハッタン・ビーチ、メイプ ル・アベニュー 3001 Fターム(参考) 4K023 AA19 BA06 BA12 BA21 BA29 4K024 AA01 AA02 AA03 AA04 AA05 AA06 AA07 AA08 AA09 AA10 AA14 AA22 AB01 AB02 AB06 AB08 BA11 BB11 BC01 CA06 DA05 DA07 EA06 FA05 GA16 4K044 AA11 AB02 BA01 BA02 BA06 BA08 BA10 BB03 BC14 CA14 CA15 CA18 4M104 BB04 DD52 DD53 FF16 FF22 5F033 HH11 HH17 HH21 JJ01 JJ11 JJ17 JJ21 MM01 MM02 MM12 MM13 NN06 NN07 PP07 PP27 PP28 QQ08 QQ31 QQ48 WW00 WW02 WW05 WW08 【要約の続き】

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 その上に形成されたバリアー層およびシード層を有する基板のキャビティに導電
    材料を堆積する方法であって、 パッド材料を用いて基板の上面からシード層の所定の一部を除去し、一方で基板
    のキャビティからシード層の他の一部が除去されるのを防止する工程と; シード層の所定の一部を除去した後に基板上面のバリアー層の一部を露出させる
    工程と; 基板のキャビティ内のシード層上に導電材料を堆積する工程と を有する方法。
  2. 【請求項2】 基板の上面からシード層の所定の一部を除去する工程が、研
    磨粒子を含むパッド材料を用いてシード層の所定の一部を研磨する工程を含む請
    求項1記載の方法。
  3. 【請求項3】 研磨工程が、パッド材料または基板の一方を、円方向に毎分
    50〜2000回転の速度で2〜60秒間回転させることを含む請求項2記載の
    方法。
  4. 【請求項4】 研磨工程が、パッド材料または基板の一方を、円方向に毎分
    100〜1200回転の速度で5〜25秒間回転させることを含む請求項2記載
    の方法。
  5. 【請求項5】 研磨工程が、パッド材料を用い、基板上面のシード層の所定
    の一部と、インチ当り0.05から5ポンドの範囲の圧力で接触させる工程を含
    む請求項2記載の方法。
  6. 【請求項6】 基板が誘電体層を有する請求項1記載の方法。
  7. 【請求項7】 誘電体層が二酸化シリコン層を含む請求項6記載の方法。
  8. 【請求項8】 導電材料が、銅、アルミニウム、鉄、ニッケル、クロム、イ
    ンジウム、鉛、スズ、鉛−スズ合金、無鉛はんだ合金、銀、亜鉛、カドミウム、
    チタン、タングステン、モリブデン、ルテニウム、およびこれらの組み合わせの
    うち1種を含む請求項1記載の方法。
  9. 【請求項9】 その上に形成されたバリアー層およびシード層を有する基板のキャビティに導電
    材料を堆積する方法であって、 (1)パッド材料を用いて基板の上面からシード層の一部を選択的に除去し、一
    方で同時に基板のキャビティ内のシード層上に第1の導電材料を付ける工程と; (2)シード層の一部を選択的に除去した後に基板上面のバリアー層の一部を露
    出させる工程と; (3)基板のキャビティ内に第2の導電材料を堆積する工程と を有する方法。
  10. 【請求項10】 工程(1)が、さらに、 それに取り付けられたパッド材料を有するアノードを用いてシード層の一部を研
    磨する工程と、 アノードと基板との間に第1の電流密度を印加して、第1の導電材料がパッド材
    料から基板のキャビティに流されるようにする工程と を有する請求項9記載の方法。
  11. 【請求項11】 工程(3)が、さらに、アノードと基板との間に第2の電
    流密度を印加して、第2の導電材料がパッド材料から基板のキャビティに流され
    るようにする工程を有する請求項10記載の方法。
  12. 【請求項12】 第1の電流密度が0.05〜10mA/cm2である請求
    項11記載の方法。
  13. 【請求項13】 第1の導電材料が毎分0.1〜5ガロンの速度で流される
    請求項11記載の方法。
  14. 【請求項14】 第2の電流密度が5〜250mA/cm2である請求項1
    1記載の方法。
  15. 【請求項15】 第1の導電材料と第2の導電材料とが同じ材料を含む請求
    項9記載の方法。
  16. 【請求項16】 第1の導電材料がクロムを含み、第2の導電材料が銅を含
    む請求項9記載の方法。
  17. 【請求項17】 その上に形成されたバリアー層およびシード層を有する基板のキャビティに複数
    の導電材料を堆積する方法であって、 (3)基板のキャビティ内およびフィールド領域上に部分的に第1の導電材料を
    堆積する工程と; (4)基板のフィールド領域上から第1の導電材料を除去する工程と; (5)キャビティ内の第1の導電材料上に第2の導電材料を堆積する工程と を有する方法。
  18. 【請求項18】 第1の導電材料が銅を含み、第2の導電材料がCu−Sn
    およびCu−Inのうち1種を含む請求項17記載の方法。
  19. 【請求項19】 第1の導電材料を電着を用いて堆積し、第2の導電材料を
    無電解めっき、電着、およびCVDのうち1つを用いて堆積する請求項17記載
    の方法。
  20. 【請求項20】 さらに、第1の導電材料と第2の導電材料との間に境界層
    を堆積し、第1の導電材料が第2の導電材料と相互混合しないようにする請求項
    17記載の方法。
  21. 【請求項21】 境界層が、アルファTa、Cr、CoP、WCoPのうち
    1種を含む請求項20記載の方法。
  22. 【請求項22】 その上に形成されたバリアー層およびシード層を有する基板上の導電材料を用い
    て均一な被覆導電層を形成する方法であって、 (1)基板のキャビティ内に導電材料を堆積し、一方で同時にアノードに取り付
    けられたパッド材料を用いてフィールド領域から導電材料を研磨し、堆積速度と
    研磨速度とが実質的に同じである工程と; (2)キャビティを完全に導電材料で充填した後、堆積速度を研磨速度よりも増
    加させる工程と; (3)基板上に均一な被覆導電層を形成する工程と を有する方法。
  23. 【請求項23】 工程(1)が、アノードと基板との間の10mA/cm2
    〜10.5mA/cm2の電流密度で、導電材料を基板上に堆積することを含む
    請求項22記載の方法。
  24. 【請求項24】 均一な被覆導電材料が0.1〜1000Åの範囲である請
    求項22記載の方法。
  25. 【請求項25】 その上に形成されたバリアー層およびシード層を有する基板のキャビティに導電
    材料を堆積する方法であって、 基板上面にあるバリアー層の所定の一部上に酸化物層を形成し、一方で酸化物層
    がキャビティ内にあるバリアー層の他の一部上に形成されるのを防止する工程と
    ; 基板の全面に導電材料を堆積し、導電材料を主に基板のキャビティ内に形成する
    工程と を有する方法。
  26. 【請求項26】 バリアー層の所定の一部上に酸化物層を形成する工程が、
    基板上面のバリアー層を陽極酸化する工程を含む請求項25記載の方法。
  27. 【請求項27】 酸化物層がキャビティ内のバリアー層上に形成されるのを
    防止する工程が、キャビティ内のバリアー層上に絶縁層を形成する工程を含む請
    求項25記載の方法。
  28. 【請求項28】 その上に形成されたバリアー層およびシード層を有する基板のキャビティに導電
    材料を堆積する方法であって、 シード層上にクロム層を堆積する工程と; クロム層上に二酸化シリコン層を堆積する工程と; 基板のフィールド領域から二酸化シリコン層、クロム層、およびシード層を除去
    し、一方で基板のキャビティから二酸化シリコン層およびクロム層が除去される
    のを防止する工程と; 希HF溶液を用いてキャビティから二酸化シリコン層を除去する工程と; 基板のキャビティ内に導電材料を堆積する工程と を有する方法。
  29. 【請求項29】 基板のキャビティ内に導電材料を堆積し、一方で導電材料が基板のフィールド領
    域上に形成されるのを防止するための電解質溶液であって、金属イオンのソース
    、電流キャリアのソース、塩素イオンを形成するソース、レベリング添加剤のソ
    ース、金属の酸化剤、パッシベーション剤、および界面活性剤を含有する溶液。
  30. 【請求項30】 金属イオン濃度が1/2〜40g/Lであり、酸濃度が0
    .05〜18体積%であり、塩素イオン濃度が2〜180ppmであり、平坦化
    添加剤濃度が0.1〜60g/Lである、パッシベーション剤濃度が0.000
    5〜1Mであり、界面活性剤濃度が20〜800ppmである請求項29記載の
    溶液。
JP2001524131A 1999-09-17 2000-09-13 新規なチップ相互配線およびパッケージング堆積方法および構造体 Pending JP2003525523A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/398,258 US6355153B1 (en) 1999-09-17 1999-09-17 Chip interconnect and packaging deposition methods and structures
US09/398,258 1999-09-17
PCT/US2000/025142 WO2001020647A2 (en) 1999-09-17 2000-09-13 Novel chip interconnect and packaging deposition methods and structures

Publications (1)

Publication Number Publication Date
JP2003525523A true JP2003525523A (ja) 2003-08-26

Family

ID=23574665

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001524131A Pending JP2003525523A (ja) 1999-09-17 2000-09-13 新規なチップ相互配線およびパッケージング堆積方法および構造体

Country Status (8)

Country Link
US (4) US6355153B1 (ja)
EP (1) EP1218937A2 (ja)
JP (1) JP2003525523A (ja)
KR (1) KR100802128B1 (ja)
CN (1) CN1238891C (ja)
AU (1) AU7484100A (ja)
TW (1) TW464928B (ja)
WO (1) WO2001020647A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001322036A (ja) * 2000-03-09 2001-11-20 Sony Corp 研磨装置
JP2006265738A (ja) * 2006-05-25 2006-10-05 Ebara Corp めっき装置
JP2007162043A (ja) * 2005-12-09 2007-06-28 Fujitsu Ltd 電気めっき方法、磁気ヘッド用コイルの製造方法及び半導体装置の製造方法
WO2011001847A1 (ja) * 2009-07-01 2011-01-06 Jx日鉱日石金属株式会社 Ulsi微細銅配線埋め込み用電気銅めっき液
JP2014521842A (ja) * 2011-08-18 2014-08-28 アップル インコーポレイテッド 陽極酸化及びめっき表面処理
JP2021066956A (ja) * 2019-10-17 2021-04-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 銅めっきの強化方法

Families Citing this family (490)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US20020081842A1 (en) * 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
TW571005B (en) * 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6632343B1 (en) * 2000-08-30 2003-10-14 Micron Technology, Inc. Method and apparatus for electrolytic plating of surface metals
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US20020090484A1 (en) * 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
JP4129971B2 (ja) * 2000-12-01 2008-08-06 新光電気工業株式会社 配線基板の製造方法
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US6881319B2 (en) * 2000-12-20 2005-04-19 Shipley Company, L.L.C. Electrolytic copper plating solution and method for controlling the same
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
DE10296935T5 (de) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US20020197492A1 (en) * 2001-06-25 2002-12-26 Ling Hao Selective plating on plastic components
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6455413B1 (en) * 2001-06-27 2002-09-24 Advanced Micro Devices, Inc. Pre-fill CMP and electroplating method for integrated circuits
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
WO2003036387A2 (en) * 2001-10-19 2003-05-01 Koninklijke Philips Electronics N.V. Method of forming a pattern of sub-micron broad features
US6455434B1 (en) * 2001-10-23 2002-09-24 International Business Machines Corporation Prevention of slurry build-up within wafer topography during polishing
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
EP1322146A1 (en) * 2001-12-18 2003-06-25 Phoenix Precision Technology Corporation Method of electroplating solder bumps on an organic circuit board
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
KR100444308B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
US6793797B2 (en) * 2002-03-26 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for integrating an electrodeposition and electro-mechanical polishing process
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6984301B2 (en) * 2002-07-18 2006-01-10 Micron Technology, Inc. Methods of forming capacitor constructions
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US20040145874A1 (en) * 2003-01-23 2004-07-29 Stephane Pinel Method, system, and apparatus for embedding circuits
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US6972081B2 (en) * 2003-02-05 2005-12-06 Xerox Corporation Fabrication of embedded vertical spiral inductor for multichip module (MCM) package
US7025861B2 (en) 2003-02-06 2006-04-11 Applied Materials Contact plating apparatus
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
JP2005082843A (ja) * 2003-09-05 2005-03-31 Ebara Corp 電解液管理方法及び管理装置
JP2005100540A (ja) * 2003-09-25 2005-04-14 Fuji Electric Holdings Co Ltd 磁気転写用マスタディスクの製造方法
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US9318378B2 (en) * 2004-08-21 2016-04-19 Globalfoundries Singapore Pte. Ltd. Slot designs in wide metal lines
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
EP1870928A4 (en) * 2005-04-14 2009-01-21 Showa Denko Kk POLISHING COMPOSITION
US20060237799A1 (en) * 2005-04-21 2006-10-26 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20070014958A1 (en) * 2005-07-08 2007-01-18 Chaplin Ernest R Hanger labels, label assemblies and methods for forming the same
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
JP5301993B2 (ja) 2005-08-12 2013-09-25 モジュメタル エルエルシー 組成変調複合材料及びその形成方法
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US7622382B2 (en) * 2006-03-29 2009-11-24 Intel Corporation Filling narrow and high aspect ratio openings with electroless deposition
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
KR100744419B1 (ko) * 2006-08-03 2007-07-30 동부일렉트로닉스 주식회사 반도체 소자 및 반도체 소자의 제조 방법
US20080041727A1 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
KR100871768B1 (ko) * 2007-05-18 2008-12-05 주식회사 동부하이텍 반도체 소자 및 boac/coa 제조 방법
FR2917894A1 (fr) * 2007-06-22 2008-12-26 Commissariat Energie Atomique Procede de localisation d'un catalyseur destine a la croissance de nanotubes dans une structure d'interconnexion
US7732922B2 (en) * 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US20090188805A1 (en) * 2008-01-25 2009-07-30 Government Of The United States Of America, As Represented By The Superconformal electrodeposition of nickel iron and cobalt magnetic alloys
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
US20090256217A1 (en) * 2008-04-14 2009-10-15 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US9758891B2 (en) 2008-07-07 2017-09-12 Modumetal, Inc. Low stress property modulated materials and methods of their preparation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8242600B2 (en) * 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
EP3009532A1 (en) 2009-06-08 2016-04-20 Modumetal, Inc. Electrodeposited nanolaminate coatings and claddings for corrosion protection
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8597461B2 (en) * 2009-09-02 2013-12-03 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
JP2013544952A (ja) 2010-07-22 2013-12-19 モジュメタル エルエルシー ナノ積層黄銅合金の電気化学析出の材料および過程
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8609540B2 (en) 2011-06-20 2013-12-17 Tessera, Inc. Reliable packaging and interconnect structures
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9634412B2 (en) * 2011-07-15 2017-04-25 Tessera, Inc. Connector structures and methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9683305B2 (en) 2011-12-20 2017-06-20 Apple Inc. Metal surface and process for treating a metal surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EA032264B1 (ru) 2013-03-15 2019-05-31 Модьюметл, Инк. Способ нанесения покрытия на изделие, изделие, полученное вышеуказанным способом, и труба
WO2014145771A1 (en) 2013-03-15 2014-09-18 Modumetal, Inc. Electrodeposited compositions and nanolaminated alloys for articles prepared by additive manufacturing processes
WO2016044720A1 (en) 2014-09-18 2016-03-24 Modumetal, Inc. A method and apparatus for continuously applying nanolaminate metal coatings
BR112015022020A8 (pt) 2013-03-15 2019-12-10 Modumetal Inc objeto ou revestimento e seu processo de fabricação
CA2905575C (en) 2013-03-15 2022-07-12 Modumetal, Inc. A method and apparatus for continuously applying nanolaminate metal coatings
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EA201790644A1 (ru) 2014-09-18 2017-08-31 Модьюметал, Инк. Способы изготовления изделий электроосаждением и процессами послойного синтеза
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9859124B2 (en) * 2015-04-17 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd Method of manufacturing semiconductor device with recess
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9922874B2 (en) 2016-07-01 2018-03-20 Applied Materials, Inc. Methods of enhancing polymer adhesion to copper
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN109952391B (zh) 2016-09-08 2022-11-01 莫杜美拓有限公司 在工件上提供层压涂层的方法,及由其制备的制品
EP3512987A1 (en) 2016-09-14 2019-07-24 Modumetal, Inc. System for reliable, high throughput, complex electric field generation, and method for producing coatings therefrom
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
CN110114210B (zh) 2016-11-02 2022-03-04 莫杜美拓有限公司 拓扑优化的高界面填充结构
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI683604B (zh) * 2016-12-23 2020-01-21 德商德國艾托特克公司 於接觸墊上形成可焊接焊料沉積物的方法及在活化接觸墊上暴露可焊接焊料沉積物之印刷電路板
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CA3057836A1 (en) 2017-03-24 2018-09-27 Modumetal, Inc. Lift plungers with electrodeposited coatings, and systems and methods for producing the same
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US11286575B2 (en) 2017-04-21 2022-03-29 Modumetal, Inc. Tubular articles with electrodeposited coatings, and systems and methods for producing the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10840205B2 (en) * 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
TWI672893B (zh) * 2018-02-09 2019-09-21 建準電機工業股份有限公司 馬達及其馬達殼
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
EP3784823A1 (en) 2018-04-27 2021-03-03 Modumetal, Inc. Apparatuses, systems, and methods for producing a plurality of articles with nanolaminated coatings using rotation
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110408981A (zh) * 2019-09-11 2019-11-05 河南理工大学 一种微导线的电镀连接装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11430753B2 (en) 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
IT202100033113A1 (it) * 2021-12-30 2023-06-30 Nuovo Pignone Tecnologie Srl Sistema e metodo per realizzare placcatura in nichel non-elettrolitica

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2540602A (en) * 1946-07-03 1951-02-06 Lockheed Aircraft Corp Method and apparatus for the surface treatment of metals
FR933812A (fr) 1946-09-23 1948-05-03 Compteur totalisateur pour la marque des jeux de cartes et analogues
BE517552A (ja) * 1951-05-17
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
FR1585605A (ja) * 1968-04-29 1970-01-30
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
DE2008664A1 (en) * 1970-02-25 1971-09-09 Licentia Gmbh Galvanically or chemically assisted mechanic
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4315985A (en) * 1972-11-30 1982-02-16 International Business Machines Corporation Fine-line circuit fabrication and photoresist application therefor
FR2276395A1 (fr) 1974-06-25 1976-01-23 Lea Ronal Inc Bains d'electroplacage non cyanures ameliores
FR2288389A1 (fr) * 1974-10-17 1976-05-14 Nat Res Dev Procede d'electrodeposition de metaux sur des substrats semi-conducteurs
US4080513A (en) * 1975-11-03 1978-03-21 Metropolitan Circuits Incorporated Of California Molded circuit board substrate
GB2081742B (en) * 1980-07-17 1983-07-20 Rolls Royce Manufacture of articles having internal passages by electromachining
FI802444A (fi) * 1980-08-05 1982-02-06 Outokumpu Oy Apparat foer elektrolytisk polering
JPS5819170Y2 (ja) * 1980-08-16 1983-04-19 征一郎 相合 半導体ウェハ−のめっき装置
FR2510145B1 (fr) * 1981-07-24 1986-02-07 Rhone Poulenc Spec Chim Additif pour bain de cuivrage electrolytique acide, son procede de preparation et son application au cuivrage des circuits imprimes
EP0093381B1 (en) * 1982-04-27 1986-07-23 The Wellcome Foundation Limited Tricyclic compounds, preparation, use and intermediates
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5098860A (en) 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5174886A (en) 1991-02-22 1992-12-29 Mcgean-Rohco, Inc. High-throw acid copper plating using inert electrolyte
US6395163B1 (en) * 1992-08-01 2002-05-28 Atotech Deutschland Gmbh Process for the electrolytic processing especially of flat items and arrangement for implementing the process
DE4324330C2 (de) * 1992-08-01 1994-11-17 Atotech Deutschland Gmbh Verfahren zum elektrolytischen Behandeln von insbesondere flachem Behandlungsgut, sowie Anordnung, insbesondere zur Durchführung dieses Verfahrens
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5486282A (en) * 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US6537133B1 (en) * 1995-03-28 2003-03-25 Applied Materials, Inc. Method for in-situ endpoint detection for chemical mechanical polishing operations
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
EP0751567B1 (en) * 1995-06-27 2007-11-28 International Business Machines Corporation Copper alloys for chip interconnections and method of making
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
CN1072737C (zh) * 1995-10-17 2001-10-10 佳能株式会社 刻蚀方法
US5575206A (en) 1995-10-25 1996-11-19 Elexon Ltd. Screen printing apparatus with pallet registration
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5714707A (en) * 1996-05-13 1998-02-03 Talon Manufacturing Company, Inc. Process and apparatus for demilitarization of small caliber primed cartridge cases
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5723387A (en) 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5911619A (en) 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JP3462970B2 (ja) * 1997-04-28 2003-11-05 三菱電機株式会社 メッキ処理装置およびメッキ処理方法
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
FR2773262B1 (fr) 1997-12-30 2000-03-10 Sgs Thomson Microelectronics Procede de formation d'elements conducteurs dans un circuit integre
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
JP3191759B2 (ja) * 1998-02-20 2001-07-23 日本電気株式会社 半導体装置の製造方法
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US7531079B1 (en) * 1998-10-26 2009-05-12 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
TW483950B (en) * 1998-12-31 2002-04-21 Semitool Inc Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
US6353623B1 (en) * 1999-01-04 2002-03-05 Uniphase Telecommunications Products, Inc. Temperature-corrected wavelength monitoring and control apparatus
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6217734B1 (en) * 1999-02-23 2001-04-17 International Business Machines Corporation Electroplating electrical contacts
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
TW539763B (en) * 1999-06-18 2003-07-01 Ibm Method for printing a catalyst on substrates for electroless deposition
JP3422731B2 (ja) * 1999-07-23 2003-06-30 理化学研究所 Elidセンタレス研削装置
US6300250B1 (en) * 1999-08-09 2001-10-09 Taiwan Semiconductor Manufacturing Company Method of forming bumps for flip chip applications
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
JP3503546B2 (ja) * 1999-11-01 2004-03-08 信越化学工業株式会社 金属パターンの形成方法
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US6368484B1 (en) 2000-05-09 2002-04-09 International Business Machines Corporation Selective plating process
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6858121B2 (en) * 2000-08-10 2005-02-22 Nutool, Inc. Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7211186B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7211174B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US20030116440A1 (en) * 2001-12-21 2003-06-26 Texas Instruments Incorporated Electroplater and method
US20050069645A1 (en) * 2003-05-01 2005-03-31 Johns Hopkins University Method of electrolytically depositing materials in a pattern directed by surfactant distribution
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20050126919A1 (en) * 2003-11-07 2005-06-16 Makoto Kubota Plating method, plating apparatus and a method of forming fine circuit wiring
US7182677B2 (en) * 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
KR20080005991A (ko) * 2005-04-29 2008-01-15 이 아이 듀폰 디 네모아 앤드 캄파니 지형적으로 패턴화된 막을 사용하는 막 매개 전해연마
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US20080003485A1 (en) * 2006-06-30 2008-01-03 Ramkumar Krishnan Fuel cell having patterned solid proton conducting electrolytes

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001322036A (ja) * 2000-03-09 2001-11-20 Sony Corp 研磨装置
JP4644954B2 (ja) * 2000-03-09 2011-03-09 ソニー株式会社 研磨装置
JP2007162043A (ja) * 2005-12-09 2007-06-28 Fujitsu Ltd 電気めっき方法、磁気ヘッド用コイルの製造方法及び半導体装置の製造方法
JP2006265738A (ja) * 2006-05-25 2006-10-05 Ebara Corp めっき装置
JP4509968B2 (ja) * 2006-05-25 2010-07-21 株式会社荏原製作所 めっき装置
WO2011001847A1 (ja) * 2009-07-01 2011-01-06 Jx日鉱日石金属株式会社 Ulsi微細銅配線埋め込み用電気銅めっき液
JP2014521842A (ja) * 2011-08-18 2014-08-28 アップル インコーポレイテッド 陽極酸化及びめっき表面処理
JP2021066956A (ja) * 2019-10-17 2021-04-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 銅めっきの強化方法
JP7287933B2 (ja) 2019-10-17 2023-06-06 ローム アンド ハース エレクトロニック マテリアルズ エルエルシー 銅めっきの強化方法

Also Published As

Publication number Publication date
US7147766B2 (en) 2006-12-12
CN1433572A (zh) 2003-07-30
WO2001020647A2 (en) 2001-03-22
AU7484100A (en) 2001-04-17
WO2001020647A3 (en) 2002-01-17
KR100802128B1 (ko) 2008-02-11
EP1218937A2 (en) 2002-07-03
CN1238891C (zh) 2006-01-25
US20060070885A1 (en) 2006-04-06
US6905588B2 (en) 2005-06-14
US20020033342A1 (en) 2002-03-21
KR20020095159A (ko) 2002-12-20
TW464928B (en) 2001-11-21
US6355153B1 (en) 2002-03-12
US20030164302A1 (en) 2003-09-04

Similar Documents

Publication Publication Date Title
JP2003525523A (ja) 新規なチップ相互配線およびパッケージング堆積方法および構造体
US6977224B2 (en) Method of electroless introduction of interconnect structures
US6579785B2 (en) Method of making multi-level wiring in a semiconductor device
US7456501B1 (en) Semiconductor structure having recess with conductive metal
JP5203602B2 (ja) 銅でないメッキ可能層の上への銅の直接電気メッキのための方法
US20030116439A1 (en) Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20030201538A1 (en) Method of forming metal interconnection using plating and semiconductor device manufactured by the method
US6440289B1 (en) Method for improving seed layer electroplating for semiconductor
JP2005520044A (ja) 平坦金属電気的処理
JP2000183160A (ja) 半導体装置の製造方法
US7087517B2 (en) Method to fabricate interconnect structures
KR100859899B1 (ko) 반도체 기판상의 구리 막을 연마하는 전기 화학적 방법
JP2000156406A (ja) 半導体装置およびその製造方法
TW200535987A (en) Reverse-tone mask method for post-cmp elimination of copper overburden humps
EP1005078B1 (en) Process for forming a conductive structure
US20050199507A1 (en) Chemical structures and compositions of ECP additives to reduce pit defects
US7504335B2 (en) Grafted seed layer for electrochemical plating
US20060189131A1 (en) Composition and process for element displacement metal passivation
US20070148967A1 (en) Method for Manufacturing Semiconductor Device
JP2000294518A (ja) 半導体装置の製造方法
KR100421913B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20040009253A (ko) 금속배선 형성방법
JP2002261098A (ja) Ulsiメタライゼーションにおける銅シード層の形成方法