JP2001522531A - 半導体基板上の構造物を選択的にプラズマエッチングするための方法 - Google Patents

半導体基板上の構造物を選択的にプラズマエッチングするための方法

Info

Publication number
JP2001522531A
JP2001522531A JP54694798A JP54694798A JP2001522531A JP 2001522531 A JP2001522531 A JP 2001522531A JP 54694798 A JP54694798 A JP 54694798A JP 54694798 A JP54694798 A JP 54694798A JP 2001522531 A JP2001522531 A JP 2001522531A
Authority
JP
Japan
Prior art keywords
layer
silicon dioxide
etching
doped
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP54694798A
Other languages
English (en)
Inventor
コ,ケイ−ユ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JP2001522531A publication Critical patent/JP2001522531A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 本発明は、ドーピングしていない二酸化シリコン層をエッチスチップとして使用して、半導体基板上のある構造物を選択的にプラズマエッチングして、所定の形態学的構造物を形成させるための方法に関する。1つの実施態様においては、半導体物質の層上に実質的にドーピングしていない二酸化シリコン層を形成させる。次いで、前記のドーピングしていない二酸化シリコン層上にドーピングした二酸化シリコン層を形成させる。ドーピングした二酸化シリコン層をエッチングして形態学的構造物を造り出す。こうした食刻は、ドーピングしていない二酸化シリコンまたは半導体物質に対してより、ドーピングした二酸化シリコンに対して少なくとも10倍高い物質除去速度を有する。本発明の方法の1つのアプリケーションは、多層構造物を選択的にプラズマエッチングして、隣接したゲートスタック間に自己整列した接点を形成させることを含む(これにより、新規のゲート構造物が得られる)。このアプリケーションにおいては、先ず最初にシリコンの層、ゲート酸化物の層、ポリシリコンの層、およびケイ化タングステンの層を含んだ多層構造物を形成させる。多層構造物の上にドーピングしていない二酸化シリコン層を形成させる。多層構造物に対しパターン付けとエッチングを行ってゲートスタックを形成させた後、窒化シリコン層を付着させ、これをエッチングして、ゲートスタック上にスペーサー(一般には、シリコン層に対して垂直である)を造り出す。次いで、ドーピングした二酸化シリコンを、ゲートスタックと対応するスペーサー上に付着させる。シリコン層上の接点となる二酸化シリコン層の選定部分(ドーピングした二酸化シリコン層を通して下方に向かってエッチングすることによって露出させる)を露出させるためにフォトレジスト層を使用する。次いで、炭素フッ素食刻を利用する異方性プズマ食刻を使用して、ドーピングした二酸化シリコンを選択的にエッチングする。ドーピングしていない二酸化シリコンキャップを含んだ新規のゲート構造物は、炭素フッ素食刻に耐えることができる。

Description

【発明の詳細な説明】 半導体基板上の構造物を選択的にプラズマエッチングするための方法 発明の背景 1. 発明の分野 本発明は、半導体基板(semiconductor substrate)上に配置されているドー ピングした二酸化シリコン層の選択的食刻時に、ドーピングしていない二酸化シ リコン層をエッチストップ(an etch stop)として使用するエッチング法に関す る。さらに詳細には、本発明は、エッチストップとして作用するドーピングして いない二酸化シリコン層上に配置されているドーピングした二酸化シリコン層を エッチングするために、プラズマ食刻システム(a plasma etch system)にてフ ッ素化化学(a fluorinated chemistry)を選択的に使用するための方法に関す る。 2. 関連技術 最新の集積回路は、多くの電子半導体素子を統合した形で半導体基板上に形成 させる、という精巧な方法によって製造されている。本明細書においては、“半 導性基板(semiconductive substrate)”とは、半導性物質〔半導性ウエハー( 単独または他の物質を含んだアセンブリーとして)や半導性物質層(単独または 他の物質を含んだアセンブリーとして)等のバルク半導性物質(これに限定され ない)を含む〕を含んだ構造物であると定義する。“基板”とは、上記の半導性 基板(これに限定されない)を含めた支持構造物を表している。 半導体基板上に形成される従来の半導体素子としては、コンデンサー、抵抗器 、トランジスター、およびダイオードなどがある。集積回路の製造に先立って、 何十万個というこれら半導体素子を一個の半導体基板上に形成させる。半導体素 子を緻密に形成させるためには、半導体素子を種々のレベルの半導体基板上に形 成させる。これには、形態学的設計構造物(a topographical design)を有する 半導体基板を形成させる必要がある。 半導体業界は、集積回路が作動する速度を高めるべく、集積回路上の素子の密 度を高めるべく、そして集積回路の価格を低下させるべく検討を重ねている。こ うした課題を達成するため、集積回路の形成に使用される半導体素子の数の増大 と寸法の減少が、小型化として知られているプロセスにおいて継続的に検討され ている。 高度に小型化しつつある集積回路の構成部分の1つは能動領域(active region )である。能動領域は、ダイオードまたはトランジスターを形成させるために、 他の能動領域と一緒に使用される半導体基板中のドーピング区域である。能動領 域の小型化は、充分な電気的連通を保持するためには、相互連結構造物を能動領 域と正確に整列させて形成させなければならない、という点において相互連結構 造物の形成を複雑にする。さらに、能動領域との界面をなしている相互連結構造 物の面積を最大にしなければならない。したがって、相互連結構造物の整列不良 に対する許容度として与えられる面積はより少なくなる。 相互連結構造物の製造要件に対しては種々の要求が増大しており、これらの要 求が従来の技術によって充分に満たされているとは言えない。たとえば、接点孔 (contact hole)をパターン付けし、そして接点孔をエッチングするときに、よ り高度の小型化レベルにおいては、接点孔を能動領域に対して正確に整列するこ とが困難である。このため、能動領域の上の、接点孔の底部付近の形態学的構造 物(topographical structures)が、接点孔のエッチング時に貫通し損傷を受け ることがある。こうした損傷により能動領域の性能が低下し、その形状寸法が部 分的に変化し、したがって形成される半導体素子の機能の低下、およびおそらく は集積回路全体における欠陥状態を引き起こす。これらの問題点を軽減するため に、従来技術では、エッチストップを使用して過剰エッチング(over etching) を防止している。 接点孔に対する従来の自己整列食刻法(self-aligned etch process)におい ては、自己整列接点食刻プロセス時に、通常は窒化シリコン層もしくは窒化シリ コンキャップがエッチストップ層としてゲートスタック(gate stack)の上に使 用される。窒化シリコンキャップの形成に関して従来技術のもつ問題点の1つは 、窒化シリコン層が半導体ウエハーの裏側に同時に形成されてしまうという点で ある。個々の特定の問題点はプロセスの流れ(process flow)によって異なる。 たとえば、窒化シリコンを付着させるのに低圧化学蒸着を使用する場合、半導体 ウエハーの両側が窒化シリコンの付着を受ける。半導体ウエハーの裏側に窒化シ リコンが存在すると、半導体ウエハーを変形させるような応力が生じ、そしてさ ら に、回路中に欠陥を引き起こすだけでなく、結晶構造の変形をも引き起こす可能 性がある。さらに、窒化シリコンの付着は本質的に、粒状物質を多量に含んだよ ごれた操作(a dirty operation)であり、収率の低下を起こしやすい。低圧化 学蒸着法を使用する場合、半導体ウエハーの裏側に層をなしている窒化シリコン を、プロセスの流れにおける後の段階で除去しなければならない。 発明の総括 本発明は、ドーピングしていない二酸化シリコン層をエッチストップとして使 用して半導体基板を選択的にプラズマエッチングして、半導体基板上に所定の形 態学的構造物を形成させる方法に関する。1つの実施態様においては、実質的に ドーピングしていない二酸化シリコン層を半導体物質の層上に形成させる。次い で、ドーピングした二酸化シリコン層をドーピングしていない二酸化シリコン層 の上に形成させる。ドーピングした二酸化シリコン層をエッチングして、形態学 的構造物を造り上げる。エッチングは、半導体物質の層上のドーピングしていな い二酸化シリコンに対してより、ドーピングした二酸化シリコンに対して、少な くとも10倍高い物質除去速度を有する。 本発明の方法の1つのアプリケーションは、半導体物質の複数層、二酸化シリ コンの層、導体物質の層、および耐熱金属ケイ化物の層を含む、半導体基板上に 配置された多層構造物を含む。たとえば、半導体基板上に配置された多層構造物 は、シリコン基板上に配置されたゲート酸化物、ポリシリコンの層、およびポリ シリコン層上の耐熱金属ケイ化物層からなってよい。次いで、実質的にドーピン グしていない二酸化シリコン層を多層構造物上に形成させる。 次いで、所定の形態学的構造物が形成されるように、多層構造物をパターン付 けする。次いで、ドーピングした二酸化シリコンを半導体基板上にパシベーショ ン層として形成させる。ドーピングした二酸化シリコン層の、エッチングしよう とする選定部分を露出させるために、フォトレジスト層が使用される。この方法 を使用して造られる形態学的構造物の1つの例はゲートスタック(gate stacks )である。次いで、ゲートスタック間の下方の半導体基板に向かって接点孔を自 己整列させるよう、ドーピングした二酸化シリコンを炭素フッ素食刻法(a carb on fluorine etch recipe)を使用して選択的かつ異方的にエッチングする。 各ゲートスタックは、実質的にドーピングしていない二酸化シリコンで構成さ れたキャップを有している。窒化シリコンまたはドーピングしていない二酸化シ リコンの層を、ゲートスタックおよびそれらの間の半導体基板上に付着させる。 スペーサー食刻(a spacer etch)を行って、各ゲートスタックの側面に窒化シ リコンのスペーサーまたはドーピングしていない二酸化シリコンのスペーサーを 造り出す。窒化シリコンのスペーサーまたはドーピングしていない二酸化シリコ ンのスペーサーは、一般にはベースシリコン層に対して垂直である。 本発明は、エッチストップとして作用するドーピングしていない二酸化物層の 上に配置されたドーピングした二酸化シリコン層を異方性エッチングするための プラズマエッチング法に関する。本発明の1つのアプリケーションは、実質的に ドーピングしていない二酸化シリコンで構成されたスペーサーを有するゲートス タックの形成である。ドーピングしていない二酸化シリコンのスペーサーはエッ チストップとして作用する。ドーピングした二酸化シリコン層の炭素フッ素食刻 に対して実質的にドーピングしていない二酸化シリコンエッチストップ層を使用 するという新規のゲート構造物も検討されており、この場合、実質的にドーピン グしていない二酸化シリコンエッチスチップ層が炭素フッ素食刻によるエッチン グに耐える。 図面の簡単な説明 本発明の上記の利点と目的および他の利点と目的が得られるよう、添付図面に 示されている特定の実施態様を参照することによって、上記にて簡単に説明した 本発明のより具体的な説明が得られる。これらの図面は本発明の代表的な実施態 様だけを示しており、したがって本発明の範囲を限定するとは見なされない、と いうことを理解した上で、添付図面を使用することによってさらなる具体性と詳 細が示された形で本発明を説明する。 図1は、エッチング前の多層構造物(ベースシリコン層とドーピングしていな い二酸化シリコンの層とを含んでいる)の1つの実施態様の部分断面立面図であ って、このとき前記多層構造物はフォトレジストの層を有しており、第1の選定 パターンが破線(phantom)にて画定されている。 図2は、図1に示されている構造物の部分断面立面図であって、このときドー ピングしていない二酸化シリコンの層が、ベースシリコン層上で終結するくぼみ を形成するようエッチングされており、ドーピングした二酸化シリコンの層がそ の上に配置されており、ドーピングした二酸化シリコンの層上にフォトレジスト の層が形成されており、そしてドーピングしていない二酸化シリコンの層間で自 己整列させるベースシリコン層上の接点を露出させるために、ドーピングした二 酸化シリコンの層を通して食刻を示すよう意図されている第2の選定パターンが 破線にて画定されており、このとき食刻の自己整列は、ドーピングしていない二 酸化シリコンに対する食刻の選択性による。 図3は、食刻前の多層構造物の1つの実施態様の部分断面立面図であって、前 記多層構造物はベースシリコン層を含んでいて、その上にゲート酸化物、ポリシ リコン、ケイ化タングステン、およびドーピングしていない二酸化シリコンの層 を有しており、このとき前記多層構造物はフォトレジストの層を有しており、そ して第1の選定パターンが破線にて画定されている。 図4は、図3に示されている構造物の部分断面立面図であって、このときベー スシリコン層の上にゲートスタックが形成されており、各ゲートスタックが、そ の側壁に接したスペーサーとその上部に接したキャップとを有しており、ゲート スタックの上にドーピングした二酸化シリコンの層が配置されており、そしてド ーピングした二酸化シリコンの層上にフォトレジストの層が配置されており、ゲ ートスタック間で自己整列させるベースシリコン層上の接点を露出させるために 、ドーピングした二酸化シリコンの層を通してフッ素化化学食刻(a fluorinate d chemical elch)を示すよう意図されている第2の選定パターンが破線にて画 定されており、このとき食刻の自己整列は、ゲートスタックのスペーサーとキャ ップの物質に対する食刻の選択性による。好ましい実施態様の詳細な説明 本発明の方法によれば、実質的にドーピングしていない二酸化シリコン層をエ ッチストップとして使用して、ドーピングした二酸化シリコン(SiO2)層に 対しプラズマ食刻システムを選択的に使用する。本発明の方法の1つのアプリケ ーションは、自己整列した接点を形成させることである。本発明はさらに、本発 明による多層ゲート構造物も開示している。 図1には、ベースシリコン層12を含んだ多層構造物10の1つの実施態様が示さ れている。ベースシリコン層12にオーバーレイしているのは、実質的にドーピン グしていない二酸化シリコン層22である。ドーピングしていない二酸化シリコン 層22はドーピングしていない酸化物のいかなるタイプであってもよく、熱的方法 によって、プラズマ強化付着法(a plasma enhanced deposition)によって、従 来のTEOS前駆体付着(炭素または水素の含量が多いのが好ましい)によって 、あるいは酸素を含んだガス状シラン(SiH4)の前駆体によって形成させる ことができる。後者の方法においては、ガス状シランを流すことによりドーピン グしていない二酸化シリコン層22が形成される。 図1の多層構造物10の実施態様における次の層は、二酸化シリコン層22が多層 構造物10におけるトポグラフィーを造り出すのに使用されるよう、第1の選定パ ターン15(破線で示されている)を露出させるために処理されるフォトレジスト 層24を構成している。次いで、ドーピングしていない二酸化シリコン層22から物 質を選択的に除去して、図2に示すようなドーピングしていない二酸化シリコン キャップ16を形成させるために、多層構造物10を第1の選定パターン15で示すよ うに異方的にエッチングする。 多層構造物10上に、ドーピングした二酸化シリコン層30をパシベーション層と して配置する。ドーピングした二酸化シリコン層30は、ホウ素リンケイ酸塩ガラ ス(borophosphosillcate glass)(BPSG)、ホウケイ酸塩ガラス(BSG)、また はリンケイ酸塩ガラス(phosphosilicate glass)(PSG)で実質的に構成されて いるのが好ましい。ドーピングした二酸化シリコン層30は、ホウ素に関しては約 3%以上の、そしてリンに関しては約3%以上のドーピングを有する二酸化シリ コンで実質的に構成されているのが最も好ましい。ドーピングした二酸化シリコ ン層30の上にフォトレジスト層32が施されている。フォトレジスト層32を処理し て、エッチングするよう意図されているドーピングした二酸化シリコン層30の第 2の選定部分17を露出させる。 フッ素化もしくはフルオロカーボン化学エッチング剤系(a fluorinated orfl uoro-carbon chemical etchant system)を使用して図2の構造物をエッチング して、図2に示されている第2の選定パターン17を形成させる。好ましい仕方は 、 ドーピングしていない二酸化シリコンキャップ16の対応するエッチストップ層の ほうに向かって、ドーピングした二酸化シリコン層30を異方的にプラズマ食刻す るというものである。本発明において使用されるプラズマ食刻法は、排気ユニッ トの能力限界内にて減圧下で行うのが好ましく、また高密度のプラズマエッチン グ装置(plasma etcher)を含めて、いかなるタイプのプラズマシステムをも組 み込むのが好ましい。従来の高周波反応性イオンエッチング装置(radio freque ncy reactive ion etcher)(RF RIE)プラズマシステム、磁気増強RIE(a mag netically enhanced RIE)(MERIE)プラズマシステム、または誘導結合プラズマ システムを使用することができる。しかしながら、好ましい実施態様は、高周波 RIEプラズマシステムまたはMERIEプラズマシステムである。使用するプ ラズマシステムは、約109/cm3〜約1011/cm3の範囲のプラズマ密度を有するのが 好ましい。さらに、約1012/cm3〜約1013/cm3の範囲のプラズマ密度を有する高密 度プラズマシステムも使用することができる。 本発明の方法を使用して造られる特異的構造物の1つの特定の実施態様が図3 に示されており、この実施態様ではベースシリコン層12を含んだ多層構造物50が 造られている。ベースシリコン層12にオーバーレイしているのは、ベースシリコ ン層12を覆っているゲート酸化物層14である。ゲート酸化物層14は、多層構造物 の他の層と比較して薄くてよい。多層構造物50における次の層は、ポリシリコン ゲート層18を構成している。ポリシリコンゲート層18にオーバーレイしているの は耐熱金属ケイ化物層20である。耐熱金属ケイ化物の利点は、周知のように抵抗 率が低いことである。耐熱金属ケイ化物層20は、チタン、タングステン、タンタ ル、及びモリブデン(これらに限定されない)を含めて、いかなる耐熱金属を含 んでもよい。耐熱金属ケイ化物層20は、実質的にケイ化タングステン(WSix )で構成されているのが好ましい。 耐熱金属ケイ化物層20にオーバーレイしているのは実質的にドーピングしてい ない二酸化シリコン層22であり、この二酸化シリコン層22は、プラズマ強化付着 によって、従来のTEOS前駆体付着(炭素または水素の含量が多いのが好まし い)によって、あるいは酸素を含んだガス状シラン(SiH4)の前駆体によっ て熱的に形成させることができる。多層構造物50における次の層はフォトレジス ト 層24であり、破線で示されている第1の選定パターン15を露出させるよう処理さ れる。次いで、図4に示すゲートスタック26を形成するように、第1の選定パタ ーン15にしたがって多層構造物50をエッチングして物質を選択的に除去する。ゲ ートスタック26はそれぞれ、ドーピングしていない二酸化シリコン層22から形成 されたドーピングしていない二酸化シリコンキャップ52を有する。 各ゲートスタック26の側壁にはスペーサー28が接している。スペーサー28は、 ゲートスタック26を覆う形で付着させた窒化シリコンの層をスペーサー食刻にて 処理することによって形成される。窒化シリコンスペーサー28は、一般にはベー スシリコン層12に対して垂直である。これとは別に、スペーサー28は、ドーピン グしていない二酸化シリコンで実質的に構成されていてもよい。したがって、ス ペーサー28とドーピングしていない二酸化シリコンキャップ52は同じ物質から造 ることができ、両方ともエッチストップとして作用する。 いったんゲートスタック26が形成されると、ベースシリコン層12上にてそれら の間に接点34が画定される。処理のこの時点にて、ドーピングした二酸化シリコ ン層30(PSG、BSG、またはBPSG等の物質で構成されている)を、多層 構造物50上に全体にわたって付着させる。次いで、ドーピングした二酸化シリコ ン層30上にフォトレジスト層32を施す。フォトレジスト層32を処理して、図4の 破線で示されている第2の選定パターン17を造り出す。 フッ素化もしくはフルオロカーボン化学エッチング剤系を使用し、第2の選定 パターン17にしたがって図4に示されている構造をエッチングする。ドーピング した二酸化シリコン層30をその対応するエッチストップ層(実質的にドーピング していない二酸化シリコン層52である)に向かって下方にエッチングする好まし い方法は、プラズマ食刻による方法である。本発明において使用する食刻法は、 図2に関して前述したような高密度プラズマエッチング装置を含むいかなるタイ プのプラズマシステムをも含んだプラズマ食刻であるのが好ましい。 プロセスの食刻速度と食刻選択性に影響を及ぼすファクターの1つは圧力であ る。トータル圧力の好ましい範囲は約1ミリトル〜約400ミリトルである。プラ ズマ食刻に対するより好ましい圧力範囲は約1ミリトル〜約100ミリトルである 。プラズマ食刻に対する最も好ましい圧力範囲は約1ミリトル〜約75ミリトルで ある。 しかしながら、圧力は最も好ましい範囲を越えて増大してもよい。たとえば、R IE食刻は約100ミリトルで行うことができる。選択性は、約10ミリトル〜約75 ミリトルの圧力範囲で最適化することができる。圧力を増大させると、選択性が 低下する。しかしながら、異なった圧力に適応するよう、選択性の範囲を調整す ることができる。このように、選択性と圧力は相反する関係にある。 使用されるエッチングプロセスの選択性に影響を及ぼす別のファクターは温度 である。プラズマ食刻時の好ましい温度範囲は約10℃〜約80℃であり、さらに好 ましくは約20℃〜約40℃である。この温度は、エッチングプロセス時における、 シリコン層12に隣接したボトム電極の温度である。半導体物質の好ましい温度範 囲は約40℃〜約130℃であり、さらに好ましい温度範囲は約40℃〜約90℃である 。 ドーピングしていない二酸化ケイ素キャップ52と窒化シリコンスペーサー28は 、ゲートスタック26がフッ素化化学物質により食刻されるのを防ぐ。図4に示さ れているように、食刻により、接点34上のドーピングした二酸化シリコン層30が 、第2の選定パターン17によって示されているように選択的かつ異方的に除去さ れる。食刻により、ドーピングしていない二酸化シリコンキャップ52および窒化 シリコンスペーサーもしくはドーピングしていない二酸化シリコンスペーサー28 のそれより高い物質除去速度にて、ドーピングした二酸化シリコン層30から物質 が除去される。食刻は、ドーピングしていない二酸化シリコンに対するより、ド ーピングした二酸化シリコンに対して少なくとも10倍高い物質除去速度を有する のが好ましい。このように、ゲートスタック26のスペーサー28の間で接点34が自 己整列される。接点34の自己整列態様は食刻の選択性によるものであり、こうし た選択性により、第2の選定パターン17の露出が整列不良であっても、ドーピン グした二酸化シリコン層30を通してのフッ素化化学物質の食刻により、接点34が ベースシリコン層12の上で、且つ隣接した窒化シリコンスペーサー28(ゲートス タック26の側面に形成されている)の間に適切に配置される。 接点34は、一般にBSG、PSG、BPSG、またはドーピングした二酸化シ リコンを通して食刻するフッ素化化学(a fluorinated Chemistry)を使用して 、異方性プラズマ食刻によって露出させるのが好ましい。食刻は、ドーピングし ていない二酸化シリコン、シリコン、および窒化シリコンに対して選択的である の が好ましい。フッ素化化学物質による食刻(fluorinated chemical etch)は、 C26、CF4、C38、C410、C28、CH22、CHF3、C2HF5、C H3F、およびこれらの組合せ物からなる群から選ばれるタイプの炭素フッ素ガ ス(carbon fluorine gas)を使用する。構造物のエッチング時には、実質的に 気相において他のフッ素化エッチング剤(fluorinated etchant)が存在してい てもよい。不活性ガスがフッ素化エッチング剤と組み合わせて使用されることが 多い。このような不活性ガスの例としては、アルゴン、窒素、およびヘリウムな どがある。しかしながら、好ましいガスはCF4、CH22、CHF3、およびA rである。これとは別に、CH22の代わりにCH3Fを使用することもできる 。特に、好ましいエッチング剤はフッ素含量の少ないガスであり、このとき炭素 原子に対する結合を飽和させるのに充分なフッ素原子が存在しない。 図4に示すように、第2の選定されたパターン17内にて、接点34の上の、スペ ーサー28の間に導電性物質が形成される。この導電性物質が、接点34に対する接 点プラグを形成する。この接点プラグを耐熱金属または耐熱金属ケイ化物で被覆 するのが望ましい。したがって、接点プラグを接点34と接触させた状態で形成さ せる前に、耐熱金属または耐熱金属ケイ化物を第2の選定パターン17のごく近く に位置させておく。 本発明は、多種多様な構造物に対する応用可能性を有している。ゲートスタッ ク以外の構造物に対するドーピングした二酸化シリコンのエッチングプロセス時 に、ゲートスタックのトップ層(ドーピングしていない二酸化シリコンで構成さ れている)を使用して、種々のタイプの構造物の作製・保護を行うことができる 。 本発明により、ゲートスタックの高さを低くすることが可能となる。ゲートス タックの高さを低くすることの1つの利点はプロセス時間が短縮されることであ り、これによって処理量が多くなる。ゲートの高さが減少すると、食刻時間がよ り短くなり、接点孔のアスペクト比(接点孔の高さ対幅の比と定義される)が減 少する。アスペクト比を減少させることによって、あるいはゲートスタックの高 さを減少させることによって、食刻時間が短縮される。ゲートスタックの高さを 減少させることのもう一つの利点は、全体としてのトポグラフィーが小さくなる ことであり、この結果、平面状にすること及び写真平版プロセスを使用すること がより簡単になる。したがって、本発明は収率を増大させる。 本発明は、その精神または必須の特徴から逸脱することなく、他の特定の形熊 にて具象化することができる。説明してきた実施態様は、全ての点において例証 としてのみ挙げたものであって、本発明がこれらの実施態様に限定されることは ない。したがって、本発明の範囲は、上記の説明よりむしろ請求の範囲によって 規定される。請求の範囲と等価な意味および範囲内にて考えうる全ての変形は、 本発明の範囲内に含まれる。
【手続補正書】特許法第184条の8第1項 【提出日】平成11年3月24日(1999.3.24) 【補正内容】 発明の総括 本発明は、ドーピングしていない二酸化シリコン層をエッチストップとして使 用して半導体基板を選択的にプラズマエッチングして、半導体基板上に所定の形 態学的構造物を形成させる方法に関する。1つの実施態様においては、実質的に ドーピングしていない二酸化シリコン層を半導体物質の層上に形成させる。次い で、ドーピングした二酸化シリコン層をドーピングしていない二酸化シリコン層 の上に形成させる。ドーピングした二酸化シリコン層をエッチングして、形態学 的構造物を造り上げる。エッチングは、半導体物質の層上のドーピングしていな い二酸化シリコンに対してより、ドーピングした二酸化シリコンに対して、少な くとも10倍高い物質除去速度を有する。 本発明の方法の1つのアプリケーションは、半導体物質の複数層、薄い二酸化 シリコンの層、導体物質の層、および耐熱金属ケイ化物の層を含んだ、半導体基 板上に配置された多層構造物を含む。たとえば、半導体基板上に配置された多層 構造物は、シリコン基板上に配置されたゲート酸化物、ポリシリコンの層、およ びポリシリコン層上の耐熱金属ケイ化物層からなってよい。次いで、実質的にド ーピングしていない二酸化シリコン層を多層構造物上に形成させる。 次いで、所定の形態学的構造物が形成されるように、多層構造物をパターン付 けする。次いで、ドーピングした二酸化シリコンを半導体基板上にパシベーショ ン層として形成させる。ドーピングした二酸化シリコン層の、エッチングしよう とする選定部分を露出させるために、フォトレジスト層が使用される。この方法 を使用して造られる形態学的構造物の1つの例はゲートスタックである。次いで 、ゲートスタック間の下方の半導体基板に向かって接点孔を自己整列させるよう 、ドーピングした二酸化シリコンを炭素フッ素食刻法を使用して選択的かつ異方 的にエッチングする。 各ゲートスタックは、実質的にドーピングしていない二酸化シリコンで構成さ れたキャップを有している。窒化シリコンまたはドーピングしていない二酸化シ リコンの層を、ゲートスタックおよびそれらの間の半導体基板上に付着させる。 スペーサー食刻を行って、各ゲートスタックの側面に窒化シリコンのスペーサー またはドーピングしていない二酸化シリコンのスペーサーを造り出す。窒化シリ コンのスペーサーまたはドーピングしていない二酸化シリコンのスペーサーは、 一般にはベースシリコン層に対して垂直である。 本発明は、エッチストップとして作用するドーピングしていない二酸化シリコ ン層の上に配置されたドーピングした二酸化シリコン層を異方性エッチングする ためのプラズマエッチング法に関する。本発明の1つのアプリケーションは、実 質的にドーピングしていない二酸化シリコンで構成されたスペーサーを有するゲ ートスタックの形成である。ドーピングしていない二酸化シリコンのスペーサー はエッチストップとして作用する。ドーピングした二酸化シリコン層の炭素フッ 素食刻に対して実質的にドーピングしていない二酸化シリコンエッチストップ層 を使用するという新規のゲート構造物も検討されており、この場合、実質的にド ーピングしていない二酸化シリコンエッチスチップ層が炭素フッ素食刻によるエ ッチングに耐える。請求の範囲 1. 半導体物質の層上に実質的にドーピングしていない二酸化シリコン層を 形成させる工程; 前記のドーピングしていない二酸化シリコン層上にドーピングした二酸化シ リコン層を形成させる工程;および C26、CF4、C38、C410、C28、CH22、C2HF5、およびC H3Fからなる群から選ばれるエッチング剤を使用して、前記のドーピングし た二酸化シリコン層の一部を、ドーピングしていない二酸化シリコンまたは前 記の半導体物質層に対してより、ドーピングした二酸化シリコンに対してより 高い物質除去速度にて選択的に除去して、前記半導体物質層上に、接触表面に 延びている開口を形成させる工程; を含む、半導体物質に接触開口を形成させるための方法。 2. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、 前記のドーピングした二酸化シリコン層上にフォトレジスト層を形成させる 工程; 前記フォトレジスト層をパターン付けする工程;および 前記のドーピングした二酸化シリコン層を、前記フォトレジスト層のパター ンを通してエッチングする工程; を含む、請求項1記載の方法。 3. 前記半導体物質がモノクリスタル質のシリコンである、請求項1記載の 方法。 4. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、前 記のドーピングした二酸化シリコン層をプラズマエッチング装置にてエッチング するためのプラズマエッチングプロセスを含む、請求項1記載の方法。 5. 前記プラズマエッチングプロセスが約109/cm3〜約1013/cm3の範囲のプ ラズマ密度を有する、請求項4記載の方法。 6. 前記プラズマエッチングプロセスが約1ミリトル〜約400ミリトルの圧 力範囲にて行われる、請求項4記載の方法。 7. 前記プラズマエッチングプロセス時に、前記反応器カソードが約10℃〜 約80℃の温度範囲を有する、請求項4記載の方法。 8. 前記プラズマエッチングプロセス時における半導体物質の温度範囲が約 40℃〜約130℃である、請求項4記載の方法。 9. 前記物質除去速度が、ドーピングしていない二酸化シリコンまたは前記 半導体物質層に対してより、ドーピングした二酸化シリコンに対して少なくとも 10倍高い、請求項1記載の方法。 10. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、C H22およびCH3Fからなる群から選ばれるエッチング剤を使用して前記のド ーピングした二酸化シリコンをエッチングする工程を含む、請求項9記載の方法 。 11. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、フ ッ素化化学エッチング剤を使用して前記のドーピングした二酸化シリコンをエッ チングする工程を含む、請求項1記載の方法。 12. 前記のドーピングした二酸化シリコン層が、BPSG、PSG、および BSGからなる群から選ばれる、請求項1記載の方法。 13. モノクリスタル質シリコンの層上に実質的にドーピングしていない二酸 化シリコン層を形成させる工程; 前記のドーピングしていない二酸化シリコン層上にドーピングした二酸化シ リコン層を形成させる工程、このとき前記のドーピングした二酸化シリコン層 が、BPSG、PSG、およびBSGからなる群から選ばれる; 前記のドーピングした二酸化シリコン層上にフォトレジスト層を形成させる 工程; 前記フォトレジスト層をパターン付けする工程; 前記のドーピングした二酸化シリコン層を、プラズマエッチング装置におい てプラズマエッチングプロセスにて、前記フォトレジスト層のパターンを通し てエッチングする工程、このとき前記プラズマエッチングプロセスが、 約1ミリトルから約400ミリトルの圧力範囲にて; 約10℃〜約80℃というカソードの温度範囲にて; C26、CF4、C38、C410、C28、CH22、CHF3、 C2HF5、およびCH3Fからなるフッ素化化学エッチング剤群を使用して 、 約109/cm3〜約1013/cm3の範囲のプラズマ密度にて; 行われる; を含む、半導体物質に接点を形成させるための方法。 14. 前記プラズマエッチングプロセス時における半導体物質の温度範囲が約 40℃〜約130℃である、請求項13記載の方法。 15. 前記エッチングにより、前記モノクリスタル質シリコンの層上に接点が 露出される、請求項13記載の方法。 16. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、C H22およびCH3Fからなる群から選ばれるエッチング剤を使用して前記のド ーピングした二酸化シリコンをエッチングする工程を含む、請求項13記載の方法 。 17. 前記プラズマエッチングプロセスが、ドーピングしていない二酸化シリ コンまたは前記半導体物質に対してより、ドーピングした二酸シリコンに対して 少なくとも10倍高い物質除去速度にて行われる、請求項13記載の方法。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(GH,GM,KE,LS,M W,SD,SZ,UG,ZW),EA(AM,AZ,BY ,KG,KZ,MD,RU,TJ,TM),AL,AM ,AT,AU,AZ,BA,BB,BG,BR,BY, CA,CH,CN,CU,CZ,DE,DK,EE,E S,FI,GB,GE,GH,GM,GW,HU,ID ,IL,IS,JP,KE,KG,KP,KR,KZ, LC,LK,LR,LS,LT,LU,LV,MD,M G,MK,MN,MW,MX,NO,NZ,PL,PT ,RO,RU,SD,SE,SG,SI,SK,SL, TJ,TM,TR,TT,UA,UG,UZ,VN,Y U,ZW 【要約の続き】 を形成させる。多層構造物の上にドーピングしていない 二酸化シリコン層を形成させる。多層構造物に対しパタ ーン付けとエッチングを行ってゲートスタックを形成さ せた後、窒化シリコン層を付着させ、これをエッチング して、ゲートスタック上にスペーサー(一般には、シリ コン層に対して垂直である)を造り出す。次いで、ドー ピングした二酸化シリコンを、ゲートスタックと対応す るスペーサー上に付着させる。シリコン層上の接点とな る二酸化シリコン層の選定部分(ドーピングした二酸化 シリコン層を通して下方に向かってエッチングすること によって露出させる)を露出させるためにフォトレジス ト層を使用する。次いで、炭素フッ素食刻を利用する異 方性プズマ食刻を使用して、ドーピングした二酸化シリ コンを選択的にエッチングする。ドーピングしていない 二酸化シリコンキャップを含んだ新規のゲート構造物 は、炭素フッ素食刻に耐えることができる。

Claims (1)

  1. 【特許請求の範囲】 1. (a) 半導体物質層上に、実質的にドーピングしていない二酸化シリ コン層を形成させる工程; (b) 前記のドーピングしていない二酸化シリコン層上に、ドーピングし た二酸化シリコン層を形成させる工程;および (c) ドーピングしていない二酸化シリコンまたは前記半導体物質層に対 してより、ドーピングした二酸化シリコンに対して少なくとも10倍高い物質除 去速度にて、前記のドーピングした二酸化シリコン層の一部を選択的に除去し て、前記半導体物質層上の接触表面に延びている開口を形成させる工程; を含む、半導体物質に接触開口を形成させるための方法。 2. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、 (a) 前記のドーピングした二酸化シリコン層上にフォトレジストの層を 形成させる工程; (b) 前記フォトレジスト層をパターン付けする工程;および (c) 前記のドーピングした二酸化シリコン層を前記フォトレジスト層の パターンを通してエッチングする工程; を含む、請求項1記載の方法。 3. 前記半導体物質がモノクリスタル質のシリコンである、請求項1記載の 方法。 4. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、前 記のドーピングした二酸化シリコン層をプラズマエッチング装置にてエッチング するためのプラズマエッチングプロセスを含む、請求項1記載の方法。 5. 前記プラズマエッチングプロセスが約109/cm3〜約1013/cm3の範囲のプ ラズマ密度を有する、請求項4記載の方法。 6. 前記プラズマエッチングプロセスが約1ミリトル〜約400ミリトルの圧 力範囲にて行われる、請求項4記載の方法。 7. 前記プラズマエッチングプロセス時に、前記反応器カソードが約10℃〜 約80℃の温度範囲を有する、請求項4記載の方法。 8. 前記プラズマエッチングプロセス時の半導体物質の温度範囲が約40℃〜 約130℃である、請求項4記載の方法。 9. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、C26、CF4、C38、C410、C28、CH22、CHF3、C2HF5、およ びCH3Fからなる群から選ばれるエッチング剤を使用して前記のドーピングし た二酸化シリコンをエッチングする工程を含む、請求項1記載の方法。 10. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、C H22およびCH3Fからなる群から選ばれるエッチング剤を使用して前記のド ーピングした二酸化シリコンをエッチングする工程を含む、請求項9記載の方法 。 11. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、フ ッ素化化学エッチング剤を使用して前記のドーピングした二酸化シリコンをエッ チングする工程を含む、請求項1記載の方法。 12. 前記のドーピングした二酸化シリコン層が、BPSG、PSG、および BSGからなる群から選ばれる、請求項1記載の方法。 13. (a) モノクリスタル質シリコン層上に、実質的にドーピングしてい ない二酸化シリコン層を形成させる工程; (b) 前記のドーピングしていない二酸化シリコン層上に、ドーピングし た二酸化シリコン層を形成させる工程、このとき前記のドーピングした二酸化 シリコン層が、BPSG、PSG、およびBSGからなる群から選ばれる; (c) 前記のドーピングした二酸化シリコン層上にフォトレジストの層を 形成させる工程; (d) 前記フォトレジスト層をパターン付けする工程; (e) 前記のドーピングした二酸化シリコン層を、プラズマエッチング装 置でのプラズマエッチングプロセスにて、前記フォトレジスト層のパターンを 通してエッチングする工程、このとき前記プラズマエッチングプロセスが、 (a) 約1ミリトル〜約400ミリトルの圧力範囲にて; (b) 約10℃〜約80℃というカソードの温度範囲にて; (c) 約109/cm3〜約1013/cm3の範囲におけるプラズマ密度にて; (d) フッ素化化学エッチング剤を使用して; 行われる;および (f) これにより、前記モノクリスタル質シリコン層上に接点を露出させ る工程; を含む、半導体物質に接点を形成させるための方法。 14. 前記プラズマエッチングプロセス時の半導体物質の温度範囲が約40℃〜 約130℃である、請求項13記載の方法。 15. 前記フッ素化化学エッチング剤が、C26、CF4、C38、C410、 C28、CH22、CHF3、C2HF5、およびCH3Fからなる群から選ばれる エッチング剤を含む、請求項13記載の方法。 16. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、C H22およびCH3Fからなる群から選ばれるエッチング剤を使用して前記のド ーピングした二酸化シリコンをエッチングする工程を含む、請求項15記載の方法 。 17. 前記プラズマエッチングプロセスが、ドーピングしていない二酸化シリ コンまたは前記半導体物質層に対してより、ドーピングした二酸化シリコンに対 して少なくとも10倍高い物質除去速度にて行われる、請求項13記載の方法。 18.(a) 半導体基板上にゲート酸化物層を供給する工程; (b) 半導体基板上に一対のゲートスタックを互いにある一定の間隔を置 いて供給する工程、このとき前記ゲートスタックのそれぞれが、そこに形成さ れた少なくとも1つの導電性層と前記導電性層上に延びている実質的にドーピ ングしていない二酸化シリコン層とを有する; (c) 前記ゲートスタックのそれぞれに隣接したスペーサーを形成させる 工程; (d) 前記一対のゲートスタック上に、および前記半導体基板上の前記露 出表面上に、ドーピングした二酸化シリコン層を形成させる工程;および (e) 前記一対のゲートスタック上の前記のドーピングしていない二酸化 シリコン層を実質的により少なめに除去しながら、前記一対のゲートスタック 間の前記のドーピングした二酸化シリコン層の一部を選択的に除去して、前記 半導体基板上の前記表面を露出させる工程、このとき前記エッチングによって 、ドーピングしていない二酸化シリコン、スペーサー物質、および半導体基板 のそれぞれに対してより少なくとも10倍高い物質除去速度にてドーピングした 二 酸化シリコンが除去される; を含む、半導体基板に接点を形成させるための方法。 19. (a) 前記ゲート酸化物層上にポリシリコン層を形成させる工程; (b) 前記ポリシリコン層上に耐熱金属ケイ化物層を形成させる工程;お よび (c) 前記耐熱金属ケイ化物層上に実質的にドーピングしていない二酸化 シリコン層を形成させる工程; をさらに含む、請求項18記載の方法。 20. 前記の実質的にドーピングしていない二酸化シリコン層の一部、前記耐 熱金属ケイ化物層の一部、前記ポリシリコン層の一部、および前記ゲート酸化物 層の一部を選択的に除去する工程をさらに含む、請求項19記載の方法。 21. 前記ゲートスタックが、 (a) トップ層としての前記の実質的にドーピングしていない二酸化シリ コン層; (b) 耐熱金属ケイ化物層; (c) ポリシリコン層;および (d) ボトム層としてのゲート酸化物層; を含む、請求項18記載の方法。 22. 前記スペーサー物質が実質的に窒化シリコンで構成されている、請求項 18記載の方法。 23. 前記スペーサー物質が実質的にドーピングしていない二酸化シリコンで 構成されている、請求項18記載の方法。 24. 前記半導体物質がモノクリスタル質のシリコンである、請求項18記載の 方法。 25. 前記プラズマエッチング装置が、RF RIEエッチング装置、MER IEエッチング装置、および高密度プラズマエッチング装置からなる群から選ば れる、請求項18記載の方法。 26. 導電性物質で構成されていて、前記一対のゲートスタック間で且つ前記 半導体基板上の前記表面上に配置される接点プラグを形成させる工程をさらに含 む、請求項18記載の方法。 27. 前記耐熱金属ケイ化物がケイ化タングステンである、請求項21記載の方 法。 28. 前記のドーピングした二酸化シリコン層が、BPSG、PSG、および BSGからなる群から選ばれる、請求項18記載の方法。 29. 前記のドーピングした二酸化シリコン層を選択的に除去する工程が、 (a) 前記のドーピングした二酸化シリコン層上にフォトレジストの層を 形成させる工程; (b) 前記フォトレジスト層をパターン付けする工程;および (c) 前記のドーピングした二酸化シリコン層を、プラズマエッチング装 置でのプラズマエッチングプロセスにて、前記フォトレジスト層のパターンを 通してエッチングする工程、このとき前記プラズマエッチングプロセスが、 (a) 約1ミリトル〜約400ミリトルの圧力範囲にて; (b) 約10℃〜約80℃という反応器カソードの温度範囲にて; (c) 約40℃〜約130℃という半導体物質の温度範囲にて; (d) 約109/cm3〜約1013/cm3の範囲におけるプラズマ密度にて;そし て (e) フッ素化化学エッチング剤を使用して; 行われる; を含む、請求項18記載の方法。 30. 前記のフッ素化化学エッチング剤が、C26、CF4、C38、C410 、C28、CH22、CHF3、C2HF5、およびCH3Fからなる群から選ばれ る、請求項29記載の方法。 31.(a) 半導体基板のシリコン層上にゲート酸化物層を付着させる工程; (b) 前記ゲート酸化物層上にポリシリコン層を付着させる工程; (c) 前記ポリシリコン層上に耐熱金属ケイ化物層を付着させる工程; (d) 前記耐熱金属ケイ化物層上に、実質的にドーピングしていない二酸 化シリコン層を付着させる工程; (e) 前記シリコン層の露出部分によって隔離された一対のゲートスタッ クを形成するよう、前記の実質的にドーピングしていない二酸化シリコン層の 一部、前記耐熱金属ケイ化物層の一部、前記ポリシリコン層の一部、および前 記ゲート酸化物層の一部を選択的に除去する工程、このとき前記ゲートスタッ クは、前記ゲート酸化物層に対して実質的に垂直な側面部を有していて、 (a) トッブ層としての前記の実質的にドーピングしていない二酸化シ リコン層; (b) 前記耐熱金属ケイ化物層; (c) 前記ポリシリコン層;および (d) ボトム層としての前記ゲート酸化物層; で構成されている; (f) 前記の各ゲートスタックの側面部に、スペーサー物質の層からスペ ーサーを形成させる工程; (g) 前記一対のゲートスタック上に、および前記シリコン層の前記露出 部分上に、ドーピングした二酸化シリコン層を付着させる工程、このとき前記 のドーピングした二酸化シリコン層が、BPSG、PSG、およびBSGから なる群から選ばれる;および (h) RF RIEプラズマエッチングシステム、MERIEプラズマエ ッチングシステム、および高密度プラズマエッチングシステムからなる群から 選ばれるエッチング装置にて、約109/cm3〜約1013/cm3の範囲のプラズマ密度 を有するプラズマエッチングシステムを使用して前記のドーピングした二酸化 シリコン層をエッチングする工程、このとき前記プラズマエッチングシステム が約1ミリトル〜約400ミリトルの圧力範囲を有し、前記のドーピングした二 酸化シリコン層が、前記シリコン層の前記露出される部分を露出するよう、前 記一対のゲートスタック間でエッチングされ、前記エッチングが、ドーピング していない二酸化シリコン、前記スペーサー物質、またはシリコンに対してよ りドーピングした二酸化シリコンに対して少なくとも10倍高い物質除去速度を 有し、前記のドーピングした二酸化シリコンの前記エッチングが、フッ素化化 学エッチング剤を使用して行われる; を含む、半導体物質に接点を形成させるための方法。 32. 前記スペーサー物質が、窒化シリコンと実質的にドーピングしていない 二酸化シリコンのうちの一方で実質的に構成されている、請求項31記載の方法。 33. 導電性物質で構成され、前記一対のゲートスタックの間で且つ前記シリ コン層の露出表面上に配置された接点プラグを形成させる工程をさらに含む、請 求項31記載の方法。 34. 前記のフッ素化化学エッチング剤が、C26、CF4、C38、C410 、C28、CH22、CHF3、C2HF5、およびCH3Fからなる群から選ばれ る、請求項34記載の方法。 35. 前記プラズマエッチングシステムによる前記のドーピングした二酸化シ リコン層のエッチング時に、前記反応器カソードの温度範囲が約10℃〜約80℃で ある、請求項31記載の方法。 36. 前記プラズマエッチングプロセス時の半導体物質の温度範囲が約40℃〜 約130℃である、請求項31記載の方法。 37. (a) シリコン層上に、二酸化シリコンの層を含む多層構造物を供給 する工程; (b) ガス状シラン、水素、および酸素の流れを有する前駆体を使用して 、前記多層構造物上に実質的にドーピングしていない二酸化シリコンの層を付 着させる工程; (c) 前記のドーピングしていない二酸化シリコン層上に第1のフォトレ ジスト層を形成させる工程; (d) 前記第1のフォトレジスト層をパターン付けして、第1のパターン を形成させる工程; (e) 前記のドーピングしていない二酸化シリコン層と前記多層構造物を 前記第1のパターンを通してエッチングして、前記シリコン層の少なくとも一 部上に接触表面を露出させる工程; (f) 前記のドーピングしていない二酸化シリコン層上と前記シリコン層 上の前記接触表面上に、不導電性物質の層を付着させる工程; (g) 前記不導電性物質の層をエッチングし、これにより前記のドーピン グしていない二酸化シリコン層の側面部と前記多層構造物の側面部にスペーサ ーを造り出す工程、このとき前記スペーサーは一般に、前記シリコン層に対し て垂直である; (h) 前記第1のフォトレジスト層を除去する工程; (i) 前記多層構造物上に、ドーピングした二酸化シリコン層を付着させ る工程; (j) 前記のドーピングした二酸化シリコン層上に第2のフォトレジスト 層を形成させる工程; (k) 前記第2のフォトレジスト層をパターン付けして第2のパターンを 形成させる工程; (l) 前記のドーピングした二酸化シリコン層と前記多層構造物を、炭素 フッ素食刻により前記第2のパターンを通してエッチングして、前記シリコン 層上の前記接触表面を露出させる工程、このとき前記エッチングは、実質的に ドーピングしていない二酸化シリコン、フォトレジスト、または不導電性物質 に対してよりドーピングした二酸化シリコンに対して少なくとも10倍高い物質 除去速度を有する; (m) 前記第2のフォトレジスト層を除去する工程;および (n) 前記シリコン層上の前記接触表面と接触した状態の導電性物質で構 成される接点プラグを形成させる工程; を含む、ゲート構造物を形成させるための方法。 38. 前記の不導電性物質が、窒化シリコンと実質的にドーピングしていない 二酸化シリコンのうちの一方である、請求項37記載の方法。 39. 前記炭素フッ素食刻が、C26、CF4、C38、C410、C28、C H22、CHF3、C2HF5、およびCH3Fからなる群から選ばれるフッ素化化 学エッチング剤を使用する異方性プラズマ食刻である、請求項37記載の方法。 40. 前記多層構造物が、ゲート酸化物の層、ポリシリコンの層、および耐熱 金属ケイ化物の層をさらに含む、請求項37記載の方法。 41. 前記のドーピングした二酸化シリコン層が、BPSG、PSG、および BSGからなる群から選ばれる、請求項37記載の方法。 42. 前記のドーピングした二酸化シリコン層と前記多層構造物の炭素フッ素 食刻によるエッチングが、RF RIEプラズマエッチングシステム、MERI E プラズマエッチングシステム、および高密度プラズマ食刻システムからなる群か ら選ばれるプラズマエッチングシステムを使用する、請求項37記載の方法。 43. 前記のドーピングした二酸化シリコン層と前記多層構造物の炭素フッ素 食刻によるエッチングが、 (a) 約1ミリトル〜約400ミリトルの圧力範囲にて; (b) 約10℃〜約80℃という反応器カソードの温度範囲にて; (c) 約40℃〜約130℃という半導体物質の温度範囲にて; (d) 約109/cm3〜約1013/cm3の範囲のプラズマ密度にて;そして (e) フッ素化化学エッチング剤を使用して; 行われるプラズマエッチングプロセスである、請求項37記載の方法。 44. (a) シリコン層上に配置されていて、ゲート酸化物の層、ポリシリ コンの層、および耐熱金属ケイ化物の層を含んだ多層構造物を供給する工程; (b) ガス状シラン、水素、および酸素の流れを有する前駆体を使用して 、前記多層構造物上に実質的にドーピングしていない二酸化シリコンの層を付 着させる工程; (c) 前記のドーピングしていない二酸化シリコン層上に第1のフォトレ ジスト層を形成させる工程; (d) 前記第1のフォトレジスト層をパターン付けして、第1のパターン を形成させる工程; (e) 前記のドーピングしていない二酸化シリコン層と前記多層構造物を 前記第1のパターンを通してエッチングして、前記シリコン層の少なくとも一 部上に接触表面を露出させる工程; (f) 前記第1のフォトレジスト層を除去する工程; (g) 前記のドーピングしていない二酸化シリコン層上と前記シリコン層 上の前記接触表面上に、不導電性物質の層を付着させる工程; (h) 前記不導電性物質の層をエッチングし、これにより前記のドーピン グしていない二酸化シリコン層の側面部と前記多層構造物の側面部にスペーサ ーを造り出す工程、このとき前記スペーサーは一般に、前記シリコン層に対し て垂直である; (i) 前記多層構造物上に、そして前記シリコン層上の前記接触表面上に 、ドーピングした二酸化シリコン層を付着させる工程、このとき前記のドーピ ングした二酸化シリコン層が、BPSG、PSG、およびBSGからなる群か ら選ばれる; (j) 前記のドーピングした二酸化シリコン層上に第2のフォトレジスト 層を形成させる工程; (k) 前記第2のフォトレジスト層をパターン付けして第2のパターンを 形成させる工程: (l) 前記のドーピングした二酸化シリコン層と前記多層構造物を、炭素 フッ素食刻により前記第2のパターンを通してエッチングして、前記シリコン 層上の前記接触表面を露出させる工程、このとき前記エッチングは、実質的に ドーピングしていない二酸化シリコン、フォトレジスト、または不導電性物質 に対してよりドーピングした二酸化シリコンに対して少なくとも10倍高い物質 除去速度を有し、前記の炭素フッ素食刻が、フッ素化化学エッチング剤を使用 する異方性プラズマ食刻であり、前記のドーピングした二酸化シリコンの前記 エッチングが、約1ミリトル〜約400ミリトルの範囲の圧力にて約109/cm3〜約 1013/cm3の範囲のプラズマ密度を有するプラズマエッチングシステムを使用し 、前記プラズマ食刻時の前記反応器カソードの温度範囲が約10℃〜約80℃であ り、そして前記プラズマ食刻時の半導体物質の温度範囲が約40℃〜約130℃の 範囲である; (m) 前記第2のフォトレジスト層を除去する工程;および (n) 前記シリコン層上の前記接触表面と接触した状態の導電性物質で構 成される接点プラグを形成させる工程; を含む、ゲート構造物を形成させるための方法。 45. 前記のフッ素化化学エッチング剤が、C26、CF4、C38、C410 、C28、CH22、CHF3、C2HF5、およびCH3Fからなる群から選ばれ る、請求項44記載の方法。 46. 前記の不導電性物質が、窒化シリコンと実質的にドーピングしていない 二酸化シリコンのうちの一方である、請求項44記載の方法。 47. (a) ベースシリコン層上に配置された一対のゲートスタック、この とき前記の各ゲートスタックが、 (a) 前記ベースシリコン層上のゲート酸化物層; (b) 前記ゲート酸化物層上のポリシリコンゲート層; (c) 前記ポリシリコンゲート層上の耐熱金属ケイ化物層;および (d) 前記耐熱金属ケイ化物層上の実質的にドーピングしていない二酸 化シリコンキャップ; を含む; (b) 前記の各ゲートスタックの側面部と接触していて、且つ前記のベー スシリコン層と接触しているスペーサー、このとき前記スペーサーが不導電性 物質で構成されており、前記各ゲートスタックの前記各側面部が前記ベースシ リコン層に対して実質的に垂直である; (c) 導電性物質で構成されていて、前記ベースシリコン層と接触してい て、そして前記一対のゲートスタック間に配置されている接点プラグ;および (d) 前記スペーサー上の、前記の実質的にドーピングしていない二酸化 シリコンキャップ上の、そして前記接点プラグと接触しているドーピングした 二酸化シリコン層; を含むゲート構造物。 48. 前記の不導電性物質が実質的に窒化シリコンで構成されている、請求項 47記載のゲート構造物。 49. 前記の不導電性物質が、実質的にドーピングしていない二酸化シリコン で実質的に構成されており、前記の各スペーサーが、前記の実質的にドーピング していない二酸化シリコンキャップのそれぞれと共に一体をなしている、請求項 47記載のゲート構造物。 50. (a) 半導体基板上に一対のゲートスタックを互いにある一定の間隔 を置いて供給する工程、このとき前記ゲートスタックのそれぞれが、実質的に ドーピングしていない二酸化シリコン層によって被覆されている; (b) 前記ゲートスタックのそれぞれに隣接したスペーサーを形成させる 工程; (c) 前記一対のゲートスタック上に、および前記半導体基板上に、ドー ピングした二酸化シリコン層を形成させる工程; (d) 前記二酸化シリコン層上にフォトレジストの層を形成させる工程; (e) 前記フォトレジスト層をパターン付けする工程;および (f) 前記一対のゲートスタック上の前記のドーピングしていない二酸化 シリコン層をドーピングしたシリコンフォトレジストより実質的により少なめ に除去しながら、前記一対のゲートスタック間の前記のドーピングした二酸化 シリコン層の一部を選択的に除去して、前記フォトレジストの前記パターンを 通して前記半導体基板上に接触表面を露出させる工程、このとき前記のドーピ ングしていないシリコン層は、前記の選択的除去プロセスに抵抗することがで き、したがって前記の選択的除去プロセスにより、前記一対のゲートスタック 間で自己整列させることができる; を含む、自己整列した接点を形成させる方法。 51. 前記のドーピングした二酸化シリコン層の前記選択的除去が、 (a) 約1ミリトル〜約400ミリトルの圧力範囲にて; (b) 約10℃〜約80℃というカソードの温度範囲にて; (c) 約109/cm3〜約1013/cm3の範囲のプラズマ密度にて;および (d) フッ素化化学エッチング剤を使用して; 行われるプラズマエッチングプロセスにて前記のドーピングした二酸化シリコン 層をエッチングする工程を含む、請求項50記載の方法。 52. 前記プラズマエッチングプロセス時における半導体物質の温度範囲が約 40℃〜約130℃である、請求項51記載の方法。 53. 前記のフッ素化化学エッチング剤が、C26、CF4、C38、C410 、C28、CH22、CHF3、C2HF5、およびCH3Fからなる群から選ばれ るエッチング剤を含む、請求項51記載の方法。 54. 前記プラズマエッチングプロセスが、ドーピングしていない二酸化シリ コンまたは半導体物質に対してより、ドーピングした二酸化シリコンに対して少 なくとも10倍高い物質除去速度にて行われる、請求項50記載の方法。
JP54694798A 1997-04-30 1998-02-16 半導体基板上の構造物を選択的にプラズマエッチングするための方法 Pending JP2001522531A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/846,671 US6849557B1 (en) 1997-04-30 1997-04-30 Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US08/846,671 1997-04-30
PCT/US1998/002826 WO1998049719A1 (en) 1997-04-30 1998-02-16 Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide

Publications (1)

Publication Number Publication Date
JP2001522531A true JP2001522531A (ja) 2001-11-13

Family

ID=25298608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54694798A Pending JP2001522531A (ja) 1997-04-30 1998-02-16 半導体基板上の構造物を選択的にプラズマエッチングするための方法

Country Status (7)

Country Link
US (4) US6849557B1 (ja)
EP (1) EP1004139A1 (ja)
JP (1) JP2001522531A (ja)
KR (1) KR100489978B1 (ja)
AU (1) AU6164698A (ja)
TW (1) TW507293B (ja)
WO (1) WO1998049719A1 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6875371B1 (en) 1998-06-22 2005-04-05 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US7173339B1 (en) 1998-06-22 2007-02-06 Micron Technology, Inc. Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
US6117791A (en) 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6486060B2 (en) * 1998-09-03 2002-11-26 Micron Technology, Inc. Low resistance semiconductor process and structures
US6432833B1 (en) 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
AU2761301A (en) 2000-01-03 2001-07-16 Micron Technology, Inc. Method of forming a self-aligned contact opening
US6638843B1 (en) * 2000-03-23 2003-10-28 Micron Technology, Inc. Method for forming a silicide gate stack for use in a self-aligned contact etch
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
ATE408896T1 (de) * 2000-07-05 2008-10-15 Micron Technology Inc Verfahren zur selektiven ätzung von dotiertem siliziumoxid auf undotiertem siliziumoxid
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP2003023067A (ja) * 2001-07-09 2003-01-24 Tokyo Electron Ltd ビアメタル層の形成方法およびビアメタル層形成基板
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
KR100726145B1 (ko) * 2002-07-19 2007-06-13 주식회사 하이닉스반도체 반도체소자 제조방법
US6806535B2 (en) * 2003-01-22 2004-10-19 Macronix International Co., Ltd. Non-volatile memory and fabricating method thereof
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050098536A1 (en) * 2003-11-12 2005-05-12 Applied Materials, Inc. Method of etching oxide with high selectivity
US7344965B2 (en) * 2003-12-10 2008-03-18 International Business Machines Corporation Method of etching dual pre-doped polysilicon gate stacks using carbon-containing gaseous additions
KR100563487B1 (ko) * 2003-12-31 2006-03-27 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
WO2008086228A2 (en) * 2007-01-04 2008-07-17 University Of Washington Arrays and methods for guided cell patterning
US8372756B2 (en) * 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
JP2012064713A (ja) * 2010-09-15 2012-03-29 Toshiba Corp 半導体装置の製造方法
US8232607B2 (en) 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
CN102655086B (zh) * 2011-03-03 2015-07-01 东京毅力科创株式会社 半导体器件的制造方法
US8748252B1 (en) 2012-11-26 2014-06-10 International Business Machines Corporation Replacement metal gate transistors using bi-layer hardmask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9318574B2 (en) * 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
TWI612671B (zh) 2014-10-24 2018-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US9570450B1 (en) 2015-11-19 2017-02-14 International Business Machines Corporation Hybrid logic and SRAM contacts

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56114355A (en) * 1980-02-14 1981-09-08 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor device
US4381201A (en) 1980-03-11 1983-04-26 Fujitsu Limited Method for production of semiconductor devices
JPS5837949A (ja) 1981-08-31 1983-03-05 Toshiba Corp 集積回路装置
US4489478A (en) 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
JPS6042821A (ja) 1983-08-17 1985-03-07 Mitsubishi Electric Corp 半導体装置の製造方法
JPS6063926A (ja) * 1983-08-31 1985-04-12 Fujitsu Ltd 半導体装置の製造方法
DE3443453A1 (de) 1984-11-29 1986-05-28 Varta Batterie Ag, 3000 Hannover Explosionsgeschuetztes, dicht verschlossenes galvanisches element
JPS61133666A (ja) 1984-12-03 1986-06-20 Nec Corp 半導体装置の製造方法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4681657A (en) 1985-10-31 1987-07-21 International Business Machines Corporation Preferential chemical etch for doped silicon
EP0265584A3 (en) 1986-10-30 1989-12-06 International Business Machines Corporation Method and materials for etching silicon dioxide using silicon nitride or silicon rich dioxide as an etch barrier
US4753709A (en) 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
US4966865A (en) 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4818335A (en) * 1988-05-13 1989-04-04 The United States Of America As Represented By The Director Of The National Security Agency Tapered wet etching of contacts using a trilayer silox structure
US5084417A (en) 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US5229311A (en) * 1989-03-22 1993-07-20 Intel Corporation Method of reducing hot-electron degradation in semiconductor devices
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
GB2233820A (en) 1989-06-26 1991-01-16 Philips Nv Providing an electrode on a semiconductor device
US5208176A (en) 1990-01-16 1993-05-04 Micron Technology, Inc. Method of fabricating an enhanced dynamic random access memory (DRAM) cell capacitor using multiple polysilicon texturization
JPH046146A (ja) 1990-04-24 1992-01-10 Hitachi Chem Co Ltd 高温超電導体及びその製造法
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5037777A (en) 1990-07-02 1991-08-06 Motorola Inc. Method for forming a multi-layer semiconductor device using selective planarization
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JPH0590221A (ja) 1991-02-20 1993-04-09 Canon Inc 珪素化合物膜のエツチング方法及び該方法を利用した物品の形成方法
JP2923700B2 (ja) 1991-03-27 1999-07-26 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JPH04345054A (ja) 1991-05-22 1992-12-01 Ricoh Co Ltd 半導体装置及びその製造方法
JPH04360570A (ja) 1991-06-06 1992-12-14 Mitsubishi Electric Corp 積層型半導体装置
US5658425A (en) 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5192703A (en) 1991-10-31 1993-03-09 Micron Technology, Inc. Method of making tungsten contact core stack capacitor
US5321286A (en) 1991-11-26 1994-06-14 Nec Corporation Non-volatile semiconductor memory device having thin film memory transistors stacked over associated selecting transistors
US5210047A (en) 1991-12-12 1993-05-11 Woo Been Jon K Process for fabricating a flash EPROM having reduced cell size
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5150276A (en) 1992-01-24 1992-09-22 Micron Technology, Inc. Method of fabricating a vertical parallel cell capacitor having a storage node capacitor plate comprising a center fin effecting electrical communication between itself and parallel annular rings
US5323047A (en) * 1992-01-31 1994-06-21 Sgs-Thomson Microelectronics, Inc. Structure formed by a method of patterning a submicron semiconductor layer
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
EP0564136B1 (en) * 1992-03-31 1998-06-03 STMicroelectronics, Inc. Method for planarization of an integrated circuit
JP2742747B2 (ja) 1992-05-29 1998-04-22 株式会社半導体エネルギー研究所 薄膜トランジスタを有する多層半導体集積回路
US5286344A (en) 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
JP3097338B2 (ja) 1992-08-14 2000-10-10 松下電器産業株式会社 コンタクトホールの形成方法
US5362666A (en) 1992-09-18 1994-11-08 Micron Technology, Inc. Method of producing a self-aligned contact penetrating cell plate
US5252517A (en) 1992-12-10 1993-10-12 Micron Semiconductor, Inc. Method of conductor isolation from a conductive contact plug
US5401681A (en) 1993-02-12 1995-03-28 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5478772A (en) 1993-04-02 1995-12-26 Micron Technology, Inc. Method for forming a storage cell capacitor compatible with high dielectric constant materials
FR2711275B1 (fr) 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
US5393704A (en) * 1993-12-13 1995-02-28 United Microelectronics Corporation Self-aligned trenched contact (satc) process
JPH07263415A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5565384A (en) 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
US5430328A (en) * 1994-05-31 1995-07-04 United Microelectronics Corporation Process for self-align contact
JPH07335612A (ja) 1994-06-13 1995-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
US5482894A (en) 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
KR0161731B1 (ko) 1994-10-28 1999-02-01 김주용 반도체소자의 미세콘택 형성방법
US6495470B2 (en) * 1994-11-18 2002-12-17 Intel Corporation Contact and via fabrication technologies
US5705427A (en) * 1994-12-22 1998-01-06 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
JPH08181121A (ja) 1994-12-27 1996-07-12 Sony Corp 半導体装置の製造方法
JPH08250449A (ja) 1995-03-09 1996-09-27 Sony Corp 半導体装置の接続孔の形成方法
JP3351183B2 (ja) 1995-06-19 2002-11-25 株式会社デンソー シリコン基板のドライエッチング方法及びトレンチ形成方法
KR0170312B1 (ko) 1995-06-23 1999-02-01 김광호 고집적 dram 셀 및 그 제조방법
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5643819A (en) 1995-10-30 1997-07-01 Vanguard International Semiconductor Corporation Method of fabricating fork-shaped stacked capacitors for DRAM cells
US5700731A (en) 1995-12-07 1997-12-23 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped storage capacitors on dynamic random access memory cells
US5736455A (en) 1995-12-22 1998-04-07 Micron Technology, Inc. Method for passivating the sidewalls of a tungsten word line
US5712202A (en) 1995-12-27 1998-01-27 Vanguard International Semiconductor Corporation Method for fabricating a multiple walled crown capacitor of a semiconductor device
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5792703A (en) 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
JPH09270461A (ja) 1996-03-29 1997-10-14 Mitsubishi Electric Corp 半導体装置
US5783496A (en) 1996-03-29 1998-07-21 Lam Research Corporation Methods and apparatus for etching self-aligned contacts
US5946568A (en) 1996-05-17 1999-08-31 Mosel Vitelic, Inc. Self aligned method of fabricating a DRAM with improved capacitance
US5908320A (en) 1996-06-26 1999-06-01 Lam Research Corporation High selectivity BPSG:TiSi2 contact etch process
US5990507A (en) 1996-07-09 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device having ferroelectric capacitor structures
US5677227A (en) 1996-09-09 1997-10-14 Vanguard International Semiconductor Corporation Method of fabricating single crown, extendible to triple crown, stacked capacitor structures, using a self-aligned capacitor node contact
JPH10135425A (ja) 1996-11-05 1998-05-22 Hitachi Ltd 半導体集積回路装置およびその製造方法
US5731130A (en) 1996-11-12 1998-03-24 Vanguard International Semiconductor Corporation Method for fabricating stacked capacitors on dynamic random access memory cells
US6362527B1 (en) * 1996-11-21 2002-03-26 Advanced Micro Devices, Inc. Borderless vias on bottom metal
US5747369A (en) 1997-01-13 1998-05-05 Chartered Semiconductor Manufacturing Ltd. Formation of a capacitor using a sacrificial etch stop
US5821594A (en) 1997-02-25 1998-10-13 Nec Corporation Semiconductor device having a self-aligned type contact hole
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
US5831899A (en) * 1997-04-07 1998-11-03 Integrated Device Technology, Inc. Local interconnect structure and process for six-transistor SRAM cell
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5780338A (en) 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6153490A (en) 1997-07-01 2000-11-28 Texas Instruments Incorporated Method for forming integrated circuit capacitor and memory
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6159862A (en) 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US6124191A (en) 1997-12-31 2000-09-26 Intel Corporation Self-aligned contact process using low density/low k dielectric
US6171970B1 (en) 1998-01-27 2001-01-09 Texas Instruments Incorporated Method for forming high-density integrated circuit capacitors
US5897352A (en) 1998-03-25 1999-04-27 Vanguard International Semiconductor Corporation Method of manufacturing hemispherical grained polysilicon with improved adhesion and reduced capacitance depletion
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6117791A (en) 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6277758B1 (en) 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US5918120A (en) 1998-07-24 1999-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating capacitor-over-bit line (COB) dynamic random access memory (DRAM) using tungsten landing plug contacts and Ti/TiN bit lines
US6254966B1 (en) 1998-08-04 2001-07-03 Victor Company Of Japan, Ltd. Information recording mediums, supporter used in the mediums, manufacture methods of the supporter, manufacturing apparatus of the supporter and stampers for producing the mediums
US6117788A (en) 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6432833B1 (en) 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
AU2761301A (en) 2000-01-03 2001-07-16 Micron Technology, Inc. Method of forming a self-aligned contact opening
US6337285B1 (en) 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
US6362109B1 (en) 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6372605B1 (en) 2000-06-26 2002-04-16 Agere Systems Guardian Corp. Additional etching to decrease polishing time for shallow-trench isolation in semiconductor processing

Also Published As

Publication number Publication date
TW507293B (en) 2002-10-21
KR20010006099A (ko) 2001-01-26
US6849557B1 (en) 2005-02-01
US6479864B1 (en) 2002-11-12
EP1004139A4 (en) 2000-05-31
EP1004139A1 (en) 2000-05-31
US6551940B1 (en) 2003-04-22
AU6164698A (en) 1998-11-24
US6967408B1 (en) 2005-11-22
WO1998049719A1 (en) 1998-11-05
KR100489978B1 (ko) 2005-05-16

Similar Documents

Publication Publication Date Title
JP2001522531A (ja) 半導体基板上の構造物を選択的にプラズマエッチングするための方法
US6849539B2 (en) Semiconductor device and method of fabricating the same
KR920001036B1 (ko) 높이가 고르지 않은 기판상에서 금속필라를 평탄화시키는 방법
JPH02218150A (ja) 一対の重畳した部材の間に電気的絶縁媒体を設ける方法及び設けた構造体
JP3571784B2 (ja) 半導体装置の配線形成方法
US7022582B2 (en) Microelectronic process and structure
US6444586B2 (en) Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
JPH0775235B2 (ja) シリコンウエハ内に貫通導体を形成する為の平担化方法
US5578531A (en) Method for manufacturing semiconductor device
US6952051B1 (en) Interlevel dielectric structure
KR20000035246A (ko) 반도체 구조물의 제조 방법
US6057230A (en) Dry etching procedure and recipe for patterning of thin film copper layers
JP3527175B2 (ja) シリコン酸化物とポリシリコンを同時にエッチングするためのエッチングガス組成物、これを利用したエッチング方法およびこれを利用した半導体メモリ装置の製造方法
US6780778B2 (en) Method for fabricating semiconductor device
JP2570997B2 (ja) 半導体装置の多層配線構造及び半導体装置の製造方法
US7183222B2 (en) Dual damascene structure and method of making
JPH10214816A (ja) 半導体装置の製造方法及び半導体装置の容量素子の製造方法
JPH0897383A (ja) 半導体装置の製造方法
JP3902726B2 (ja) 未ドープ二酸化ケイ素に対して選択的に高密度プラズマエッチング器でドープ二酸化ケイ素をエッチングする方法
US6472313B2 (en) Device formation method for preventing pattern shift caused by glass layer reflow
CN117976614A (zh) 半导体器件的形成方法
JPH10199971A (ja) 半導体装置の接続孔形成方法
JPH1117004A (ja) 半導体装置およびその製造方法
JPH11307532A (ja) 半導体装置の製造方法
JPH11340331A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040309

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070228

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070522