DE69827259D1 - Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung - Google Patents

Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung

Info

Publication number
DE69827259D1
DE69827259D1 DE69827259T DE69827259T DE69827259D1 DE 69827259 D1 DE69827259 D1 DE 69827259D1 DE 69827259 T DE69827259 T DE 69827259T DE 69827259 T DE69827259 T DE 69827259T DE 69827259 D1 DE69827259 D1 DE 69827259D1
Authority
DE
Germany
Prior art keywords
thin film
organic polymer
alkoxysilane
silica
solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69827259T
Other languages
English (en)
Other versions
DE69827259T2 (de
Inventor
Takaaki Ioka
Tsuneaki Tanabe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asahi Kasei Corp
Asahi Chemical Industry Co Ltd
Original Assignee
Asahi Chemical Industry Co Ltd
Asahi Kasei Kogyo KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Chemical Industry Co Ltd, Asahi Kasei Kogyo KK filed Critical Asahi Chemical Industry Co Ltd
Publication of DE69827259D1 publication Critical patent/DE69827259D1/de
Application granted granted Critical
Publication of DE69827259T2 publication Critical patent/DE69827259T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/10Block or graft copolymers containing polysiloxane sequences
    • C09D183/12Block or graft copolymers containing polysiloxane sequences containing polyether sequences
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4673Application methods or materials of intermediate insulating layers not specially adapted to any one of the previous methods of adding a circuit layer
    • H05K3/4676Single layer compositions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Polymers & Plastics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Medicinal Chemistry (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Organic Insulating Materials (AREA)
  • Silicon Compounds (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
DE69827259T 1997-07-15 1998-07-15 Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung Expired - Lifetime DE69827259T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP18976797 1997-07-15
JP18976797 1997-07-15
PCT/JP1998/003186 WO1999003926A1 (fr) 1997-07-15 1998-07-15 Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation

Publications (2)

Publication Number Publication Date
DE69827259D1 true DE69827259D1 (de) 2004-12-02
DE69827259T2 DE69827259T2 (de) 2006-02-16

Family

ID=16246855

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69827259T Expired - Lifetime DE69827259T2 (de) 1997-07-15 1998-07-15 Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung

Country Status (11)

Country Link
EP (1) EP0997497B1 (de)
JP (1) JP4093596B2 (de)
KR (1) KR100334150B1 (de)
CN (1) CN1125138C (de)
AT (1) ATE280806T1 (de)
AU (1) AU8242798A (de)
CA (1) CA2289782C (de)
DE (1) DE69827259T2 (de)
RU (1) RU2180671C2 (de)
TW (1) TW401376B (de)
WO (1) WO1999003926A1 (de)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4007807A1 (de) * 1990-03-12 1991-09-19 Trube & Kings Kg Reflexionsarmes wandelement fuer radarstrahlung
JPH11217458A (ja) * 1998-02-04 1999-08-10 Hitachi Chem Co Ltd 多孔質膜、その製造法及び物品
DE69941677D1 (de) * 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
JP4280860B2 (ja) * 1999-03-30 2009-06-17 直弘 曽我 基板上に形成される多孔質材料の製造法
JP2001049178A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
JP2001049177A (ja) * 1999-06-01 2001-02-20 Jsr Corp 膜形成用組成物、膜の形成方法および低密度化膜
JP2001098224A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
JP2001098218A (ja) * 1999-09-28 2001-04-10 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜の形成方法及びシリカ系被膜を有する電子部品
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP4702970B2 (ja) * 1999-10-12 2011-06-15 旭化成株式会社 絶縁薄膜製造用のシリカ前駆体/有機ポリマー組成物
EP1094506A3 (de) 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60043848D1 (de) * 1999-12-28 2010-04-01 Jgc Catalysts & Chemicals Ltd Methode zur herstellung eines dielektrischen films mit einer niedrigen dielektrizitätskonstante und halbleiteranordnung mit so einem film
DE60138327D1 (de) * 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) * 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
JP4798823B2 (ja) * 2000-04-04 2011-10-19 旭化成株式会社 多孔質のケイ素酸化物塗膜
AU2001246832A1 (en) * 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6806161B2 (en) 2000-04-28 2004-10-19 Lg Chem Investment, Ltd. Process for preparing insulating material having low dielectric constant
JP4572444B2 (ja) * 2000-05-22 2010-11-04 Jsr株式会社 膜形成用組成物、膜の形成方法およびシリカ系膜
JP2001335652A (ja) * 2000-05-29 2001-12-04 Jsr Corp 膜の製造方法および絶縁膜
JP3644879B2 (ja) * 2000-06-05 2005-05-11 独立行政法人科学技術振興機構 多孔質低誘電率シリコン系絶縁膜をシリル化処理する方法
KR100797202B1 (ko) 2000-06-23 2008-01-23 허니웰 인터내셔널 인코포레이티드 손상된 실리카 유전 필름에 소수성을 부여하는 방법 및 손상된 실리카 유전 필름 처리 방법
JP2002043423A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
JP2002043422A (ja) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
EP1837902B1 (de) * 2000-08-21 2017-05-24 Dow Global Technologies LLC Verwendung von Organosilikat-Harzen als Masken für organische Polymerdielektrika bei der Herstellung mikroelektronischer Geräte
MY128644A (en) 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
KR100841597B1 (ko) * 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
EP1197998A3 (de) 2000-10-10 2005-12-21 Shipley Company LLC Antireflektiver Schaumstoff
JP2002212502A (ja) * 2001-01-15 2002-07-31 Shin Etsu Chem Co Ltd 膜形成用組成物、多孔質膜の形成方法及び多孔質膜
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
JP2002285086A (ja) * 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP5320653B2 (ja) * 2001-03-26 2013-10-23 Jsr株式会社 膜形成用組成物および絶縁膜形成用材料
JP2002289607A (ja) * 2001-03-27 2002-10-04 Nec Corp 絶縁膜の形成方法
US6998148B1 (en) 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
KR20020095103A (ko) * 2001-06-11 2002-12-20 제이에스알 가부시끼가이샤 실리카막의 형성 방법, 실리카막, 절연막 및 반도체 장치
US6596404B1 (en) 2001-07-26 2003-07-22 Dow Corning Corporation Siloxane resins
CN1535301A (zh) * 2001-07-26 2004-10-06 陶氏康宁公司 硅氧烷树脂
US6872456B2 (en) 2001-07-26 2005-03-29 Dow Corning Corporation Siloxane resins
JP2003179050A (ja) * 2001-09-25 2003-06-27 Jsr Corp 膜形成方法、絶縁膜ならびに半導体用基板
US20030069347A1 (en) * 2001-09-28 2003-04-10 Hideki Oishi Calcined silica particle and manufacturing method of same
KR100594537B1 (ko) * 2002-01-18 2006-07-03 산요덴키가부시키가이샤 유기 무기 복합체의 제조 방법 및 유기 무기 복합체
CN1318506C (zh) * 2002-01-18 2007-05-30 三洋电机株式会社 制造有机-无机复合材料的方法和有机-无机复合材料
CN100335488C (zh) * 2002-01-31 2007-09-05 东粟株式会社 含有机硅烷化合物的绝缘膜用材料及其制法及半导体装置
JP2002319582A (ja) * 2002-02-07 2002-10-31 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用の塗布液
JP4155442B2 (ja) * 2002-05-27 2008-09-24 石原薬品株式会社 撥水性シリカ微粒子及びその製造方法
CN100382017C (zh) * 2002-07-09 2008-04-16 徐肇昌 子序网模块及其调用方法
KR100495566B1 (ko) * 2002-08-08 2005-06-16 한국과학기술원 플라즈마 디스플레이 패널용 유전체 및 그 제조방법
TWI273090B (en) 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
JP2004311532A (ja) 2003-04-02 2004-11-04 Semiconductor Leading Edge Technologies Inc 多孔質膜の形成方法
KR100506695B1 (ko) 2003-06-02 2005-08-08 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
JP4465233B2 (ja) * 2003-06-30 2010-05-19 三星電子株式会社 多官能性環状シロキサン化合物、この化合物から製造されたシロキサン系重合体及びこの重合体を用いた絶縁膜の製造方法
KR100507967B1 (ko) 2003-07-01 2005-08-10 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100504291B1 (ko) 2003-07-14 2005-07-27 삼성전자주식회사 게르마늄을 포함하는 실록산계 수지 및 이를 이용한반도체 층간 절연막 형성 방법
KR20050024721A (ko) 2003-09-01 2005-03-11 삼성전자주식회사 신규 실록산계 수지 및 이를 이용한 반도체 층간 절연막
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
KR101012950B1 (ko) * 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
US7470634B2 (en) 2003-11-24 2008-12-30 Samsung Electronics Co., Ltd. Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
KR101007807B1 (ko) 2003-12-13 2011-01-14 삼성전자주식회사 다반응성 선형 실록산 화합물, 상기 화합물로부터 제조된실록산 중합체 및 상기 중합체를 이용한 절연막 제조방법
DE102004025766A1 (de) * 2004-05-26 2005-12-22 Degussa Ag Herstellung von Organosilanestern
JP4595437B2 (ja) * 2004-08-12 2010-12-08 富士ゼロックス株式会社 難燃性生分解性材料及びその製造方法、難燃性生分解性高分子組成物、成形体及びその廃棄方法
JP4684619B2 (ja) * 2004-10-22 2011-05-18 東京応化工業株式会社 シリカ系被膜形成用塗布液、シリカ系被膜形成用塗布液の調製方法
JP2006120919A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
KR101063591B1 (ko) * 2004-10-27 2011-09-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
KR100685734B1 (ko) 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
JP4204578B2 (ja) * 2005-07-29 2009-01-07 日立化成工業株式会社 多孔質膜、物品及び複合材
EP1970421B1 (de) * 2005-12-22 2015-04-29 JGC Catalysts and Chemicals Ltd. Beschichtungsflüssigkeit zur bildung eines beschichtungsfilms aus amorphem siliciumdioxid mit niedriger dielektrizitätskonstante und aus einer derartigen beschichtungsflüssigkeit gewonnener beschichtungsfilm aus amorphem siliciumdioxid mit niedriger dielektrizitätskonstante
JP5185258B2 (ja) * 2006-05-04 2013-04-17 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ 機械的可逆性ゲル
JP5030478B2 (ja) * 2006-06-02 2012-09-19 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
CN101631745B (zh) * 2007-03-13 2012-10-17 三菱化学株式会社 二氧化硅多孔质体、光学用途层积体和组合物、以及二氧化硅多孔质体的制造方法
DE102007017518A1 (de) * 2007-04-13 2008-10-16 Siemens Ag Biozide/hydrophobe Innenbeschichtung von Kondensatorrohren (von Industrieturbinen und Nebenkühlkreisen)
JP2009094183A (ja) * 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
JP5329825B2 (ja) 2008-02-25 2013-10-30 株式会社東芝 半導体装置の製造方法
AU2009335979B2 (en) * 2008-12-18 2012-12-06 3M Innovative Properties Company Coating composition
JP2009177198A (ja) * 2009-03-30 2009-08-06 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
KR101224514B1 (ko) 2010-07-05 2013-01-22 한국과학기술연구원 환형 실세스퀴옥산을 이용한 실록산계 저유전막 및 이의 제조방법
JP6015389B2 (ja) 2012-11-30 2016-10-26 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
CN103212444A (zh) * 2013-04-03 2013-07-24 湖北大学 一种具有四重催化和吸附功能的有机-无机杂化多孔固体材料的合成方法
CN103690761B (zh) * 2013-12-25 2016-03-30 吉林修正药业新药开发有限公司 一种抗流感病毒的中药组合物及制备方法
WO2016022035A1 (en) * 2014-08-06 2016-02-11 Nokia Technologies Oy Apparatus comprising a self-assembled layer and method of surface modification
JP6750626B2 (ja) * 2015-09-01 2020-09-02 日立化成株式会社 エアロゲル複合体
JP2018058914A (ja) * 2016-09-30 2018-04-12 富士フイルム株式会社 多孔質膜形成用組成物、多孔質膜形成用組成物の製造方法、多孔質膜の製造方法、積層体、及び太陽電池モジュール
JP6451875B2 (ja) * 2018-01-04 2019-01-16 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
JP6787386B2 (ja) * 2018-12-12 2020-11-18 株式会社リコー 絶縁膜形成用塗布液
KR102391710B1 (ko) * 2020-06-05 2022-04-28 연세대학교 산학협력단 다공성 투명 실록산계 중합체 기판 및 이의 제조방법
RU2763891C1 (ru) * 2021-03-09 2022-01-11 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Композиция на основе оксидных наноструктур для придания поверхности супергидрофобных свойств

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07100389A (ja) * 1993-10-04 1995-04-18 Nippon Oil Co Ltd 石油精製用触媒担体および石油精製用触媒
JP2799425B2 (ja) * 1993-12-09 1998-09-17 工業技術院長 セラミックス多孔質膜の製造方法
JPH08130247A (ja) * 1994-10-31 1996-05-21 Nippon Zeon Co Ltd シロキサン系ポリマー含有塗布液及びその製造方法

Also Published As

Publication number Publication date
EP0997497A1 (de) 2000-05-03
JP4093596B2 (ja) 2008-06-04
KR20010012887A (ko) 2001-02-26
TW401376B (en) 2000-08-11
EP0997497A4 (de) 2001-07-11
AU8242798A (en) 1999-02-10
CA2289782C (en) 2004-07-13
CN1257529A (zh) 2000-06-21
WO1999003926A1 (fr) 1999-01-28
CA2289782A1 (en) 1999-01-28
CN1125138C (zh) 2003-10-22
EP0997497B1 (de) 2004-10-27
DE69827259T2 (de) 2006-02-16
ATE280806T1 (de) 2004-11-15
KR100334150B1 (ko) 2002-04-25
RU2180671C2 (ru) 2002-03-20

Similar Documents

Publication Publication Date Title
DE69827259D1 (de) Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung
DE4005472C2 (de) Verfahren zur Bildung eines keramischen oder keramikartigen Überzugs
DE69305318T2 (de) Verfahren zur Herstellung eines Siliziumoxid-Filmes
DE60333245D1 (de) Verfahren zur Herstellung von Dünnschichtbauelementen für Solarzellen oder SOI-Anwendungen
ATE229057T1 (de) Wasserbasierende organopolysiloxanhaltige zusammensetzungen, verfahren zu deren herstellung und deren verwendung
DE3006167A1 (de) Fotovernetzbare organopolysiloxan- materialien
ATE27206T1 (de) Verfahren zur herstellung von siliziumoxidschichten.
AU4161093A (en) Semiconductor element and method and apparatus for fabricating the same
ATE297966T1 (de) Uv härtbare zusammensetzungen zur herstellung von elektrolumineszenzbeschichtungen
KR960002883A (ko) 반도체장치 및 그 제조방법
EP1636826A2 (de) Verbindung zur bildung einer selbstorganisierenden monolage, schichtstruktur, halbleiterbauelement mit einer schichtstruktur und verfahren zur herstellung einer schichtstruktur
DE69728950D1 (de) Verfahren zur Herstellung eines Halbleitergegenstands
DE69942582D1 (de) Zusammengesetzter zeolithfilm und verfahren zur herstellung desselben
KR960030376A (ko) 반도체장치의 제조방법
EP0236936A3 (de) Verfahren zur Vermeidung von Kurzschlüssen bei der Herstellung von elektrischen Bauelementen, vorzugsweise von aus amorphen Siliziumschichten bestehenden Solarzellen
DE60141122D1 (de) Sperrbeschichtung aus polysäure, verfahren zu ihrer herstellung und polycarbonsäurebeschichtungsmittelzusammensetzung
DE68922293D1 (de) Verfahren zur herstellung von halbleiteranordnungen.
DE3861360D1 (de) Schichtelement und verfahren zur herstellung von duennen schichten.
EP1468446A1 (de) Verfahren zur herstellung von dielektrischen schichten unter verwendung multifunktioneller carbosilane
WO2005023876A2 (de) Integrierte schaltung und verfahren zur herstellung einer integrierten schaltung
ATE75076T1 (de) Duennfilmtransistor und verfahren zu seiner herstellung.
US20010044215A1 (en) Method for removing contaminant compounds respectively having benzene ring therein from surface of Si layer and method for producing semiconductor device including step for removing contaminant compounds
TW364074B (en) Production of photolithographic structure
DE69606493T2 (de) Dünne Schicht aus leitfähigem Polymer und Verfahren zu deren Herstellung
TW200504164A (en) Composition for forming porous film and method for forming the same, porous film and method for forming the same, interlevel insulator film, and semiconductor device

Legal Events

Date Code Title Description
8364 No opposition during term of opposition