CN1675774A - 电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备 - Google Patents

电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备 Download PDF

Info

Publication number
CN1675774A
CN1675774A CNA03819497XA CN03819497A CN1675774A CN 1675774 A CN1675774 A CN 1675774A CN A03819497X A CNA03819497X A CN A03819497XA CN 03819497 A CN03819497 A CN 03819497A CN 1675774 A CN1675774 A CN 1675774A
Authority
CN
China
Prior art keywords
semiconductor
electric equipment
boundary layer
less
approx
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA03819497XA
Other languages
English (en)
Other versions
CN100530682C (zh
Inventor
丹尼尔·E·格鲁普
丹尼尔·J·科尼利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Acorn Technologies Inc
Original Assignee
Acorn Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=31495225&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1675774(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Acorn Technologies Inc filed Critical Acorn Technologies Inc
Publication of CN1675774A publication Critical patent/CN1675774A/zh
Application granted granted Critical
Publication of CN100530682C publication Critical patent/CN100530682C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/47Schottky barrier electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/806Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明是关于一种用于在电气结面中解除钉止半导体费米能阶的方法以及结合这种结面的设备的相关应用。一种电气设备,其内部的中间层(520)放置在与其接触的金属和硅基片半导体之间,当允许电流在金属和半导体之间流动时,具有厚度的中间层就可有效的解除钉止半导体费米能阶。中间层可以包括钝化材料层(例如,由氮,氧,氮氧化物,砷,氢和/或氟组成的),并且有时也包括分隔层。在一些实例中,中间层可以是单层的半导体钝化材料。电气设备的中间层厚度对应于小于或等于10Ω-μm2的最小接触电阻率,或甚至小于或等于1Ω-μm2的最小接触电阻率。

Description

电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备
相关申请
本申请涉及由本发明人并且指定作为本发明申请的代理人,在2003年1月14日提出的未决的美国专利申请NO.10/324,576,名称为“具有钝化的肖特基能障绝缘门场效应晶体管的沟道”。因此,本相关专利申请将结合参考它的全部内容。
技术领域
本发明总的涉及一种半导体处理过程和半导体设备,特别是涉及一种在金属-中间层-半导体结中解除钉止(depinning)半导体费米能阶的处理过程,以及使用这样结面的设备。
背景技术
在应用于现代的设备中,最基本的电结面是金属-半导体结面。在这些结面中,金属(例如铝)用来与半导体(例如硅)连接。这样形成了能够在内部整流的设备(二极管);也就是说,该结面趋向于在某一方向上比在其它方向上能够更顺利地引导电流。在其它实例中,取决于使用的材料,结点可以是欧姆属性(例如,不管电流方向,触点具有可忽略的电阻)。1926年,Grondahl与Geiger首次研究了这些结面的整流形式,并且在1938年,Schottky发展了用于所观察到的整流的理论解释。
肖特基理论将金属-半导体触点的整流行为解释为依赖于在金属与半导体之间接触表面的能障。在这个模型中,能障高(正如测量出由电子从金属经过半导体必须的电势一样)被假定为金属功函数(功函数是在金属的费米阶能上,释放出电子所需的能量,在T=0时,金属的能量状态具有最高的费米阶能)和半导体电子亲合力(电子亲合力是自由电子的能量和半导体的传导频带边沿之间的差分)之间的差分,以及。数学表达:
                      ΦB=ΦM-xS
其中ΦB是能障高,ΦM是金属功函数,以及xS是半导体的电子亲合力。
这并不令人惊讶,许多尝试实践地验证该理论。如果理论是正确的,那么当与普通半导体接触时,就能够观测到不同功函数在金属能障高中的直接变化。然而,所观测到的并不是实际比例,而仅仅是比模型中包含的功函数能障高更微小的变化。
Bardeen通过引入半导体表面状态在确定能障高中起着重要作用的概念,来寻找解释理论预测和实验观测之间的不同。在半导体晶体边沿的表面状态是能量状态(在原子价和传导带之间的能带隙内部),该表面状态产生于不完整的共价键,杂质,和其它晶体中止的影响。图1示出了代表性的未被钝化的硅表面(100)。所示的特殊硅表面是硅(100)2×1表面。如所示的,在表面的硅原子,例如原子110,并不是完全等同的,并且包含不令人满意的悬空键,例如悬空键120。收集电子电荷的这些悬空键负责表面状态。
Bardeen模式假定了表面状态足够将半导体中的费米阶能钉止在原子价和传导带之间的一个点。如果属实,那么在金属-半导体结面的能障高应不依赖于金属功函数。然而,这种情况在实验中很少观测到,因此最好将Bardeen模式(类似Schottky模式)认为是一种限制情况。
许多年来,在金属-半导体结面中引起半导体费米阶能钉止的理论基础仍旧无法解释。事实上,至今为止没有一个解释符合关于这种结面的所有实验观测。然而,在1984年,Tersoff提出了一个模式,朝着解释这种结面的物理学方向延伸了一段。见1984年2月6日J.Tersoff在Phys.Rev.Lett,52(6),发表的“肖特基能障与间隙状态的连续性”。
Tersoff模式(该模式建立于Heine和Flores & Tejedor的研究上,也参考了Louie,Chelikowsky,和Cohen在Phys.Rev.B15,2154(1977)发表的著作,“电离度和肖特基能障理论”)提出了,在金属-半导体结面的半导体费米阶能钉止在有效的“间隙中心”的附近,这涉及到大部分的半导体能带结构。该钉止是由于所谓的金属感应间隙状态(MIGS),该间隙状态是半导体能带间隙中的能量状态,由于邻近金属而变得密集。也就是说,在金属中的电子波动函数在金属表面没有突然地中止,而是在远离该表面处部分地衰减(例如,半导体内部扩展)。为了遵循半导体中关于密度状态的总规则,在源于价带的间隙中接近表面的电子占有能量状态,从而减少价带中的密度状态。为了维持电中性,占有的最高状态(其定义了半导体费米阶能)将位于从源于价带状态到源于传导带状态的交叉点。该交叉出现在带结构的分支点。即使计算出的基于Tersoff模式的能障高不能满足所有实验观测到的所有金属-半导体结面的能障高,但是对多数这样的结面通常有好的一致意见。
影响二极管性质的表面最终源是不均匀的。也就是说,如果影响能障高的因素(例如,表面状态的密度)通过结面的平面而变化,那么该结面的属性并不是不同范围属性线性组合。总之,经典的金属-半导体结面是以肖特基能障为特征的,其属性(例如,能障高)依赖于表面状态,MIGS以及不均匀性。
金属-半导体结面能障高的重要性在于它确定了结面的电气属性。因此,如果能够控制某个结面或调整金属-半导体结面的能障高,那么就可以生产出期望特性的电气设备。当进一步缩小设备尺寸时,这样能障高的调整变得更为重要。然而,在能够调整能障高之前,必须解除钉止半导体的费米阶能。在下文将详细讨论,本发明人已经在设备中达到了这种目标,该设备允许实际电流在金属和半导体间流动。
发明内容
本发明人已经确定了在金属和硅基半导体(例如,Si,SiC和SiGe)之间放置薄的中间层,从而形成金属-分界层-半导体结面,其中存在相应的最小接触电阻率(specific contact resistance)。然而,对应于这种最小接触电阻率的分界层厚度将会依赖于所使用的材料而改变,当结面被偏置时(例如,正向或反向偏置),薄的中间层允许解除钉止半导体费米阶能,同时允许电流在金属和半导体之间流动。通过解除钉止费米阶能,本发明人预定了一种状态,其中所有的,或实质上所有的,可以中止出现在半导体表面的悬空键,并且通过将半导体放置在远离金属足够远的距离,克服或至少是减弱了MIGS的影响。依据本发明,这样的结面获取的最小接触电阻率近似地小于或等于10Ω-μm2,或甚至近似地小于或等于1Ω-μm2
因此,在本发明的实施例中,依照本发明的一种观点,本发明提供了一种电气设备,其中分界层放置在与其接触的金属和硅基片半导体之间,并且该设备被配置用来解除钉止半导体的费米阶能。当电气设备被偏置,同时允许电流在金属和半导体之间流动时。电气设备的接触电阻率近似地小于10Ω-μm2。分界层可以包括钝化材料(例如,氮化物,氧化物,氧氮化物,砷化物,氢化物和/或氟化物),有时也包括分隔层。在一些实例中,分界层必须是单层(或多层)的半导体钝化材料。
在本发明另一个实施例中,分界层是由钝化层组成,该钝化层通过当前地含氮材料的半导体加热制成,例如氨(NH3),氮(N2)或游离气态氮(N)生成等离子的过程。在这个实例中,分界层可以通过加热半导体制成,并且在真空室,将半导体暴露在含氮材料中。。
本发明的实施例进一步提供了,通过使用放置在半导体表面和导体之间的分界层,在电结面中解除钉止半导体费米阶能。优选分界层(i)其厚度足够减弱半导体中MIGS的影响,并且(ii)钝化半导体的表面。尽管现有的分界层,由于分界层的厚度可以被选择来为结面提供最小(或接近最小)的接触电阻率,因此主要的电流可以在导体和半导体之间流动。如上面所指出的,分界层可以包括像氮化物,氧化物,氧氮化物,砷化物,氢化物和/或氟化物这样的钝化材料。
本发明的实施例进一步提供了半导体和导体之间的结面,该导体和半导体分离。通过配置的分界层来允许导体的费米阶能(i)与半导体的传导带结合,(ii)与半导体价带结合,或(iii)独立于半导体的费米阶能。在某些或所有的实例中,当结面被偏置,由于分界层具有符合结面的最小或接近最小的接触电阻率厚度,因此电流可以在导体和半导体之间流动。例如,获取的接触电阻率可以近似地小于或等于2500Ω-μm2,1000Ω-μm2,100Ω-μm2,50Ω-μm2,10Ω-μm2或甚至小于或等于1Ω-μm2
依据本发明提出的一种电气设备,用于在电气结面中解除钉止半导体费米能阶的方法以及结合这种结面的设备的相关应用。一种电气设备,其内部的中间层(520)放置在与其接触的金属和硅基片半导体之间,当允许电流在金属和半导体之间流动时,具有厚度的中间层就可有效的解除钉止半导体费米能阶。中间层可以包括钝化材料层(例如,由氮,氧,氮氧化物,砷,氢和/或氟组成的),并且有时也包括分隔层。在一些实例中,中间层可以是单层的半导体钝化材料。电气设备的中间层厚度对应于小于或等于10Ω-μm2的最小接触电阻率,或甚至小于或等于1Ω-μm2的最小接触电阻率。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
图1是绘示了代表性的包含具有悬空键的表面硅原子的未钝化硅表面示意图。
图2是绘示说明了金属和半导体的各种能级,并且标示了金属功函数与半导体的电子亲合力示意图。
图3是绘示出了传统的金属-n-类型半导体结面的能带方框图,并且也是说明了,当材料开始与另一个半导体连接时,在半导体中形成的损耗范围的概念示意图。
图4是绘示说明了在传统的金属-n-类型半导体结面的绕度示意图。
图5是绘示出了一种具有半导体材料的半导体设备示意图,该半导体材料在半导体设备运行期间,具有电流横过的表面,并且依据本发明的一个实施例,包括在表面上形成的分界层。
图6是依据本发明一个实施例,绘示出了包括一个分界层的电结面,该分界层放置在半导体和导体之间的示意图。
图7a,图7b,图7c和图7d是依据本发明一个实施例,分别绘示出了在已钝化的Schottky二极管中未移除MIGS时,在未钝化的Schottky二极管中移除MIGS时,以及在钝化Schottky二极管中移除MIGS时,费米能,传导带,和价带之间的关系示意图。
图8是依据本发明的一个实施例,绘示出了分界层电阻与电结面分界层厚度的曲线,电结面具有放置在半导体和导体之间的一分界层示意图。
具体实施方式
为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的用于在电气结面中解除钉止半导体费米能阶的方法以及结合这种结面的设备的相关应用其具体实施方式、步骤、结构、特征及其功效,详细说明如后。本发明通过举例的方式来说明本发明,但并不限于此。
详细描述
这里描述了在金属-半导体结面上,用来解除钉止硅基半导体费米阶能(例如,Si,SiC或SiGe)的处理过程以及使用这样结面的设备。如下文更全面的讨论,分界层在半导体和金属之间导入。分界层的功能是钝化半导体表面(也就是说,终止悬空键可以另外出现在半导体的表面,从而确保表面的化学稳定)以及使半导体远离金属放置,从而减弱MIGS的影响。
如下文更充分地论述,本发明人决定将薄的分界层放置在金属和硅基半导体(例如,Si,SiC或SiGe)之间,从而形成金属-分界层-半导体结面,其中存在相应的最小接触电阻率。实际上,依据本发明,这样的结面获取的最小接触电阻率近似地小于或等于10Ω-μm2,或甚至近似地小于或等于1Ω-μm2。为了获取这样地低接触电阻,选择具有接近n-类型半导体的半导体传导带的功函数的金属,或接近p-类型半导体价带的功函数的金属。
在这种结面中已将Schottky能障最小化,意味着小于由结面示出的Schottky能障,在该结面中费米阶能被钉止,通常接近半导体能带隙的中间。这些结面的电流对电压(IV)特性是非线性的,通常,具有随着电压增加而增加的斜率,从而使相对于电压的电流导数随着电压增加而增加。这导致了微分电阻(dV/dI)的减少和电阻(V/I)的减少。因此,具有接近IV特性(0伏特)源点的高电阻或高微分电阻,可以在更高电压上具有明显更低的电阻或微分电阻。
本发明为金属-分界层-半导体结面获了接近电流-电压特性源点的低电阻和低微分电阻。通常,在源点周围的电压应当近似地小于100mV,或更优选的近似地小于10mV,用来达到测量,确定,或利用这种低电阻结面的目的。电压越高,结面电阻将会越低。因此本发明一个特征是在接触电阻上设置上界,其中上界出现在低电压。
本发明进一步注意到,如上所述在结面中最小化Schottky能障,从而使0电压结面的费米阶能位于或接近传导带沿或阶带沿(各自用于n-和p-类型半导体),IV特性将接近均衡,尤其在低电压。在该实施例中,没有用普通的二极管意义来定义术语“正向偏置”,其中正向偏置和更大电流的偏置方向一致。因此,确定或利用本发明的低电阻结面,电压可以是正极或负极。
依据本发明的另一个实施例,如果在结面的费米阶能被钉止,通常大约是在半导体中间间隙,那么构造这样的结面是可能的,在该结面中Schottky能障比它原来的能障要更高。在本发明中,这样的结面形成在接近或实质上等同于p-类型半导体的传导带沿具有功函数的金属之间,或形成在接近或实质上等同于n-类型半导体的价带沿具有功函数的金属之间。这些结面是二极管,如果n-类型(p-类型)半导体被偏置,则二极管中微小电流将流向金属的正极(负极),并且如果电压被反向偏置,则大量的电流将会流动。低电流状态指的是反向偏置,以及高电流状态指的是正向偏置。
在实例中,二极管的低电阻仅仅关系到正向偏置状态。依据本发明产生的结面中,分界层的电阻属性小于Schottky能障的电阻。也就是说,依据本发明产生的结面在正向偏置状态中,电荷的传送大部分被从半导体经过界面能障的运载热量辐射限制。因此,在二极管情况下的低电阻指的是低于Schottky能障的电阻。
在某些二极管的应用中,抵挡高反向偏压置的能力比正向偏置中的高电流更值得期望。这些应用将被作为高电压/低电源的应用。在这种情况之下,低电阻不是必需的,如果在结面中的半导体费米阶能被钉止,那么依据本发明另一个实施例,产生的结面提供的高电压二极管抵挡电压的能力比另外方式获取的能力要强。
在下文将以目前优选的实施例来论述本发明,然而,该论述并不是用于限定本发明的范围。通过研究公开的本发明,本领域的其它普通技术人员可以认识到的等效过程,材料或结构,它们都可以被用来代替这里描述的那些处理过程,材料或结构,从而取得同样的效果。忠告以及提醒读者,这种等效物的使用均被认为是属于本发明的范围内。例如,其中的论述涉及众所周知的结构和设备,使用的方框图,部分示出了本发明广泛的应用在这样的结构和设备中。
I、介绍和定义
虽然本领域是众所周知的,但本发明论述使用的术语不能被所有读者所熟悉。因此,在开始详细描述本发明之前,定义某些术语和概念是有帮助和必要的。为了理解金属-半导体结面属性和本发明的效果,必须涉及一些重要的能量度量,其在图2中示出了。所谓的真空级(E0)表示最小能量,是电子为了完全脱离金属或半导体所必需拥有的能量。对于金属,费米阶能(EF)表表示材料的最高占有能级。也就是说,在费米阶能下几乎所有的能量状态都是充满的,而在费米阶能上几乎所有状态都是空的。金属功函数(ΦM)定义为在费米阶能释放电子所需的能量,并且计算真空级与费米阶能之间的差分。功函数是大多金属不变的属性。
如框图所示,然而,半导体也具有费米阶能(EF)和功函数(ΦS),功函数不是半导体不变的属性。由于费米阶能是依赖于半导体中的掺杂质而变化(例如,相对数量的杂质引入到半导体晶体,改变了电子和空穴载流子的浓度),因此定义了单独的参数,电子亲合力(XS)。电子亲合力是半导体不变的属性并且是真空级和半导体的传导带沿之间的差分。在半导体中,几乎所有的能量状态都充满了阶带(EV)而传导带(EC)几乎是空的。
现在考虑金属和n-类型半导体之间传统的结面,该结面具有比金属功函数更小的功函数(例如,ΦS<ΦM)。在n-类型半导体中电子是主要的电荷载体(在p-类型半导体中,空穴是主要的电荷载体)。如图3所示,由于在半导体中的费米阶能高于金属中的费米阶能,因此当材料接触时,电子从半导体310传送到金属320。因此,损耗范围(例如,在没有自由电荷载体的范围)330形成结面340。
损耗范围的形成产生了电场并且被称作“带弯曲”,如从半导体一边趋近结面(如图4所示)。带弯曲产生了能量能障(如上面所讨论过的),该能障进一步阻塞电子传入或传出半导体。当金属功函数小于半导体功函数时,在金属和p-类型半导体之间形成的结面类似能障。然而,在金属-n-类型半导体结面的情况下,半导体功函数大于金属功函数,或在金属-p-类型半导体结面中,半导体的功函数小于金属功函数,不产生这种能量能障,并且实际上接触的是欧姆。
如上所述,虽然Schottky首先假定了在金属半导体结面中形成的能量能障高(Φb)仅仅是金属功函数和半导体电子亲合力之间的差分,但是实验并没有验证这种关系。而一个更为复杂的解释是考虑到表面缺陷状态的影响,不均匀性,以及MIGS,通过解释半导体中费米阶能的钉止,提供了更为精确的能障高估算。本发明人创造的技术,通过钝化半导体表面(消除或至少减弱表面状态的影响以及可能的不均匀性)和将金属远离半导体放置(消除或至少减弱MIGS的影响),在具有金属的结面上解除钉止硅基半导体费米阶能(这样允许控制或调整能障高)。通过在半导体和金属之间引入分界层来实现解除钉止,从而生成半导体-分界层-金属结面,当结面被加上正向偏置时,允许有效电流在金属和半导体之间流动。
后面几点是很重要的,正如下面进一步讨论的,如果分界层太薄,那么将半导体能带和导体结合来接触(例如,其中导体的费米阶能和依赖于半导体类型和/或接触应用的传导或半导体的价带结合),由于MIGS的出现,结面的接触电阻率将增大,导致了能障高的增加;因此,牵制了电流。相反地,如果分界层太厚,那么接触电阻率再次增加,并且由于通道的限制,使低电流穿过结面。本发明获取的分界层厚度足够减弱或消除MIGS的影响,同时允许有效电流的通过。
II半导体表面的钝化
在半导体设备制造期间,通常执行的处理操作是硅表面钝化。表面钝化(无论是通过氧化物还是其它材料)是用化学方法中和和物理上保护下层的硅。例如,将硅表面暴露在氧气中(在适但的条件下生成硅氧化物保护膜)将允许氧气和硅表面的悬空键反应来形成共价键,该共价键满足表面硅原子价和再现表面的协调。这些共价键为硅表面提供了化学稳定。共价键也连接着存在于硅表面的自由电子,作为半导体晶体表面不连续的结果。
然而,用硅氧化物钝化有几个重大缺点。例如,硅氧化物是非传导的绝缘体,其对电流形成明显的能障。相应地,在硅表面沉积或生成的硅氧化物层会明显地减少电流通过硅表面的能力。因此,在实践中硅氧化物的使用被限制在半导体设备有效范围的外部表面,在设备运行时电流通过该半导体设备(例如,门氧化层)。这个缺点是由硅氧化物生成太快,以及容易生成在硅表面的事实复合形成的,因此很难限制薄层的形成。对于掺杂了像硼这样的半导体,硅二氧化物也很难使能障扩散。
本发明的一个实施例利用氮化物半导体表面来提供化学钝化,而不是用硅二氧化物。也就是说,引入氮氧化物层,通过消除或至少减弱表面状态以及可能的不均匀性的影响,来钝化半导体表面。氮化物层也可以使金属远离半导体放置,消除或至少减弱MIGS的影响。在半导体和金属之间引入氮化物层作为界面的结果是解除钉止半导体费米阶能。当半导体费米阶能解除钉止时,在界面的金属费米阶能将是大量金属的费米阶能,并且不依赖于界面。除上述之外,本发明人还提出了用于提供非绝缘,使用不同于氮的材料来钝化半导体表面的技术;例如,氧化物,氢化物,砷化物和/或氟化物。
这些研究广泛地应用于Schottky二极管,Schottky-能障晶体管以及其它电气元件的连接制造。例如,在Schottky二极管中,如果设备要修整作为特殊的应用,那么在二极管结中控制能量能障高的能力是很重要的。使用本发明技术允许调整能障高。此外,对于具有Schottky-能障-绝缘通道的其它3个终端设备,通过本发明,允许制造出不含掺杂质的n-and p-类型设备,取代了具有不同功函数金属的使用,这样设备特性的控制是可能的。
请参阅图5所示,是一种具有半导体材料的半导体设备示意图。依据本发明,图5示出了半导体设备510,其包括半导体530和在半导体表面540上形成的分界层520。术语半导体设备,微电气设备,单块集成电路设备,芯片,以及集成电路经常交替地使用在本领域。依据本发明,任何或所有这样的设备可以各自包含在半导体表面上形成的分界层。
该半导体530包含半导体材料。技术术语半导体材料指的是具有近似地大于0.1eV并近似地小于4eV的能带隙材料。技术术语能带隙指的是分隔传导带的禁止能级的能带隙,能带隙大多是缺乏电子的高能带,并且其中的电子能够传导,价带大多是充满电子的低能带,并且其中的电子不能传导。半导体材料具有广阔的含杂质的标准范围,包括一点都不含杂质的。
该半导体530具有一个被分界层520钝化的表面540。由于半导体表面540的缺陷或悬空键,在上下文中(以及这里其它地方使用的)术语钝化意味着消除或至少减弱表面状态的影响。如实际情况中,注意钝化不是要求消除所有的表面状态。而是,在钝化中限制或消除表面状态对设备属性的影响。然而,进一步注意到,MIGS的出现可以当作表面状态,和这里所使用的术语钝化一样,并不意味着消除MIGS(尽管在一些实例中,钝化层可以具有足够的厚度,在半导体和金属之间提供分隔层,从而足够减弱或消除MIGS)。可操作的半导体530与第一电压电耦合,该第一电压和半导体设备510连接,并引导电流550穿过钝化的表面540。
该分界层520在半导体530上形成,并且可以包含钝化材料,分界层520通过在钝化材料和半导体材料之间形成共价键(或其它)的方式来绑定半导体材料。例如,钝化材料的原子可以共价地与表面硅原子的悬空键结合来使硅原子完全协调,因此有助于钝化硅原子。在一些实例中,钝化材料可以是分界层520的唯一组成,而在其它实例中分界层520可以是复合层,该复合层包括钝化层和分隔层。也就是,分界层有助于(i)化学钝化半导体表面540,并且(ii)使半导体远离金属,从而消除或至少减弱MIGS的影响。如下面的解释,除了分界层中的钝化层以外,包括依赖于所选择的钝化材料的分隔层是有必要的。当然,钝化层和分隔层的组合必须是足够薄的,才能允许这里所描述的低接触电阻率的存在。
期望不同的钝化材料。依据实施例,可以从由氢(H),氧(O),氮(N),砷(As),及氟(F)组成中优选出的材料形成分界层520(也就是,分界层520可以包括氮化物,氧化物,氢化物,砷化物和/或氟化物)。也可以使用具有类似这些材料的化学特性或原子价的其它材料。注意不同的分隔层(例如,除了钝化层以外)是必需的,这些分隔层使用的是氢,砷,或氟钝化层,这些层趋向形成单层覆盖,而不是依赖于工艺厚度的硅复合层。相反,使用氮和/或氧制造的钝化层可以不需要不同的分隔层,这是由于这些元素可以形成具有厚度的硅复合层,该厚度可以根据处理过程而改变。
期望不同数量的钝化材料有助于本发明不同的实施例。通常,分界层520包括,或着是由具有近似0.1nm到近似5nm之间厚度的钝化层组成。例如,依赖于特殊的仪器,该厚度可以近似地小于1nm,近似地小于0.5nm,近似地小于0.2nm,该厚度可以相应于绑定在半导体表面的钝化材料的每一层或单层,或甚至可以是钝化材料的多个原子,其需要充分地钝化和半导体表面540连接的所有悬空键。
在一些实例中,钝化半导体表面540将包括消除(或终止)位于接近半导体材料表面的悬空键,包括在表面的那些悬空键以及那些来自表面的分子大小内的悬空键。处理过程可以稳定半导体材料的表面并且提高随后制造操作的可控制性。作为半导体晶体表面不连续的结果,钝化也可以减弱存在于半导体表面的表面状态的密度。这可以提高半导体设备的兼容性和性能,这种状态干扰设备的正常运行是已知的。例如,已知状态可以提供导致产生钉止费米阶能的表面电荷状态。
III分界层的形成
用于形成分界层的典型方法提供了(i)半导体表面的钝化,以及(ii)将半导体远离金属放置以消除或至少减弱半导体内MIGS的影响,当下文提出的具有氢,氟或氮的金属(这里共同指的是解除钉止半导体费米阶能)出现时,来进一步阐释本发明的概念。其它的钝化材料可以包括砷,氧或氧氮化物,并且在一些实例,这种结合分隔层的钝化层(例如,由氧制成的)完善了分界层。
A、氢化物和氟化物
分界层可以包含氢化物,氟化物或者既有氢化物又有氟化物(例如,以氢化物和/或氟化物的形式)。一种用于在有氢化物和氟化物的半导体表面形成分界层的方法,包括用清洗溶液清洗半导体基片,清洗的基片浸泡在氢化物和氟化物溶液中(或其它包括氢化物和氟化物离子的液体),通常在重量上,有效浓度大约在1%-5%之间,等待一段有效的时间后,通常大约在几秒到5分钟之间,从氢化物和氟化物溶液中取出基片,在去离子水中任意清洗基片,并且用氮吹干基片。这种方法可以形成包括氢化物和氟化物的分界层,绑定(例如,共价地)在半导体的表面。
应当注意在去离子水中长时间漂洗时,通常大约长于30秒,就可以清除氢钝化。因此,去离子水清洗优选地保持在少于30秒,以保持表面的氢钝化。同样,在浸泡期间,氢化物和氟化物的浓度越高,氟钝化的程度就越大。最后,也期待通过清除氢化物或清除氟化物,改变氢对氟钝化比率的方法。
以这种方式形成的分界层最适合于应用,其中随后的金属层以通用的非入侵方式沉淀在分界层之上,例如使用热的蒸发源。本发明人的试验证明了使用其它的方法(例如,等离子沉淀)可能造成作为本发明一部分所期待的薄(例如,单层厚度)分界层的毁坏。
B、氮
在另一个实施例中,分界层包括氮(例如,以硅氮化合物的形式)。一种用于在具有氮化物的半导体表面上形成界面的方法,其包括加热当前氮化物材料中包含半导体表面的基片(也就是,包含氮的气体或其它材料)。例如,包含暴露的硅表面的基片可以在大约300℃到750℃之间的温度下进行热处理,该温度比快速热量氮化(RTN)使用的传统温度更低,例如,在一些有效的部分压力中存在氨(NH3)的气体环境下。我们要清洁表面,通过暴露,使表面除了硅没有别的东西。这种方法常以氮化物的形式形成包含氮的分界层,绑定在半导体的表面。要注意本发明人已经观察到的迹象,证明了在这些低温状态中分界层的生长是自我约束的,仅仅依赖于温度。
依据本发明的另一个实施例,包含氮的分界层通过这种方法可以在暴露的半导体材料表面上形成。该方法包括在真空中,将半导体材料加热到相当高的温度以及将半导体材料暴露在数量相当小的含氮材料(如氨气)中。
该方法包括将具有暴露的半导体表面的半导体放置在加热室中,近似地小于百万分之一托的压缩真空,或优选地小于10-9托的超高真空,然后在加热室中将半导体加热到相当高的温度。真空程度越高,在加热室中的基片可以加热更长的时间,而没有生成来自剩余的氧气或水的氧化物。因此,该处理过程包括在惰性的环境中将半导体加热到大约900℃到1000℃之间的温度,或更高的温度。如所述的,半导体可以暴露在氢气体中,或等效的气体中,来减少半导体上任何自带的氧。与在低温中所获得的结果相比,这些高温可以为半导体表面提供更大程度的钝化。
然后,加热的半导体暴露在数量相当小的含氮材料中,例如氨气。这可以包括将半导体表面暴露在氨气中一段相当短的时间。例如,持续大约0.5秒到5秒之间的时间,表面易遭受氨气的冲撞或脉冲。可选择地,表面可以任意长时间地暴露在可控的少量的氨气中。通过这种方式,相当小数量的氨气将和表面反应来形成氮分界层,例如氮层,随即分界层的进一步生成将会停止。然后半导体从相当高的温度冷却到室温并且从加热室中移出。将基片移去之前,在700℃到1000℃甚至更高的温度中,基片的进一步退火和氮化物层的生成也可以在真空室里执行。
有利地,出乎意料地观察到如上所述的处理过程,以及短时间暴露在相当高的温度中,以可控制地形成薄而有效的分界层。也就是,本发明人观测到生成地薄分界层包括氮材料,温度是控制厚度的主要因素。例如,通过这样方法形成有效分界层的厚度近似地小于1nm,近似地小于0.5nm,近似地小于0.2nm,或基本上符合单个单层的厚度,该单层厚度基本上足够钝化所有接近半导体表面的悬空键。
此外,在当前氮气,或其它包含惰性气体的氮中,有利于在半导体表面上顺利生成薄的分界层。像硅这样的半导体和氮气的反应速度明显慢于像氨气这样的含氮气体的反应速度。所期望的缓慢生长速度可以更好地控制在半导体表面上氮膜的生长厚度,该厚度近似小于1nm,近似地小于0.5nm,近似地小于0.2nm,或基本上符合单个单层的厚度,单层厚度基本上足够钝化所有接近半导体表面的悬空键。
IV. 包含钝化半导体表面的二极管
由Schottky能障制成的二极管(即,在金属和半导体之间的结面单形成不对称的电势)广泛的使用在电源整流和控制应用中。如这里使用的,术语Schottky二极管,金属-半导体结面二极管,二极管,以及整流器都是相关的,并且出现的顺序从左边具体的到右边一般的。同样地,术语Schottky能障,金属-半导体能障,导体-半导体结面,以及多材料结面都是相关的,并且出现的顺序从左边具体的到右边一般的。术语Schottky二极管指的是包含有Schottky能障的二极管。
如上述所提到的,本发明人通过在金属和半导体之间形成的分界层(其包括或有时是由钝化层组成的,该钝化层包括氧,氧氮化物,氮化物,砷化物,氢化物,氟化物,或等效物),设计出了用来控制或调整Schottky能障高的方案。这方案不同过去所尝试的用来控制能障高的其它方案,这些尝试通常涉及使用硅化物作为接触金属(从而限定了那些可以形成硅化物的可用接触金属的选择),或使用显示出宽的能带隙的生僻基片。此外,在早期的设备中半导体费米阶能仍旧可以钉止,能障高实际上不依赖于所使用的金属。最后,含杂质的基片也尝试过了,然而,它没有显示出影响基片材料能障高的真正原因。例如,由于掺杂了高浓度硅,PtSi触点减弱了电阻,从而经过结面的电流由通过能障的通道控制。因而掺杂会导致能障上部变薄,对电子而言,基本上是透明的,然而,掺杂实际上不允许调整能障高。
请参阅图6所示,依据本发明的实施例,图6示出了包含二极管600的范例,分界层620放置在半导体610和导体630之间并和两者接触。导体和半导体是可操作的,从而电连接到与二极管工作相关的不同电压,并使电流通过钝化的半导体表面,钝化的半导体表面形成在半导体610和分界层620之间的结面。
该导体630包括像金属或合金这样的传导材料。技术术语金属,传导材料,以及导体都是相关的,并且出现的顺序从左边具体的到右边一般的。总之,技术术语指的是高度的导电物质,该导电物质具有位于部分充满带中的费米阶能。除非其他特殊的情况,导体包括金属(例如,纯金属和合金),以及其它像含杂质的多晶硅这样的导体(包含任意定向微晶的无孔硅),含杂质的单晶硅,以及金属硅化物。注意合金和它们组成的功函数不同,并且通过选择使用组成金属的比例,设计成具有特殊的功函数。
通常,导体都是金属,因为金属提供的优点超出传导半导体,传导半导体包括低电阻,提供超高频率性能和转换的高载流流动性,良好的低电压特性,并容易制造控制。使用金属也可以避免执行半导体掺杂,从而简化生产并提高质量控制。
所期望的金属包括纯金属,合金,高熔点的金属,这些金属不形成硅化物,通过像热量蒸发金属蒸汽浓度这样的充分地非入侵处理,物理地沉淀金属,金属具有预先确定的功函数。使用非入侵沉淀金属可以允许在金属上形成薄的分界层,而无需破坏钝化层的属性。
具有预定功函数的金属可以是具有比半导体更小或更大的功函数,这依赖于所期望的应用。通常,半导体是硅。在这个实例中,我们通过半导体或硅的功函数来解释半导体能带隙中的能量。具有比硅的功函数更小的功函数的金属的例子,包括组3A元素,铝(AL),铟(In),钛(Ti),铬(Cr),钽(Ta),铯(Cs),镁(Mg),铒(Er),镱(Yb),锰(Mn),铅(Pb),银(Ag),钇(Y),和锌(Zn)。具有比硅的功函数更大的功函数的金属的例子,包括铂(Pt),金(Au),钨(W),镍(Ni),钼(Mo),铜(Cu),钴(Co),以及钯(Pd)。
请参阅图6所示,绘示出了半导体-分界层-导体构造,将本发明人所选择的定义为“钝化Schottky能障”。由于在邻近导体的半导体形成的损耗区域中,钝化的Schottky能障是导体费米阶能(电气化学的电势)中的电子或空穴自然形成的电势能障。钝化的Schotty能障在能障高上偏离标准的未钝化的Schottky能障,标准的未钝化的Schottky能障自然地形成在半导体和导体之间的接触结面上,无需在其中放置分界层。也就是,钝化的Schottky能障具有的能障高主要依赖于半导体和导体的大部分特性,而非表面属性,同时部分地依赖于分界层的特性。
事实上,本发明人通过半导体基片氮化物确定了能障高的变化近似于无变化,以及在表面钝化厚度变化的连续性。尤其是,厚的氮化物层足以去除MIGS,发明人的实验示出了分界层的形成温度对能障高的影响最强烈。在其它状态中,厚度是关键的。理论上,如果移除所有的表面状态,那么通过选择使用的金属,能障高应当是容易控制的。
为了理解为什么分界层的厚度是很重要的,简要的参阅图8所示,其中绘示出了对应于界面厚度的特殊界面接触电阻图。该结构图中,金属的功函数和半导体中的电子亲合力是一样的,因此金属费米阶能和半导体传导带结合。在厚的厚度中,分界层对电流形成显著的电阻。当厚度减小,由于通道电流的减弱,电阻下降。然而,出现了一个问题,即使分界层继续变薄,而电阻却增加。这是由于MIGS的影响,MIGS增加使金属费米阶能朝着半导体的间隙降低,产生了Schottky能障。本发明人发现这种竞争产生了最优的厚度,如图所示,这里的电阻是最小的。在这厚度中,MIGS的影响足够以减弱,从而解除钉止金属并且降低Schottky能障,并且该薄层仍足够允许有效电流通过分界层。获取的接触电阻近似地小于或等于2500Ω-μm2,1000Ω-μm2,100Ω-μm2,50Ω-μm2,10Ω-μm2或甚至小于或等于1Ω-μm2
可以调整特性来提供期望的能障高,包括使用的钝化材料(例如,基于能带隙的选择),分界层厚度(例如,尤其,其中的分界层是由钝化层和分隔层形成的复合层),形成分界层的方法(例如,控制像温度这样的参数),分界层厚度实质上类似于形成在金属分界层上的MIGS的穿透厚度,源极和/或漏极使用的金属,以及其它特性。
调整具有引入分界层620的Schottky能障高度能力的一个优点是能形成相当高的能障高。例如,使用分界层生成具有能障高的Schottky能障,该能障高大于通过使用金属硅化物所获得的能障高,近似地大于2.0eV,或近似地大于2.5eV(使用具有能带隙的半导体至少在这范围),或使用硅接近1.0eV。这么高的能障高意味着在故障出现前抵抗高电压的能力。因此,具有这么高能障的Schottky能障可以专门用于高电压Schottky二极管。
通过使用分界层620获得的另一个优点是为选择导体630提供更大的灵活性。通常,所选择的应用于经典的Schottky二极管的金属是那些能够形成硅化物的硅半导体。硅化物的形成有助于减弱表面状态(由悬空键产生的),而不是MIGS的影响。因此,在半导体表面的费米阶能仍旧被钉止。因此在制造中,使用金属与硅接触来形成硅化物有助于设备的重复利用,但这样的设备具有固定能障高的缺点。
然而,依据本发明一个实施例,可以选择不能(或不容易)和半导体形成硅化物的导体。金属硅化物不是必需的,因为依据本发明提供的分界层钝化了半导体表面,并且减弱或消除了MIGS的影响。这允许选择具有像所期望功函数或费米阶能量这样属性的金属,即使该金属不能形成金属硅化物。
例如,为了形成高能障二极管,对于n-类型掺杂硅半导体,可以选择具有功函数的金属,该功函数基本上等于半导体价带能或者其中的半导体价带能近似在0.1eV到0.3eV之间。类似地,对于p-类型掺杂硅半导体,可以选择具有功函数的金属,该功函数基本上等于半导体传导带能量。依据本发明配置的Schottky二极管,当分界层放置在结合处时,金属的费米能阶可以存在于半导体带隙的任何地方,产生了各种能障高度的二极管。金属费米能阶也可以存在于半导体或半导体价带中。
因而,分界层620的使用,为调谐,调整,或控制导体和半导体之间的能障高度提供了一种方法。如果没有分界层620,那么能障高度基本上是不可调谐的,不可调整的,并且是固定的(如上所论)。
分界层620起的作用是调谐,调整,或控制导体630和半导体610之间的能障高度,这可以理解为解除钉止半导体费米能阶。也就是,分界层通过绑定半导体材料来减少表面状态消耗的悬空键。另外,分界层通过提供的厚度和带隙,可以减弱半导体中形成的MIGS,从而阻止电波能(金属的)穿过半导体。电波能不可以穿过分界层,以及在和分界层材料状态相关能量的分界层内形成MISG。如所期望的,可以通过选择具有比半导体更宽的能带隙或更有效的分界层材料,从而减少穿过分界层的MIGS的密度和MIGS的厚度。
依据本发明的一个实施例,分界层620和可操作的设备结合,从而在设备运行期间,使电流通过半导体表面和分界层。在这实施例中,希望使用具有单层厚度的分界层,或者,例如厚度在近似0.1nm和0.3nm之间,也可以具有宽的能带隙(与半导体的能带隙比较),从而使分界层即能解除钉止费米能阶(所以能障高度主要依赖于连接材料的大部分属性)又能允许足够的电流穿过。有利地,这样的分界层可以足够小,从而为电流提供低阻抗(由于直接通道的指数依赖于能障厚度通道),这是许多半导体设备所期望的,同时也提供了充分的半导体钝化表面来允许调整能障高度。也就是,分界层可以允许钝化表面状态,并且减弱(或消除)半导体中的MIGS来允许用具有相当薄的层来调整能障高度,该薄层允许足够的电流经过分界层。
有几种方法可以用来调整能障高度。例如,可以通过调整费米能阶钉止程度来调整。换句话说,由于某些实施例中可以允许足够薄的层,因此并没有消除硅中所有MIGS的影响。此外,钉止可以通过分界层的厚度和所选择的界面材料的组合来改变。和分界层连接的金属可以由不同材料中的不同能阶的MIGS来钉止。相反地,或另外地,剩下的不完全钝化允许未钝化状态的有效能阶。完全解除钉止费米能阶(就是,移除包括MIGS硅中的所有表面状态)是另一种选择,在这实例中通过选择具有所期望功函数的纯金属或合金,可以很容易地调整能障高度。在实例中,能障高度通过方程式(1)来确定,这到迄今为止还是不可实现的理想。注意这里讨论的调整类型是在生产期间,通过改变结的结构来调整能障高度,而非在连接操作期间,通过改变外部的应用条件来调整能障高度。
请参阅图7a-图7d所示,其绘示出了各种肖特基能障的费米能量、传导带能量,以及价带能之间的关系,该肖特基能障包括和半导体连接(或紧密接近)的金属,其中,半导体的能带隙(Eg)存在于传导带(Ec)和价带(Ev)之间。在这个例子中,选择近似等于半导体电子亲合力xS的金属ΦM功函数。在图7a中,示出了一个未钝化的肖特基能障700。在这个例子中,金属730的费米能阶(Ef)在半导体710的能带隙中钉止。由于表面带电荷的偶极子,导致了真空能阶的不连续。
在图7b中,分界层720b的厚度足够钝化在半导体710表面悬空键,而不是厚的足够消除或充分减弱MIGS的影响。结果,从前面的实例中可以看出,能带结构在很大程度上没有改变。类似地,在图7c中,当分界层720c的厚度足够消除或减弱MIGS的影响,而不是钝化半导体表面时,可以观察到能带结构中的微小变化。然而,如图7d所示,当分界层720d不仅足够消除或减弱MIGS的影响,而且足够钝化半导体表面时,我们看到金属的费米能阶和半导体传导带对齐(即,半导体的费米能阶被解除钉止,不再和金属的费米能阶对齐)。由于界面上没有带电荷的偶极子,因此当前的真空能阶是连续的。因此,以这种形式构造的设备带结构仅是由多数材料属性产生的,而不是由表面属性产生的。举个例子,在这实例中的材料可以是铝和S硅,铝的功函数近似ΦM=4.1eV,Si的电子亲合力近似xS=4.05eV。
V. 包含钝化半导体表面的晶体管
这里描述的分界层被用来连接场效应晶体管中通道的半导体表面。也就是,分界层可以放置在源极和通道,通道和漏极,或两个绝缘栅场效应晶体管之间。这种分界层的使用已经在由本发明人,同时指定为本发明的代理人,于200提出的未决的美国专利申请NO.:_______,名称为“具有钝化的肖特基能障通道的绝缘栅场效应晶体管”中详细公开。
在场效应晶体管通道中源极和漏极的触点是金属-分界层-半导体这种类型的范例,这构成了本发明。在过去,这样的触点通常是包括硅化物-n+-结,其形成了稍微“渗漏的”肖特基二极管,半导体费米能阶钉止在中间隙。相反地,本发明提供了一个触点,其中金属的费米能阶和半导体的传导带对齐。(例如,如图7d所示)。注意在其它实例中,依赖于所使用的半导体材料和导体的类型,金属的费米能阶和半导体价带能对齐。
虽然两种结面的类型(即,新型的钝化肖特基能障结面和传统的硅化物半导体结面)都允许电流穿过,但是与先前使用的硅化物层的厚度相比较,现在的结面可以用更薄的分界层来制造。的确,小于硅化物厚度数量级的厚度是可期待的。在传统的硅化物-半导体结面内形成的肖特基能障包括损耗层。由这种损耗层表示的通道能障可以是比本发明中的电介质的通道能障更厚的数量级。本发提供的更薄的分界层允许更高的电流通过结面(即,低结面接触电阻率)。
值得指出的是,其它两种电介质的特性,第一个是与半导体传导带(电子的)相比较的能障高度特性。制造中的能障比硅化物能障更薄,交替换位可以是更高的通道能障(例如,氮化物2eV,与近似一半的硅化物的0.6eV间隙比较)。隔离层可以使用更低的能障(例如,TiO2具有小于1eV的能障)。然而,即使电子有更高的能障,发明人确定了电阻仍旧比硅化物能障的硅触点更低一百倍。
第二个特性是在电介质中电子的有效质量。大质量的电子不会远离金属渗透到半导体(即,由于它们的波长较短)。渗透到电介质中的电子越少,在电介质中MIGS的影响就较小。因此,电介质中的MIGS随着能带隙和有效质量的增大而减弱。
另外,本发明的结面可以用来制造注入电位陷的源极或漏极的触点,并且有利于减少高掺杂能阶(达到它们固体溶解度的限度)的需要。在过去为了保持结面损耗层相对的薄,需要高掺杂的剖面,以便于增加通道电流,从而减弱结面电阻。然而,增大掺杂剖面以提供低电阻结面则变得越来越困难。使用本发明,达到同样具有低掺杂浓度的电阻级是可能的。进一步获取更低掺杂浓度的低电阻是可能的。当使用本发明具有的高掺杂级别时,电阻将会进一步减小。
上述具体描述了用于半导体-分界层-金属结面的方法和应用。尽管参考了具体实施例的描述,应当指出对这里所描述的技术进行各种各样的修改和变化,都没有脱离本发明的精神和范围。详细说明和附图都是说明性的,而非严格限制的,并且本发明的保护范围以权利要求为准。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的方法及技术内容作出些许的更动或修饰为等同变化的等效实施例,但凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (61)

1、一种电气设备,其特征在于其包括:
一种金属;
一个具有费米阶能的硅基半导体;以及
一个放置在该金属和该半导体之间并与两者都接触的分界层,配置来解除钉止半导体的费米阶能。
其中,电气设备具有近似地小于或等于1000Ω-μm2的接触电阻率。
2、根据权利要求1所述的电气设备,其特征在于其中的分界层包括一钝化材料。
3、根据权利要求2所述的电气设备,其特征在于其中所述的钝化材料包括氮化物,氟化物,氧化物,氧氮化物,氢化物和/或硅砷化物中的一种或多种。
4、根据权利要求3所述的电气设备,其特征在于其中所述的分界层基本上是由配置用来解除钉止半导体的费米阶能的单层组成。
5、根据权利要求2所述的电气设备,其特征在于其中所述的分界层进一步包括一个分隔层。
6、根据权利要求1所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
7、根据权利要求1所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
8、根据权利要求1所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
9、根据权利要求1所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
10、根据权利要求1所述的电气设备,其特征在于其中所述的分界层包括加热含氮物参与的半导体制成的钝化层。
11、根据权利要求10所述的电气设备,其特征在于其中所述的含氮材料至少包括氨气(NH3),氮气(N2)或游离氮(N)中的一种。
12、一种电气设备,其特征在于其包括金属-分界层-硅基半导体结面,其中该分界层包括钝化材料和电气设备,具有近似地小于1000Ω-μm2的接触电阻率。
13、根据权利要求12所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
14、根据权利要求12所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
15、根据权利要求12所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
16、根据权利要求12所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
17、根据权利要求12所述的电气设备,其特征在于其中所述的钝化材料包括氮化物,氟化物,氧化物,氧氮化物,氢化物和/或硅砷化物中的一种或多种。
18、根据权利要求17所述的电气设备,其特征在于其中所述的分界层包括钝化层和分隔层。
19、一种方法,其特征在于其包括以下步骤:利用放置在半导体和导体表面之间的界面层分界层,在电结面中解除钉止硅基半导体费米阶能,当提供的结有少于近似1000Ω-μm2的接触电阻率时,其中界面层其中该分界层:(i)具有足以减弱半导体中金属诱导间隙效应的厚度,同时提供接触电阻率小于约1000Ω-μm2的结面;并且(ii)钝化半导体的表面。
20、根据权利要求19所述的方法,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
21、根据权利要求19所述的方法,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
22、根据权利要求19所述的方法,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
23、根据权利要求19所述的方法,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
24、根据权利要求19所述的方法,其特征在于其中所述的分界层的厚度足以提供近似地小于或等于1Ω-μm2的电结面的接触电阻率。
25、根据权利要求19所述的方法,其特征在于其中所述的分界层包括从下述列表选择的钝化材料,该列表包括:砷化物,氢化物,氟化物,氧化物,氧氮化物,以及硅氮化物。
26、根据权利要求25所述的方法,其特征在于其中所述的分界层基本上是由单层组成。
27、根据权利要求19所述的方法,其特征在于其中所述的分界层是在约300℃温度以上的半导体表面上生成。
28、根据权利要求27所述的方法,其特征在于其中所述的分界层在含氮物参与下生成。
29、根据权利要求28所述的方法,其特征在于其中所述的含氮材料包括氨气(NH3),氮气(N2)或游离氮(N)中的一种。
30、根据权利要求19所述的方法,其特征在于其中所述的分界层包括将半导体浸入在含有氢和氟离子的液体中生成的钝化层。
31、一种电气设备,其特征在于其包括在硅基半导体和导体之间的结面,该导体由具有厚度的分界层和半导体分隔开,允许导体的费米阶能与半导体传导带结合,其中电气设备具有近似地小于1000Ω-μm2的接触电阻率。
32、根据权利要求31所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
33、根据权利要求31所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
34、根据权利要求31所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
35、根据权利要求31所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
36、一种电气设备,其特征在于其包括在硅基半导体和导体之间的结面,该导体由具有厚度的分界层和半导体分隔开,允许导体的费米阶能与半导体价带结合,其中电气设备具有近似地小于1000Ω-μm2的接触电阻率。
37、根据权利要求36所述的电气设备,其特征在于其中所述的接触电阻率小于或近似等于100Ω-μm2
38、根据权利要求36所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
39、根据权利要求36所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
40、根据权利要求36所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
41、一种电气设备,其特征在于其包括在硅基半导体和导体之间的结面,该导体由具有厚度的分界层和半导体分隔开,允许导体的费米阶能独立于导体的费米阶能,其中电气设备具有近似地小于1000Ω-μm2的接触电阻率。
42、根据权利要求41所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
43、根据权利要求41所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
44、根据权利要求41所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
45、根据权利要求41所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
46、一种电气设备,其特征在于其包括:
一种n-类型或p-类型半导体材料的硅基半导体;
一种金属:如果该半导体是n-类型半导体材料,则该金属具有约等于该半导体导带的功函数,或者,如果该半导体是p-类型半导体材料,则该金属具有约等于该半导体价带的功函数;以及
一个放置在金属和半导体之间,并且与两者都接触的的分界层,
其中电气设备具有近似地小于或等于1000Ω-μm2的接触电阻率。
47、根据权利要求46所述的电气设备,其特征在于其中所述的分界层包括一种钝化材料。
48、根据权利要求47所述的电气设备,其特征在于其中所述的钝化材料包括氮化物,氟化物,氧化物,氧氮化物,氢化物和/或硅砷化物中的一种或多种。
49、根据权利要求48所述的电气设备,其特征在于其中所述的分界层基本上是由配置用来解除钉止半导体费米阶能的单层组成。
50、根据权利要求47所述的电气设备,其特征在于其中所述的分界层进一步包括分隔层。
51、根据权利要求46所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于100Ω-μm2
52、根据权利要求46所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于50Ω-μm2
53、根据权利要求46所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于10Ω-μm2
54、根据权利要求46所述的电气设备,其特征在于其中所述的接触电阻率近似地小于或等于1Ω-μm2
55、根据权利要求46所述的电气设备,其特征在于其中所述的分界层包括在含氮物参与下加热半导体制成的钝化层。
56、根据权利要求55所述的电气设备,其特征在于其中所述的含氮材料包括氨气(NH3),氮气(N2)或游离氮(N)中的至少一种。
57、一种电气设备,其特征在于其包括:
一种n-类型或p-类型半导体材料的硅基半导体;
一种金属:如果该半导体是n-类型半导体材料,则该金属具有约等于该半导体导带沿的功函数,或者,如果该半导体是p-类型半导体材料,则该金属具有约等于该半导体价带沿的功函数;以及
一个放置在金属和半导体之间,并且与两者都接触的的分界层,配置用来解除钉止半导体费米阶能。
58、根据权利要求57所述的电气设备,其特征在于其中所述的分界层包括一个钝化材料。
59、根据权利要求58所述的电气设备,其特征在于其中所述的钝化材料包括氮化物,氟化物,氧化物,氧氮化物,氢化物和/或硅砷化物中的一种或多种。
60、根据权利要求57所述的电气设备,其特征在于其中所述的分界层基本上是由配置用来解除钉止半导体费米阶能的单层组成。
61、根据权利要求57所述的电气设备,其特征在于其中所述的分界层进一步包括分隔层。
CNB03819497XA 2002-08-12 2003-08-08 电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备 Expired - Fee Related CN100530682C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/217,758 US7084423B2 (en) 2002-08-12 2002-08-12 Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US10/217,758 2002-08-12

Publications (2)

Publication Number Publication Date
CN1675774A true CN1675774A (zh) 2005-09-28
CN100530682C CN100530682C (zh) 2009-08-19

Family

ID=31495225

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03819497XA Expired - Fee Related CN100530682C (zh) 2002-08-12 2003-08-08 电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备

Country Status (7)

Country Link
US (18) US7084423B2 (zh)
EP (3) EP3038158B1 (zh)
JP (1) JP4847699B2 (zh)
KR (1) KR101025378B1 (zh)
CN (1) CN100530682C (zh)
AU (1) AU2003255256A1 (zh)
WO (1) WO2004030104A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104170058A (zh) * 2011-11-23 2014-11-26 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7084423B2 (en) * 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US20070262363A1 (en) * 2003-02-28 2007-11-15 Board Of Regents, University Of Texas System Low temperature fabrication of discrete silicon-containing substrates and devices
US20050045961A1 (en) * 2003-08-29 2005-03-03 Barnak John P. Enhanced gate structure
FR2871936B1 (fr) * 2004-06-21 2006-10-06 Commissariat Energie Atomique Procede de metallisation de la surface prealablement passivee d'un materiau semi conducteur et materiau obtenu par ce procede
US7229893B2 (en) * 2004-06-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7598134B2 (en) 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
CN103219465B (zh) * 2006-01-09 2016-02-03 技术研究及发展基金有限公司 晶体管结构及其制造方法
WO2007101120A1 (en) 2006-02-23 2007-09-07 Acorn Technologies, Inc. Method for making semiconductor insulated-gate field-effect transistor having multilayer deposited metal source (s) and/or drain (s)
US7355254B2 (en) * 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
EP2146948A4 (en) 2007-04-13 2010-08-04 Diffusion Pharmaceuticals Llc USE OF BIPOLAR TRANS-CAROTINOIDES AS PRE-TREATMENT AND TREATMENT OF PERIPHERAL VASCULAR DISEASE
US8236638B2 (en) 2007-04-18 2012-08-07 Freescale Semiconductor, Inc. Shallow trench isolation for SOI structures combining sidewall spacer and bottom liner
US7659156B2 (en) * 2007-04-18 2010-02-09 Freescale Semiconductor, Inc. Method to selectively modulate gate work function through selective Ge condensation and high-K dielectric layer
JP2009059996A (ja) * 2007-09-03 2009-03-19 Univ Of Tokyo 半導体装置及びその製造方法
WO2009133500A1 (en) * 2008-04-28 2009-11-05 Nxp B.V. Method of forming a nanocluster-comprising dielectric layer and device comprising such a layer
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US9166004B2 (en) * 2010-12-23 2015-10-20 Intel Corporation Semiconductor device contacts
CN102222687B (zh) * 2011-06-23 2012-12-19 北京大学 一种锗基nmos器件及其制备方法
KR20140089639A (ko) * 2013-01-03 2014-07-16 삼성전자주식회사 가변 저항 메모리 장치 및 그 형성 방법
TWI756657B (zh) * 2013-05-07 2022-03-01 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
TWI692849B (zh) * 2013-05-07 2020-05-01 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
TWI802231B (zh) * 2013-05-07 2023-05-11 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
US9917158B2 (en) * 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
DE102014118874A1 (de) 2014-12-17 2016-06-23 Infineon Technologies Austria Ag Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
US9653570B2 (en) * 2015-02-12 2017-05-16 International Business Machines Corporation Junction interlayer dielectric for reducing leakage current in semiconductor devices
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
KR20190041031A (ko) * 2016-09-14 2019-04-19 어플라이드 머티어리얼스, 인코포레이티드 금속과 반도체 사이의 콘택 형성 방법
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
JP6439774B2 (ja) * 2016-11-21 2018-12-19 トヨタ自動車株式会社 半導体装置の製造方法
CN108573910B (zh) * 2017-03-07 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20190279981A1 (en) * 2018-03-06 2019-09-12 International Business Machines Corporation Vertical transport complimentary metal-oxide-semiconductor with varying threshold voltages
JP7362546B2 (ja) * 2020-05-14 2023-10-17 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
JP7273764B2 (ja) * 2020-08-06 2023-05-15 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
KR102563383B1 (ko) * 2021-03-04 2023-08-03 고려대학교 산학협력단 소스/드레인 금속 접촉 형성 시 발생하는 계면 결함의 특성을 추출하는 계면 결함 추출 장치 및 그 동작 방법
US11626288B2 (en) 2021-07-30 2023-04-11 Applied Materials, Inc. Integrated contact silicide with tunable work functions

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US789388A (en) * 1904-03-09 1905-05-09 Crompton & Knowles Loom Works Brake mechanism for looms.
GB122594A (en) 1918-08-08 1919-01-30 George Knight Letts Improvements relating to Kettles, Saucepans, and the like.
US2981877A (en) 1959-07-30 1961-04-25 Fairchild Semiconductor Semiconductor device-and-lead structure
US3513366A (en) * 1968-08-21 1970-05-19 Motorola Inc High voltage schottky barrier diode
US3623925A (en) 1969-01-10 1971-11-30 Fairchild Camera Instr Co Schottky-barrier diode process and devices
US3590471A (en) 1969-02-04 1971-07-06 Bell Telephone Labor Inc Fabrication of insulated gate field-effect transistors involving ion implantation
JPS5514531B1 (zh) 1969-06-18 1980-04-17
US3708360A (en) 1970-06-09 1973-01-02 Texas Instruments Inc Self-aligned gate field effect transistor with schottky barrier drain and source
US3719797A (en) 1971-12-16 1973-03-06 Bell Telephone Labor Inc Solid state temperature sensor employing a pair of dissimilar schottky-barrier diodes
US3983264A (en) 1972-07-20 1976-09-28 Texas Instruments Incorporated Metal-semiconductor ohmic contacts and methods of fabrication
US3877054A (en) 1973-03-01 1975-04-08 Bell Telephone Labor Inc Semiconductor memory apparatus with a multilayer insulator contacting the semiconductor
US3983574A (en) 1973-06-01 1976-09-28 Raytheon Company Semiconductor devices having surface state control
US4019113A (en) 1974-11-20 1977-04-19 James Keith Hartman Energy conversion device
US4110488A (en) 1976-04-09 1978-08-29 Rca Corporation Method for making schottky barrier diodes
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
US4278830A (en) 1977-09-29 1981-07-14 Nasa Schottky barrier solar cell
US4304042A (en) 1978-11-13 1981-12-08 Xerox Corporation Self-aligned MESFETs having reduced series resistance
DE2926874A1 (de) 1979-07-03 1981-01-22 Siemens Ag Verfahren zum herstellen von niederohmigen, diffundierten bereichen bei der silizium-gate-technologie
US4422090A (en) 1979-07-25 1983-12-20 Northern Telecom Limited Thin film transistors
US4338616A (en) 1980-02-19 1982-07-06 Xerox Corporation Self-aligned Schottky metal semi-conductor field effect transistor with buried source and drain
US4300152A (en) 1980-04-07 1981-11-10 Bell Telephone Laboratories, Incorporated Complementary field-effect transistor integrated circuit device
US4801984A (en) 1980-06-12 1989-01-31 International Business Machines Corporation Semiconductor ohmic contact
JPS5932902B2 (ja) 1980-06-12 1984-08-11 インターナシヨナルビジネス マシーンズ コーポレーシヨン 半導体オ−ミツク接点
US4691219A (en) 1980-07-08 1987-09-01 International Business Machines Corporation Self-aligned polysilicon base contact structure
JPS57113289A (en) 1980-12-30 1982-07-14 Fujitsu Ltd Semiconductor device and its manufacture
JPS584924A (ja) 1981-07-01 1983-01-12 Hitachi Ltd 半導体装置の電極形成方法
US4485550A (en) 1982-07-23 1984-12-04 At&T Bell Laboratories Fabrication of schottky-barrier MOS FETs
US4521800A (en) * 1982-10-15 1985-06-04 Standard Oil Company (Indiana) Multilayer photoelectrodes utilizing exotic materials
US4485265A (en) 1982-11-22 1984-11-27 President And Fellows Of Harvard College Photovoltaic cell
DE3379367D1 (en) 1982-12-08 1989-04-13 Philips Nv A semiconductor device comprising at least one schottkytype rectifier having controllable barrier height
KR910006249B1 (ko) 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
US4696828A (en) 1984-02-17 1987-09-29 Stauffer Chemical Company Passivation of InP by plasma deposited phosphorus
JPH0673375B2 (ja) 1984-03-19 1994-09-14 富士通株式会社 半導体装置の製造方法
US4845050A (en) 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
JPS60239066A (ja) 1984-05-11 1985-11-27 Hitachi Ltd 半導体装置
US4583110A (en) * 1984-06-14 1986-04-15 International Business Machines Corporation Intermetallic semiconductor ohmic contact
US4752815A (en) 1984-06-15 1988-06-21 Gould Inc. Method of fabricating a Schottky barrier field effect transistor
US4538342A (en) 1984-06-15 1985-09-03 At&T Bell Laboratories Forming platinum contacts to in-based group III-V compound devices
US4800415A (en) 1984-09-21 1989-01-24 American Telephone And Telegraph Company, At&T Bell Laboratories Bipolar inversion channel device
JPS61133646A (ja) 1984-12-03 1986-06-20 Toshiba Corp 半導体装置の製造方法
JPS61285762A (ja) * 1985-06-12 1986-12-16 Toshiba Corp 半導体装置およびその製造方法
JPS6261363A (ja) 1985-09-11 1987-03-18 Hitachi Ltd 半導体装置
US4723197A (en) * 1985-12-16 1988-02-02 National Semiconductor Corporation Bonding pad interconnection structure
JPH0624251B2 (ja) 1986-01-08 1994-03-30 富士通株式会社 光半導体装置
US4689869A (en) 1986-04-07 1987-09-01 International Business Machines Corporation Fabrication of insulated gate gallium arsenide FET with self-aligned source/drain and submicron channel length
US4794444A (en) 1986-04-10 1988-12-27 General Electric Company Ohmic contact and method for making same
US4772934A (en) 1986-06-06 1988-09-20 American Telephone And Telegraph Company, At&T Bell Laboratories Delta-doped ohmic metal to semiconductor contacts
US4843450A (en) * 1986-06-16 1989-06-27 International Business Machines Corporation Compound semiconductor interface control
US5021365A (en) 1986-06-16 1991-06-04 International Business Machines Corporation Compound semiconductor interface control using cationic ingredient oxide to prevent fermi level pinning
US5098859A (en) * 1986-06-19 1992-03-24 International Business Machines Corporation Method for forming distributed barrier compound semiconductor contacts
EP0272303A1 (en) 1986-06-24 1988-06-29 AT&T Corp. Method for fabricating devices in iii-v semiconductor substrates and devices formed thereby
JPS63157466A (ja) 1986-12-20 1988-06-30 Fujitsu Ltd シヨツトキ−バリアダイオ−ド
JPH0728025B2 (ja) 1987-01-16 1995-03-29 日本電信電話株式会社 半導体装置
US4960732A (en) 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPH065737B2 (ja) 1987-03-12 1994-01-19 日本電気株式会社 シヨツトキ−接合構造
US4796082A (en) 1987-03-16 1989-01-03 International Business Machines Corporation Thermally stable ohmic contact for gallium-arsenide
KR920006875B1 (ko) 1987-03-18 1992-08-21 후지쓰 가부시끼가이샤 비합금 오옴 콘택트들을 가지고 있는 화합물 반도체 장치
GB2204066A (en) 1987-04-06 1988-11-02 Philips Electronic Associated A method for manufacturing a semiconductor device having a layered structure
US4835580A (en) 1987-04-30 1989-05-30 Texas Instruments Incorporated Schottky barrier diode and method
KR920002350B1 (ko) 1987-05-21 1992-03-21 마쯔시다덴기산교 가부시기가이샤 반도체장치의 제조방법
US4811077A (en) 1987-06-18 1989-03-07 International Business Machines Corporation Compound semiconductor surface termination
US5021840A (en) 1987-08-18 1991-06-04 Texas Instruments Incorporated Schottky or PN diode with composite sidewall
US5093280A (en) 1987-10-13 1992-03-03 Northrop Corporation Refractory metal ohmic contacts and method
US4914500A (en) 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US5027166A (en) * 1987-12-04 1991-06-25 Sanken Electric Co., Ltd. High voltage, high speed Schottky semiconductor device and method of fabrication
JPH01186672A (ja) 1988-01-14 1989-07-26 Nec Corp ショットキー接合構造
JP2678017B2 (ja) * 1988-06-13 1997-11-17 シャープ株式会社 アクティブマトリクス表示装置
US5086321A (en) 1988-06-15 1992-02-04 International Business Machines Corporation Unpinned oxide-compound semiconductor structures and method of forming same
US4987095A (en) 1988-06-15 1991-01-22 International Business Machines Corp. Method of making unpinned oxide-compound semiconductor structures
US4999685A (en) 1989-05-16 1991-03-12 United States Of America As Represented By The Secretary Of The Air Force Schotiky barrier height for metal contacts to III-V semiconductor compounds
JPH0329355A (ja) 1989-06-26 1991-02-07 Sanyo Electric Co Ltd 半導体装置
US5801444A (en) * 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
US5013685A (en) 1989-11-02 1991-05-07 At&T Bell Laboratories Method of making a non-alloyed ohmic contact to III-V semiconductors-on-silicon
JPH03191518A (ja) 1989-12-20 1991-08-21 Nec Corp 半導体装置およびその製造方法
JP2513055B2 (ja) 1990-02-14 1996-07-03 日本電装株式会社 半導体装置の製造方法
JPH03274735A (ja) 1990-03-26 1991-12-05 Hitachi Ltd ショットキー電極を有する半導体装置の製造方法
US5019530A (en) 1990-04-20 1991-05-28 International Business Machines Corporation Method of making metal-insulator-metal junction structures with adjustable barrier heights
US5045502A (en) 1990-05-10 1991-09-03 Bell Communications Research, Inc. PdIn ohmic contact to GaAs
DE69122171T2 (de) 1990-05-24 1997-01-30 Sumitomo Electric Industries Ohmische Kontaktelektroden für N-Typ halbleitendes kubisches Bor-Nitrat
DE69111929T2 (de) 1990-07-09 1996-03-28 Sony Corp Halbleiteranordnung auf einem dielektrischen isolierten Substrat.
GB9015871D0 (en) 1990-07-19 1990-09-05 Secr Defence Ohmic contact for p-type gaas
KR970009120B1 (en) 1990-07-27 1997-06-05 Samsung Electronics Co Ltd Printing control method for a video color printer
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JPH04199628A (ja) 1990-11-29 1992-07-20 Seiko Epson Corp 半導体装置の製造方法
US5238872A (en) 1990-12-11 1993-08-24 Samsung Semiconductor, Inc. Barrier metal contact architecture
JP2794678B2 (ja) 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH04284668A (ja) 1991-03-14 1992-10-09 Fujitsu Ltd 半導体装置及びその製造方法
JP2756886B2 (ja) 1991-08-30 1998-05-25 三菱電機株式会社 半導体装置およびその製造方法
US5216282A (en) 1991-10-29 1993-06-01 International Business Machines Corporation Self-aligned contact studs for semiconductor structures
AU3226793A (en) 1991-11-26 1993-06-28 Materials Research Corporation Method of modifying contact resistance in semiconductor devices and articles produced thereby
JPH05206054A (ja) * 1992-01-29 1993-08-13 Nec Corp Alコンタクト構造およびその製造方法
US5231306A (en) 1992-01-31 1993-07-27 Micron Technology, Inc. Titanium/aluminum/nitrogen material for semiconductor devices
US5216262A (en) * 1992-03-02 1993-06-01 Raphael Tsu Quantum well structures useful for semiconductor devices
JP3152739B2 (ja) 1992-05-19 2001-04-03 株式会社日立製作所 半導体集積回路装置の製造方法
JP3208599B2 (ja) 1992-05-28 2001-09-17 ソニー株式会社 接続孔埋め込み形成方法
US5294834A (en) 1992-06-01 1994-03-15 Sverdrup Technology, Inc. Low resistance contacts for shallow junction semiconductors
JPH0653165A (ja) 1992-07-28 1994-02-25 Sony Corp メタルプラグの形成方法
US5292677A (en) 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
KR950010873B1 (ko) 1993-02-27 1995-09-25 삼성전자주식회사 반도체장치의 제조방법
KR960008558B1 (en) 1993-03-02 1996-06-28 Samsung Electronics Co Ltd Low resistance contact structure and manufacturing method of high integrated semiconductor device
US5306386A (en) 1993-04-06 1994-04-26 Hughes Aircraft Company Arsenic passivation for epitaxial deposition of ternary chalcogenide semiconductor films onto silicon substrates
US5480829A (en) 1993-06-25 1996-01-02 Motorola, Inc. Method of making a III-V complementary heterostructure device with compatible non-gold ohmic contacts
TW295703B (zh) * 1993-06-25 1997-01-11 Handotai Energy Kenkyusho Kk
JP3688727B2 (ja) 1993-08-20 2005-08-31 財団法人国際科学振興財団 半導体装置の製造方法
US5592736A (en) 1993-09-03 1997-01-14 Micron Technology, Inc. Fabricating an interconnect for testing unpackaged semiconductor dice having raised bond pads
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5438210A (en) 1993-10-22 1995-08-01 Worley; Eugene R. Optical isolation connections using integrated circuit techniques
US5358574A (en) 1993-11-22 1994-10-25 Midwest Research Institute Dry texturing of solar cells
JPH07183493A (ja) 1993-12-24 1995-07-21 Mitsubishi Electric Corp 半導体装置
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JPH07235672A (ja) 1994-02-21 1995-09-05 Mitsubishi Electric Corp 絶縁ゲート型半導体装置およびその製造方法
JP3294041B2 (ja) 1994-02-21 2002-06-17 株式会社東芝 半導体装置
DE4405716C2 (de) 1994-02-23 1996-10-31 Telefunken Microelectron Verfahren zur Herstellung von ohmschen Kontakten für Verbindungshalbleiter
US5962883A (en) 1994-03-23 1999-10-05 Lucent Technologies Inc. Article comprising an oxide layer on a GaAs-based semiconductor body
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5624868A (en) 1994-04-15 1997-04-29 Micron Technology, Inc. Techniques for improving adhesion of silicon dioxide to titanium
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5478765A (en) 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
US5663584A (en) 1994-05-31 1997-09-02 Welch; James D. Schottky barrier MOSFET systems and fabrication thereof
US5442200A (en) 1994-06-03 1995-08-15 Advanced Technology Materials, Inc. Low resistance, stable ohmic contacts to silcon carbide, and method of making the same
JPH11307633A (ja) 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
JPH0864800A (ja) 1994-08-25 1996-03-08 Hitachi Ltd 炭化珪素半導体素子
JP2630279B2 (ja) 1994-10-12 1997-07-16 日本電気株式会社 ショットキー型光検出器およびその駆動方法
JP2938351B2 (ja) 1994-10-18 1999-08-23 株式会社フロンテック 電界効果トランジスタ
KR0148597B1 (ko) 1994-11-23 1998-10-15 정선종 왜곡 성장층을 이용한 금속/반도체 접합 쇼트키 다이오드 광소자
JP3198453B2 (ja) 1994-12-15 2001-08-13 シャープ株式会社 半導体装置及びその製造方法
US5689125A (en) 1995-06-12 1997-11-18 The United States Of America As Represented By The Secretary Of The Air Force Cadmium sulfide interface layers for improving III-V semiconductor device performance and characteristics
US5534449A (en) 1995-07-17 1996-07-09 Micron Technology, Inc. Methods of forming complementary metal oxide semiconductor (CMOS) integrated circuitry
EP0757393A3 (en) 1995-08-02 1999-11-03 Matsushita Electric Industrial Co., Ltd. Semiconductor light emitting element and method for fabricating the same
JP2995284B2 (ja) * 1995-08-25 1999-12-27 工業技術院長 電極作成方法
JPH0964336A (ja) 1995-08-25 1997-03-07 Advantest Corp 原子層ドーピングによる半導体のオーム性電極構造及びその形成方法
KR970030333A (ko) 1995-11-03 1997-06-26 김주용 반도체소자의 도전 배선 콘택 제조방법
KR100197653B1 (ko) 1995-12-15 1999-06-15 김영환 반도체 소자의 콘택 형성방법
GB9525784D0 (en) 1995-12-16 1996-02-14 Philips Electronics Nv Hot carrier transistors and their manufacture
FR2742924B1 (fr) 1995-12-22 1998-03-20 Jorge Luis Regolini Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede
SG46751A1 (en) 1996-01-11 1998-02-20 Taiwan Semiconductor Mfg A modified tungsten-plug contact process
SE9600199D0 (sv) 1996-01-19 1996-01-19 Abb Research Ltd A semiconductor device with a low resistance ohmic contact between a metal layer and a SiC-layer
TW367528B (en) 1996-02-02 1999-08-21 Applied Materials Inc Titanium aluminide wetting layer for aluminum contacts
JPH09289178A (ja) 1996-02-22 1997-11-04 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6388272B1 (en) 1996-03-07 2002-05-14 Caldus Semiconductor, Inc. W/WC/TAC ohmic and rectifying contacts on SiC
US5929523A (en) 1996-03-07 1999-07-27 3C Semiconductor Corporation Os rectifying Schottky and ohmic junction and W/WC/TiC ohmic contacts on SiC
US5654234A (en) 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5612567A (en) 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
FR2749977B1 (fr) 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
JPH1027847A (ja) 1996-07-09 1998-01-27 Mitsubishi Electric Corp 集積化半導体素子
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
US5869364A (en) 1996-07-22 1999-02-09 The United States Of America As Represented By The Secretary Of The Air Force Single layer integrated metal process for metal semiconductor field effect transistor (MESFET)
US5763923A (en) 1996-08-13 1998-06-09 Micron Technology, Inc. Compound PVD target material for semiconductor metallization
TW333713B (en) 1996-08-20 1998-06-11 Toshiba Co Ltd The semiconductor device and its producing method
US5888891A (en) 1996-08-23 1999-03-30 International Rectifier Corporation Process for manufacturing a schottky diode with enhanced barrier height and high thermal stability
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
KR100495543B1 (ko) 1996-09-17 2005-09-08 마츠시타 덴끼 산교 가부시키가이샤 반도체장치및그제조방법
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5789312A (en) 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US5897331A (en) 1996-11-08 1999-04-27 Midwest Research Institute High efficiency low cost thin film silicon solar cell design and method for making
JPH10163468A (ja) * 1996-12-03 1998-06-19 Kagaku Gijutsu Shinko Jigyodan 膜状複合構造体
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH10209569A (ja) 1997-01-16 1998-08-07 Hewlett Packard Co <Hp> p型窒化物半導体装置とその製造方法
JP3060976B2 (ja) 1997-01-21 2000-07-10 日本電気株式会社 Mosfetおよびその製造方法
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US6130503A (en) 1997-03-04 2000-10-10 Pioneer Electronic Corporation Electron emission device and display using the same
JPH10335265A (ja) 1997-05-27 1998-12-18 Sony Corp 半導体装置の製造方法
JPH10335637A (ja) 1997-05-30 1998-12-18 Sony Corp ヘテロ接合電界効果トランジスタ
US6222267B1 (en) 1997-06-17 2001-04-24 Yamaha Corporation Semiconductor device and manufacturing thereof
JP3191728B2 (ja) 1997-06-23 2001-07-23 日本電気株式会社 半導体装置及びその製造方法
JPH1126713A (ja) 1997-06-30 1999-01-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
DE19729396A1 (de) 1997-07-09 1999-01-14 Siemens Ag Elektrischer Kontakt für ein II-VI-Halbleiterbauelement und Verfahren zum Herstellen des elektrischen Kontaktes
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP3457511B2 (ja) 1997-07-30 2003-10-20 株式会社東芝 半導体装置及びその製造方法
KR19990025041A (ko) 1997-09-10 1999-04-06 김영환 반도체장치의 캐패시터 제조방법
JPH11150084A (ja) 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US6462931B1 (en) * 1997-10-23 2002-10-08 Texas Instruments Incorporated High-dielectric constant capacitor and memory
JP4067159B2 (ja) 1997-12-01 2008-03-26 新電元工業株式会社 半導体装置および半導体装置の製造方法
US6207976B1 (en) 1997-12-17 2001-03-27 Fujitsu Limited Semiconductor device with ohmic contacts on compound semiconductor and manufacture thereof
KR100290467B1 (ko) 1997-12-31 2001-08-07 박종섭 반도체소자의확산방지막형성방법
US6268233B1 (en) 1998-01-26 2001-07-31 Canon Kabushiki Kaisha Photovoltaic device
JP3855019B2 (ja) 1998-02-10 2006-12-06 独立行政法人 日本原子力研究開発機構 金属、酸化膜及び炭化珪素半導体からなる積層構造体
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6303942B1 (en) 1998-03-17 2001-10-16 Farmer, Ii Kenneth Rudolph Multi-layer charge injection barrier and uses thereof
US6303969B1 (en) 1998-05-01 2001-10-16 Allen Tan Schottky diode with dielectric trench
KR100261170B1 (ko) 1998-05-06 2000-07-01 김영환 반도체소자 및 그 제조방법
JP3436132B2 (ja) 1998-05-13 2003-08-11 セイコーエプソン株式会社 半導体装置
JP3699823B2 (ja) 1998-05-19 2005-09-28 株式会社東芝 半導体装置
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6657300B2 (en) 1998-06-05 2003-12-02 Lumileds Lighting U.S., Llc Formation of ohmic contacts in III-nitride light emitting devices
JP3175696B2 (ja) 1998-06-10 2001-06-11 日本電気株式会社 半導体装置の製造方法
US6017790A (en) 1998-07-06 2000-01-25 United Microelectronics Corp. Method of manufacturing embedded dynamic random access memory
US6071783A (en) 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6972436B2 (en) 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
DE59914269D1 (de) 1998-09-02 2007-05-03 Siced Elect Dev Gmbh & Co Kg Halbleitervorrichtung mit ohmscher kontaktierung und verfahren zur ohmschen kontaktierung einer halbleitervorrichtung
US6555455B1 (en) 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
JP3361062B2 (ja) 1998-09-17 2003-01-07 株式会社東芝 半導体装置
JP2000101064A (ja) 1998-09-25 2000-04-07 Sanyo Electric Co Ltd 電極、SiCの電極及びSiCデバイス
JP3606095B2 (ja) 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
KR100434534B1 (ko) 1998-10-13 2004-07-16 삼성전자주식회사 쇼트키 터널 장벽을 이용한 단일 전자 트랜지스터 및 그 제조방법
JP3528665B2 (ja) 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
KR20000027908A (ko) 1998-10-29 2000-05-15 로버트 에이치. 씨. 챠오 임베디드 디램의 제조 방법
JP3381690B2 (ja) 1998-12-02 2003-03-04 日本電気株式会社 電界効果トランジスタおよびその製造方法
JP4228250B2 (ja) 1998-12-02 2009-02-25 富士通株式会社 化合物半導体装置
JP4540142B2 (ja) 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2000216241A (ja) 1999-01-20 2000-08-04 Applied Materials Inc 半導体装置の製造方法
US6737716B1 (en) 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP3223903B2 (ja) 1999-02-17 2001-10-29 日本電気株式会社 電界効果トランジスタ及びその製造方法
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6396191B1 (en) 1999-03-11 2002-05-28 Eneco, Inc. Thermal diode for energy conversion
US6459095B1 (en) 1999-03-29 2002-10-01 Hewlett-Packard Company Chemically synthesized and assembled electronics devices
JP3934822B2 (ja) 1999-04-13 2007-06-20 新日本無線株式会社 ショットキーダイオードおよびその製造方法
US6724088B1 (en) 1999-04-20 2004-04-20 International Business Machines Corporation Quantum conductive barrier for contact to shallow diffusion region
US6117781A (en) 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
US6198113B1 (en) 1999-04-22 2001-03-06 Acorn Technologies, Inc. Electrostatically operated tunneling transistor
US6287946B1 (en) 1999-05-05 2001-09-11 Hrl Laboratories, Llc Fabrication of low resistance, non-alloyed, ohmic contacts to InP using non-stoichiometric InP layers
FR2793602B1 (fr) 1999-05-12 2001-08-03 Univ Claude Bernard Lyon Procede et dispositif pour extraire des electrons dans le vide et cathodes d'emission pour un tel dispositif
US6293137B1 (en) * 2000-05-04 2001-09-25 National Science Council Hydrogen sensor
JP2000349285A (ja) 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6344673B1 (en) 1999-07-01 2002-02-05 International Business Machines Corporation Multilayered quantum conducting barrier structures
US6261932B1 (en) 1999-07-29 2001-07-17 Fairchild Semiconductor Corp. Method of fabricating Schottky diode and related structure
US20030132452A1 (en) 1999-09-20 2003-07-17 Masatada Boriuchi Semiconductor device and method of producing the same
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP3329380B2 (ja) * 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US6284557B1 (en) 1999-10-12 2001-09-04 Taiwan Semiconductor Manufacturing Company Optical sensor by using tunneling diode
IL149220A0 (en) 1999-10-20 2002-11-10 Neokismet Llc Solid state surface catalysis reactor
US6373076B1 (en) 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US20030235936A1 (en) 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
US6228732B1 (en) * 1999-12-22 2001-05-08 Sony Corporation Tunnel nitride for improved polysilicon emitter
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6509254B1 (en) 2000-01-20 2003-01-21 Matsushita Electric Industrial Co., Ltd. Method of forming electrode structure and method of fabricating semiconductor device
FR2805395B1 (fr) 2000-02-23 2002-05-10 Centre Nat Rech Scient Transistor mos pour circuits a haute densite d'integration
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
TW497120B (en) 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
JP4751498B2 (ja) 2000-03-30 2011-08-17 富士通株式会社 半導体三端子装置
US6509586B2 (en) 2000-03-31 2003-01-21 Fujitsu Limited Semiconductor device, method for fabricating the semiconductor device and semiconductor integrated circuit
JP2001298186A (ja) 2000-04-14 2001-10-26 Hitachi Ltd 半導体装置およびその製造方法
US6326294B1 (en) 2000-04-27 2001-12-04 Kwangju Institute Of Science And Technology Method of fabricating an ohmic metal electrode for use in nitride compound semiconductor devices
US6686616B1 (en) 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US8346580B2 (en) * 2000-06-09 2013-01-01 Flash Seats, Llc System and method for managing transfer of ownership rights to access to a venue and allowing access to the venue to patron with the ownership right
US6380021B1 (en) * 2000-06-20 2002-04-30 Taiwan Semiconductor Manufacturing Company Ultra-shallow junction formation by novel process sequence for PMOSFET
US6444516B1 (en) 2000-07-07 2002-09-03 International Business Machines Corporation Semi-insulating diffusion barrier for low-resistivity gate conductors
JP3833903B2 (ja) 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
JP3563032B2 (ja) 2000-12-18 2004-09-08 株式会社東芝 半導体装置及びその製造方法
JP3305301B2 (ja) 2000-08-02 2002-07-22 松下電器産業株式会社 電極構造体の形成方法及び半導体装置の製造方法
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US7082838B2 (en) 2000-08-31 2006-08-01 Tdk Corporation Extraordinary piezoconductance in inhomogeneous semiconductors
JP4179492B2 (ja) 2000-09-01 2008-11-12 日産自動車株式会社 オーミック電極構造体、その製造方法、及びオーミック電極を用いた半導体装置
US6563151B1 (en) 2000-09-05 2003-05-13 Samsung Electronics Co., Ltd. Field effect transistors having gate and sub-gate electrodes that utilize different work function materials and methods of forming same
JP4671314B2 (ja) 2000-09-18 2011-04-13 独立行政法人産業技術総合研究所 オーミック電極構造体の製造方法、接合型fet又は接合型sitのオーミック電極構造体の製造方法、及び半導体装置の製造方法
FR2814856B1 (fr) 2000-10-03 2003-07-11 St Microelectronics Sa Procede de realisation d'un contact sur un varbure de silicium
US6599644B1 (en) 2000-10-06 2003-07-29 Foundation For Research & Technology-Hellas Method of making an ohmic contact to p-type silicon carbide, comprising titanium carbide and nickel silicide
US7132309B2 (en) 2003-04-22 2006-11-07 Chien-Min Sung Semiconductor-on-diamond devices and methods of forming
JP4058231B2 (ja) 2000-11-13 2008-03-05 株式会社東芝 半導体装置の製造方法
WO2002043109A2 (de) * 2000-11-21 2002-05-30 Infineon Technologies Ag Verfahren zum herstellen eines planaren feldeffekttransistors und planarer feldeffekttransistor
US6646302B2 (en) 2000-11-21 2003-11-11 Cornell Research Foundation, Inc. Embedded metal nanocrystals
JP3992439B2 (ja) 2001-01-16 2007-10-17 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2002217425A (ja) 2001-01-16 2002-08-02 Sanken Electric Co Ltd 半導体装置用電極、半導体装置及びその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7945592B2 (en) 2001-03-20 2011-05-17 Verizon Business Global Llc XML based transaction detail records
JP2002359437A (ja) 2001-03-29 2002-12-13 Toshiba Electronic Engineering Corp 光半導体素子および光半導体素子の製造方法
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6423604B1 (en) * 2001-05-01 2002-07-23 Advanced Micro Devices, Inc. Determination of thermal resistance for field effect transistor formed in SOI technology
US6563185B2 (en) * 2001-05-21 2003-05-13 The Regents Of The University Of Colorado High speed electron tunneling device and applications
KR100403313B1 (ko) * 2001-05-22 2003-10-30 주식회사 하이닉스반도체 바이폴라 접합 트랜지스터를 이용한 마그네틱 램 및 그형성방법
US6580141B2 (en) 2001-06-01 2003-06-17 General Semiconductor, Inc. Trench schottky rectifier
US7291884B2 (en) 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
JP2003092271A (ja) 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
US6947392B2 (en) 2001-07-16 2005-09-20 International Business Machines Corporation Methods and arrangements for building a subsource address multicast distribution tree using traced routes
US20030015707A1 (en) 2001-07-17 2003-01-23 Motorola, Inc. Integrated radio frequency , optical, photonic, analog and digital functions in a semiconductor structure and method for fabricating semiconductor structure utilizing the formation of a compliant substrate for materials used to form the same
GB0118000D0 (en) 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Manufacture of semiconductor devices with schottky barriers
US20030020069A1 (en) 2001-07-25 2003-01-30 Motorola, Inc. Structure and method for optimizing transmission media through dielectric layering and doping in semiconductor structures and devices utilizing the formation of a compliant substrate
US6605832B2 (en) 2001-07-31 2003-08-12 Xerox Corporation Semiconductor structures having reduced contact resistance
DE10138981B4 (de) 2001-08-08 2005-09-08 Infineon Technologies Ag Verfahren zur Bildung von Siliziumoxid durch elektrochemische Oxidation eines Halbleiter-Substrats mit Vertiefungen
WO2003036697A2 (en) * 2001-10-22 2003-05-01 Yale University Methods of hyperdoping semiconductor materials and hyperdoped semiconductor materials and devices
US20030098489A1 (en) 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6511905B1 (en) 2002-01-04 2003-01-28 Promos Technologies Inc. Semiconductor device with Si-Ge layer-containing low resistance, tunable contact
JP2003332582A (ja) 2002-05-13 2003-11-21 Toshiba Corp 半導体装置及びその製造方法
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
JP4643884B2 (ja) 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6943575B2 (en) 2002-07-29 2005-09-13 Micron Technology, Inc. Method, circuit and system for determining burn-in reliability from wafer level burn-in
US20040029087A1 (en) 2002-08-08 2004-02-12 Rodney White System and method for training and managing gaming personnel
US7084423B2 (en) * 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
JP4238041B2 (ja) 2003-02-06 2009-03-11 アドバンスト ダイシング テクノロジース リミテッド ダイシング装置、ダイシング方法及び半導体装置の製造方法
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7358196B2 (en) 2005-02-07 2008-04-15 Applied Materials, Inc. Wet chemical treatment to form a thin oxide for high k gate dielectrics
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102227001B (zh) * 2011-06-23 2013-03-06 北京大学 一种锗基nmos器件及其制备方法
US9224610B2 (en) * 2013-06-28 2015-12-29 GlobalFoundries, Inc. Integrated circuits having improved high-K dielectric layers and methods for fabrication of same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104170058A (zh) * 2011-11-23 2014-11-26 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
CN104170058B (zh) * 2011-11-23 2017-08-08 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
CN107578994A (zh) * 2011-11-23 2018-01-12 阿科恩科技公司 通过插入界面原子单层改进与 iv 族半导体的金属接触
CN107578994B (zh) * 2011-11-23 2020-10-30 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触

Also Published As

Publication number Publication date
US11056569B2 (en) 2021-07-06
US9209261B2 (en) 2015-12-08
EP3043385B1 (en) 2020-06-17
US9905691B2 (en) 2018-02-27
AU2003255256A1 (en) 2004-04-19
US20120280294A1 (en) 2012-11-08
CN100530682C (zh) 2009-08-19
JP4847699B2 (ja) 2011-12-28
US20200273960A1 (en) 2020-08-27
US8431469B2 (en) 2013-04-30
US20040026687A1 (en) 2004-02-12
US11355613B2 (en) 2022-06-07
EP3038158B1 (en) 2020-06-24
US7462860B2 (en) 2008-12-09
US11018237B2 (en) 2021-05-25
US20160372564A1 (en) 2016-12-22
WO2004030104A1 (en) 2004-04-08
US7884003B2 (en) 2011-02-08
KR101025378B1 (ko) 2011-03-30
US9812542B2 (en) 2017-11-07
US20190334006A1 (en) 2019-10-31
US20160172491A1 (en) 2016-06-16
US20090104770A1 (en) 2009-04-23
US20210305392A1 (en) 2021-09-30
US20180033862A1 (en) 2018-02-01
EP1543561B1 (en) 2017-04-05
US10388748B2 (en) 2019-08-20
EP3043385A1 (en) 2016-07-13
US20130119446A1 (en) 2013-05-16
US8766336B2 (en) 2014-07-01
US9425277B2 (en) 2016-08-23
US7084423B2 (en) 2006-08-01
US20200273961A1 (en) 2020-08-27
US20050247956A1 (en) 2005-11-10
US20200243662A1 (en) 2020-07-30
US20110169124A1 (en) 2011-07-14
US9461167B2 (en) 2016-10-04
US10090395B2 (en) 2018-10-02
US20150287800A1 (en) 2015-10-08
US10950707B2 (en) 2021-03-16
KR20050056969A (ko) 2005-06-16
EP3038158A1 (en) 2016-06-29
EP1543561A1 (en) 2005-06-22
US20160172492A1 (en) 2016-06-16
US10186592B2 (en) 2019-01-22
US10937880B2 (en) 2021-03-02
US20180166552A1 (en) 2018-06-14
JP2005536070A (ja) 2005-11-24
US20180269298A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
CN1675774A (zh) 电气结面中解除钉止半导体费米能阶的方法及结合该结面的设备
US7176483B2 (en) Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US10297456B2 (en) Dielectric structures for nitride semiconductor devices
CN110349851A (zh) 半导体结构及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

CF01 Termination of patent right due to non-payment of annual fee