CN110349851A - 半导体结构及其制造方法 - Google Patents

半导体结构及其制造方法 Download PDF

Info

Publication number
CN110349851A
CN110349851A CN201810304571.2A CN201810304571A CN110349851A CN 110349851 A CN110349851 A CN 110349851A CN 201810304571 A CN201810304571 A CN 201810304571A CN 110349851 A CN110349851 A CN 110349851A
Authority
CN
China
Prior art keywords
layer
work
function
function layer
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810304571.2A
Other languages
English (en)
Other versions
CN110349851B (zh
Inventor
涂火金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201810304571.2A priority Critical patent/CN110349851B/zh
Publication of CN110349851A publication Critical patent/CN110349851A/zh
Application granted granted Critical
Publication of CN110349851B publication Critical patent/CN110349851B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种半导体结构及其制造方法,所述制造方法包括:提供基底;在所述基底上形成功函数层;对所述功函数层进行氧化处理形成氧化层;在所述氧化层上形成电极层。本发明在基底上形成功函数层后,对所述功函数层进行氧化处理形成氧化层,由于氧具有较高的电负性,因此与所述功函数层相比,经氧化处理所形成的氧化层具有更高的有效功函数,而且,对所述功函数层进行氧化处理后,所形成的氧化层为非晶态结构,所述氧化层能够对电极层中的金属原子起到阻挡作用,降低所述金属原子扩散至所述功函数层中的概率,从而减小对PMOS晶体管的有效功函数的影响;综上,通过所述氧化层,能够增加PMOS晶体管的有效功函数,以满足PMOS晶体管功函数的要求。

Description

半导体结构及其制造方法
技术领域
本发明涉及半导体领域,尤其涉及一种半导体结构及其制造方法。
背景技术
互补性金属氧化物半导体(Complementary Metal Oxide Semiconductor, CMOS)晶体管是构成集成电路的基本半导体器件之一。所述互补型金属氧化物半导体晶体管包括:P型金属氧化物半导体(PMOS)晶体管和N型金属氧化物半导体(NMOS)晶体管。
为了调节PMOS晶体管和NMOS晶体管的阈值电压,现有技术分别在PMOS晶体管和NMOS晶体管的栅介质层上形成对应的功函数层。其中PMOS 晶体管的功函数层需要较高的功函数,而NMOS晶体管的功函数层需要较低的功函数。PMOS晶体管和NMOS晶体管中功函数层的材料不同,以满足各自功函数调节的需要。
然而,现有技术形成的PMOS晶体管功函数比较低,难以满足PMOS晶体管功函数的要求。
发明内容
本发明解决的技术问题是提供一种半导体结构及其制造方法,以增加 PMOS晶体管的有效功函数。
为了解决所述技术问题,本发明提供一种半导体结构的制造方法,包括:提供基底;在所述基底上形成功函数层;对所述功函数层进行氧化处理形成氧化层;在所述氧化层上形成电极层。
可选地,在所述基底上形成功函数层的步骤包括:在真空腔内采用第一温度形成所述功函数层;对所述功函数层进行氧化处理形成氧化层的步骤包括:向所述真空腔内通入氧气,采用第二温度形成氧化层,所述第二温度大于所述第一温度。
可选地,所述第二温度在100℃到600℃的范围内。
可选地,所述第一温度在0℃到350℃的范围内,所述第二温度在450℃到 500℃的范围内。
可选地,向所述真空腔内通入氧气的过程中,氧气流量在10sccm到50slm 的范围内。
可选地,向所述真空腔内通入氧气的过程中,通入氧气的时间在30秒到 10分钟的范围内。
可选地,所述功函数层的材料为TiN,所述氧化层的材料为TiON。
可选地,对所述功函数层进行氧化处理形成氧化层的步骤包括:在氧气氛围下对所述功函数层进行退火处理;或者,采用氧等离子体对所述功函数层进行氧化处理。
可选地,在所述基底上形成功函数层的步骤包括:所述功函数层的厚度在的范围内。
可选地,所述氧化层的厚度在的范围内。
可选地,所述电极层为金属层。
可选地,所述电极层的材料为Al。
相应地,本发明还提供一种半导体结构,包括:基底;位于所述基底上的功函数层;位于所述功函数层上的氧化层;位于所述氧化层上的电极层。
可选地,所述功函数层的材料为TiN,所述氧化层的材料为TiON。
可选地,所述电极层为金属层。
可选地,所述电极层的材料为Al。
可选地,所述功函数层的厚度在的范围内。
可选地,所述氧化层的厚度在的范围内。
与现有技术相比,本发明的技术方案具有以下有益效果:
本发明在基底上形成功函数层后,对所述功函数层进行氧化处理形成氧化层,由于氧具有较高的电负性,因此与所述功函数层相比,经氧化处理所形成的氧化层具有更高的有效功函数(Effective Work Function,EWF),而且,对所述功函数层进行氧化处理后,所形成的氧化层为非晶态结构,所述氧化层能够对电极层中的金属原子起到阻挡作用,降低所述金属原子扩散至所述功函数层中的概率,从而减小对PMOS晶体管有效功函数的影响;综上,通过所述氧化层,能够增加PMOS晶体管的有效功函数,以满足PMOS晶体管功函数的要求。
附图说明
图1是一种测试叠层结构的示意图;
图2是对图1所示测试叠层结构进行能量色散X射线谱分析获得的元素含量分布图;
图3是图1所示测试叠层结构的透射电子显微镜电镜图;
图4是图1中功函数层的扫描电子显微镜电镜图;
图5至图11是本发明半导体结构的制造方法一实施例的示意图。
具体实施方式
由背景技术可知,目前PMOS晶体管功函数比较低,难以满足PMOS晶体管功函数的要求。结合参考图1所示测试叠层结构,分析目前PMOS功函数低的原因。
参考图1,示出了一种测试叠层结构的剖面示意图。所述测试叠层结构包括:基底20,位于所述基底20上的功函数层21,以及位于所述功函数层21 上的金属层22。其中,所述基底20的材料为硅,所述功函数层21的材料为氮化钛,所述金属层22的材料为铝。
参考图2,是对图1所示测试叠层结构进行能量色散X射线谱(Energy DispersiveX-Ray Spectroscopy,EDX)分析获得的元素含量分布图。测试方向为图1所示的箭头所示,横坐标为距离,纵坐标为所测得元素的原子百分比。其中,曲线1表示的是不同测试位置处铝元素的原子百分比,曲线2表示的是不同测试位置处钛元素的原子百分比,曲线3表示的是不同测试位置处氮元素的原子百分比,曲线4表示的是不同测试位置处硅元素的原子百分比。由元素含量分布图可知,在曲线3的峰值位置处,即氮元素原子百分比最高的位置处,铝元素的原子百分比为40%;在曲线2的峰值位置处,即钛元素原子百分比最高的位置处,铝元素的原子百分比为20%。由此可见,铝扩散至氮化钛中。
结合参考图3和图4,分别示出了图1所示测试叠层结构的透射电子显微镜电镜(Transmission Electron Microscope,TEM)图、以及图1所示功函数层的扫描电子显微镜(Scanning Electron Microscope,SEM)电镜图。
所述功函数层21(如图1所示)的材料为氮化钛,由图3和图4所示的电镜图可以看出,氮化钛材料层中具有圆柱结构(Column Structure)10,所述圆柱结构10使金属材料比较容易扩散至所述功函数层21中,甚至还容易透过所述功函数层21扩散至高k栅介质层中,从而造成功函数的降低。例如,铝扩散至氮化钛中容易降低氮化钛的功函数。
为了解决所述技术问题,本发明提供一种半导体结构的制造方法,包括:提供基底;在所述基底上形成功函数层;对所述功函数层进行氧化处理形成氧化层;在所述氧化层上形成电极层。
本发明对所述功函数层进行氧化处理形成氧化层,由于氧的电负性较高,因此与所述功函数层相比,所述氧化层的有效功函数更高,而且,所述氧化层能够起到阻挡所述电极层金属扩散的问题,综上,通过所述氧化层,改善了功函数降低的问题。
为使本发明的上述目的、特征和有益效果能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
参考图5至图11,示出了本发明半导体结构的制造方法一实施例的示意图。本实施例以栅极结构为例进行说明。具体地,所述半导体结构的制造方法包括:
参考图5,提供基底11。
所述基底11为后续形成半导体结构提供工艺平台。
具体地,所述基底11包括衬底110,形成于所述衬底110上的层间介质层 111,以及位于所述层间介质层111中的开口12。
本实施例中,所述衬底110为硅衬底。在其他实施例中,所述衬底的材料还可以为锗、锗化硅、碳化硅、砷化镓或镓化铟,所述衬底还能够为绝缘体上的硅衬底或者绝缘体上的锗衬底。
本实施例中,所述衬底110为平面型衬底。在其他实施例中,所述衬底还可以是形成有多个鳍部的立体结构衬底。
本实施例中,所述衬底110中形成有源漏极掺杂区112。具体地,后续所形成的半导体结构为PMOS晶体管,所述源漏掺杂区112相应为P型掺杂区。其中,位于所述源漏掺杂区112之间的衬底110用于作为沟道区。
所述层间介质层111位于所述衬底110上,用于起到隔离作用,还用于定义后续所形成栅极结构的尺寸和位置。
所述层间介质层111的材料为绝缘材料。本实施例中,所述层间介质层111 的材料为氧化硅。在其他实施例中,所述层间介质层的材料还可以为氮化硅或氮氧化硅等其他介质材料。
位于所述层间介质层111中的开口12露出所述沟道区,用于形成栅极结构。
结合参考图6至图8,图6是基于图5的结构示意图,图7是图6中虚线框内的放大图,图8是基于图7的结构示意图,在所述基底11上形成功函数层 1041(如图6和图7所示);形成所述功函数层1041后,对所述功函数层1041 进行氧化处理形成氧化层1042(如图8所示)。
所述功函数层1041作为栅极结构的一部分,用于调节晶体管的功函数。
本实施例中,在所述开口12的底部和侧壁上形成所述功函数层1041。
后续所形成的半导体结构为PMOS晶体管,因此所述功函数层1041用于调节PMOS晶体管的阈值电压,所述功函数层1041的材料为P型功函数材料。
本实施例中,所述功函数层1041的材料为TiN。在其他实施例中,所述功函数层的材料可以为TiN、TaN、TaSiN和TiSiN中的一种或几种。
根据实际器件性能需求,形成所述功函数层1041后,所述功函数层1041 的厚度在的范围内。
本实施例中,采用沉积工艺(例如:化学气相沉积工艺、物理气相沉积工艺或原子层沉积工艺)形成所述功函数层1041,因此所述功函数层1041还覆盖所述层间介质层111顶部。其中,为了便于图示,仅示意出所述开口12中的功函数层1041。
形成所述功函数层1041后,所述功函数层1041中通常具有圆柱结构 (ColumnStructure),金属材料比较容易通过所述圆柱结构扩散至所述功函数层1041中,从而造成功函数的降低,由于氧具有较高的电负性,因此与所述功函数层1041相比,经氧化处理所形成的氧化层1042具有更高的有效功函数,而且通过所述氧化处理,所形成的氧化层1042为非晶态结构,所述氧化层1042 能够对后续电极层中的金属原子起到阻挡作用,降低所述金属原子扩散至所述功函数层1041中的概率,从而减小对PMOS晶体管的有效功函数的影响;综上,通过形成所述氧化层1042,能够增加PMOS晶体管的有效功函数,以满足 PMOS晶体管功函数的要求。
此外,由于所述氧化层1042通过对所述功函数层1041进行氧化处理的方式所形成,即所述氧化层1042由部分厚度的所述功函数层1041转化而成,因此所述氧化层1042为金属氧化层,且金属元素为所述功函数层170的材料元素,所述氧化层1042具有足够的导电能力,从而避免对栅极结构的性能产生影响。
本实施例中,所述功函数层1041的材料为TiN,所述氧化层1042的材料相应为TiON。
本实施例中,形成所述氧化层1042后,所述氧化层1042与剩余功函数层 1041构成叠层结构的功函数叠层104(如图8所示),所述功函数叠层104用于调节晶体管的功函数。
需要说明的是,所述氧化层1042的厚度不宜过小,也不宜过大。如果所述氧化层1042的厚度过小,则难以提高PMOS晶体管的有效功函数,且对后续电极层中的金属原子的阻挡作用相应变差;如果所述氧化层1042的厚度过大,则剩余功函数层1041的厚度相应过小,反而容易对PMOS晶体管的功函数产生不良影响。为此,本实施例中,所述氧化层1042的厚度在的范围内。
还需要说明的是,当所述功函数层1041表面出现破真空(vacuum break) 现象时,即所述功函数层1041表面暴露在非真空环境中时,容易导致杂质元素的引入,降低所述氧化层1042的形成质量。因此,本实施例中,为了避免杂质元素的引入,提高所述氧化层1042的形成质量,在同一真空腔内形成所述功函数层1041和氧化层1042。
结合参考图9,示出了形成所述功函数叠层104的工艺温度随时间变化的曲线图,横坐标表示时间,纵坐标表示工艺温度。本实施例中,形成所述功函数叠层104的步骤包括用于形成所述功函数层1041的第一阶段S1、以及用于形成所述氧化层1042的第二阶段S2。
具体地,形成所述功函数叠层104的步骤包括:在真空腔内采用第一温度 T1形成功函数层1041;形成所述功函数层1041后,向所述真空腔内通入氧气,采用第二温度T2形成氧化层1042,所述第二温度T2大于所述第一温度T1。
实际工艺中,先使真空腔内温度升温到第一温度T1,在形成功函数层1041 的步骤S1的过程中,保持第一温度T1;之后再升温到第二温度T1,在向真空腔内通入氧气以氧化功函数层1041的步骤S2的过程中,保持第二温度T1,使功函数层1041表面氧化形成一定厚度的氧化层1042。
所述第一温度T1根据所述功函数层1041的材料和厚度而定,从而能够有效提高所述功函数层1041的形成效率和形成质量。本实施例中,所述第一温度在0℃到350℃的范围内。
本实施例中,通过在更高的工艺温度条件下进行所述氧化处理,从而能够有效地将所述功函数层1041氧化成所述氧化层1042,提高所述氧化层1042的形成效率和形成质量。
其中,所述第二温度T2不宜过小,也不宜过大。如果所述第二温度T2过小,则容易降低对所述功函数层1041的氧化效果和氧化速度,容易降低所述氧化层1042的形成效率和形成质量;如果所述第二温度T2过大,则容易对已形成的掺杂区的离子分布造成影响,从而导致晶体管电学性能的下降。为此,本实施例中,所述第二温度T2在100℃到600℃的范围内。
向所述真空腔内通入氧气的过程中,氧气流量不宜过小,也不宜过大。如果所述氧气流量过小,则容易降低所述氧化处理的氧化速度,从而降低所述氧化层1042的形成效率,或者,导致所述氧化层1042的厚度难以满足工艺需求;如果所述氧气流量过大,则容易导致所述氧化处理对所述功函数层1041的氧化程度过大,从而导致剩余功函数层1041的厚度过小,反而会对晶体管的功函数产生不良影响,而且还会造成工艺资源和成本的浪费。为此,本实施例中,氧气流量在10sccm到50slm的范围内。
向所述真空腔内通入氧气的过程中,通入氧气的时间不宜过短,也不宜过长。如果通入氧气的时间过短,则对所述功函数层1041的氧化处理效果较差,容易导致所述氧化层1042的厚度难以满足工艺需求;如果通入氧气的时间过长,则容易导致所述氧化处理对所述功函数层1041的氧化程度过大,从而导致剩余功函数层1041的厚度过小,反而会对晶体管的功函数产生不良影响,而且还会造成工艺成本和时间的浪费。为此,本实施例中,在向真空腔内通入氧气以氧化功函数层1041的步骤S2中,通入氧气的时间在30秒到10分钟的范围内。
本实施例中,对所述功函数层1041进行氧化处理形成氧化层1042的步骤包括:在氧气氛围下对所述功函数层1041进行退火处理。
退火处理的工艺温度通常较高,因此能够有效提高所述氧化层1042的形成效率,而且所述退火处理对所述功函数层1041的氧化均匀性较高,使所形成的氧化层1042具有更高的致密度和质量均一性,从而能够提高所述氧化层1042 的性能。
具体地,为了保证所述氧化层1042的形成效率和形成质量,并防止对已形成的掺杂区的离子分布造成影响,在所述退火处理的过程中,所述第二温度T2 在450℃到500℃的范围内。
在其他实施例中,还可以采用氧等离子体对所述功函数层进行氧化处理,形成所述氧化层。通过采用氧等离子体进行氧化处理的方式,能够降低所述氧化处理的工艺温度,从而能够减小热预算,减小对已形成掺杂区的离子分布的影响。
本实施例中,在所述开口12(如图6所示)中形成用于构成栅极结构的多层材料层。
因此,继续结合参考图6和图7,在形成所述函数层1041之前,还包括:在所述开口12底部形成界面层(IL,Interfacial Layer)100;形成所述界面层 100后,在所述开口12的底部和侧壁上形成高k栅介质层101。
所述界面层100与所述高k栅介质层101构成的叠层结构作为栅介质层;此外,所述界面层100为形成所述高k栅介质层101提供良好的界面基础,从而提高所形成高k栅介质层101的质量,减小所述高k栅介质层101与所述衬底110之间的界面态密度,且避免所述高k栅介质层101与所述衬底110直接接触造成的不良影响。
本实施例中,所述界面层100的材料为氧化硅。为了提高所述界面层100 与所述基底11之间的界面性能,采用热氧化(thermal oxidation)工艺,在所述开口12底部形成所述界面层100;其中,所述热氧化工艺可以为干氧氧化或湿氧氧化。
所述高k栅介质层101的材料为高k栅介质材料,其中,高k栅介质材料指的是,相对介电常数大于氧化硅相对介电常数的栅介质材料。本实施例中,所述高k栅介质层101的材料为HfO2。在其他实施例中,所述高k栅介质层的材料还可以为HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO2或Al2O3
本实施例中,采用沉积工艺(例如:化学气相沉积工艺、物理气相沉积工艺或原子层沉积工艺)形成所述高k栅介质层101,因此所述高k栅介质层101 还覆盖所述层间介质层111顶部。其中,为了便于图示,仅示意出所述开口12 中的高k栅介质层101。
继续结合参考图6和图7,形成所述高k栅介质层101后,形成所述功函数层1041之前,还包括:在所述高k栅介质层101上形成盖帽层(Cap Layer) 103;在所述盖帽层103上形成阻挡层104。
所述盖帽层103不仅对所述高k栅介质层101起到保护作用,避免所述函数层1041中的金属离子扩散至所述高k栅介质层101中;并且,所述盖帽层 103还可以防止所述高k栅介质层101中的氧离子扩散至所述函数层1041内,从而避免所述高k栅介质层101中氧空位含量增加的问题。
本实施例中,所述盖帽层103的材料为TiN。在其他实施例中,所述盖帽层的材料还可以为TiSiN。
具体地,采用沉积工艺(例如:化学气相沉积工艺、物理气相沉积工艺或原子层沉积工艺),形成保形覆盖所述高k栅介质层101的盖帽层103。
所述阻挡层104用于对所述功函数层1041起到保护作用,后续在所述开口 12中形成电极层时,所述阻挡层104可以防止所述电极层中的易扩散离子扩散至所述功函数层1041内;此外,电极层在所述阻挡层104上的沉积效果较好,所述阻挡层104可以提高后续电极层的形成质量以及所述电极层在所述开口12 中的粘附性。
本实施例中,所述阻挡层104的材料为TaN。在其他实施例中,所述阻挡层的材料还可以为TaSiN。
具体地,采用沉积工艺(例如:化学气相沉积工艺、物理气相沉积工艺或原子层沉积工艺),形成保形覆盖所述盖帽层103的阻挡层104。
结合参考图10和图11,图10是基于图6的结构示意图,图11是图10中虚线框内的放大图,形成所述氧化层1042(如图11所示)后,在所述氧化层 1042上形成电极层108。
所述电极层108为金属层,所述电极层108作为电极,用于实现与外部电路的电连接。
本实施例中,所述电极层108的材料为Al。在其他实施例中,所述电极层的材料还可以为W、Cu、Ag、Au、Pt、Ni或Ti等。
具体地,形成所述电极层108的步骤包括:向所述开口12(如图6所示) 中填充金属材料,所述金属材料还覆盖所述氧化层1042顶部;采用平坦化工艺,去除高于所述层间介质层111顶部的金属材料,保留所述开口12中的剩余金属材料作为所述金属层。
因此,本实施例中,在所述平坦化工艺过程中,还去除高于所述层间介质层111顶部的氧化层1042、功函数层1041、阻挡层104、盖帽层103和高k栅介质层101。
需要说明的是,如图11所示,形成所述氧化层104后,形成所述电极层 108之前,还包括:形成保形覆盖所述氧化层104的TaN层105;形成保形覆盖所述TaN层105的TiN层106;形成保形覆盖所述TiN层106的Ti层107。
因此,本实施例中,在形成所述金属层的平坦化工艺过程中,还去除高于所述层间介质层111顶部的Ti层107、TiN层106和TaN层105。
TaN层105、TiN层106和Ti层107位于功函数层1041和电极层之间,为过度层,可以起到减小电阻的作用。
相应的,本发明还提供一种半导体结构。继续参考图10和图11,图10是本发明半导体结构一实施例的结构示意图,图11是图10中虚线框内的放大图。
所述半导体结构包括:基底11(如图10所示);位于所述基底11上的功函数层1041;位于所述功函数层1041上的氧化层1042(如图11所示),所述氧化层1042通过对所述功函数层1041进行氧化处理的方式形成;位于所述氧化层1042上的电极层108。
所述基底11为所述半导体结构的形成提供工艺平台。
具体地,所述基底11包括衬底110,位于所述衬底110上的层间介质层111,以及位于所述层间介质层111中的开口12(如图5所示)。
本实施例中,所述衬底110为硅衬底。在其他实施例中,所述衬底的材料还可以为锗、锗化硅、碳化硅、砷化镓或镓化铟,所述衬底还能够为绝缘体上的硅衬底或者绝缘体上的锗衬底。
本实施例中,所述衬底110为平面型衬底。在其他实施例中,所述衬底还可以是形成有多个鳍部的立体结构衬底。
本实施例中,所述衬底110中形成有源漏极掺杂区112。具体地,所述半导体结构为PMOS晶体管,所述源漏掺杂区112相应为P型掺杂区。其中,位于所述源漏掺杂区112之间的衬底110用于作为沟道区。
所述层间介质层111位于所述衬底110上,用于起到隔离作用,还用于定义所述半导体结构的栅极结构的尺寸和位置。
所述层间介质层111的材料为绝缘材料。本实施例中,所述层间介质层111 的材料为氧化硅。在其他实施例中,所述层间介质层的材料还可以为氮化硅或氮氧化硅等其他介质材料。
位于所述层间介质层111中的开口12露出所述沟道区,用于为所述半导体结构的栅极结构的形成提供空间位置。
所述功函数层1041作为栅极结构的一部分,用于调节晶体管的功函数。
本实施例中,所述功函数层1041位于所述开口12的底部和侧壁上。
本实施例中,所述半导体结构为PMOS晶体管,因此所述功函数层1041 用于调节PMOS晶体管的阈值电压,所述功函数层1041的材料为P型功函数材料,所述功函数层1041的材料可以为TiN、TaN、TaSiN和TiSiN中的一种或几种。
本实施例中,所述功函数层1041的材料为TiN。
所述氧化层1042与所述功函数层1041构成叠层结构的功函数叠层104,所述功函数叠层104用于调节晶体管的功函数。
其中,所述功函数层1041中通常具有圆柱结构,金属材料比较容易通过所述圆柱结构扩散至所述功函数层1041中,从而造成功函数的降低,由于氧具有较高的电负性,因此与所述功函数层1041相比,所述氧化层1042具有更高的有效功函数,而且所述氧化层1042通过对所述功函数层1041进行氧化处理的方式形成,所述氧化层1042为非晶态结构,所述氧化层1042能够对所述电极层108中的金属原子起到阻挡作用,降低所述金属原子扩散至所述功函数层 1041中的概率,从而减小对PMOS晶体管的有效功函数的影响;综上,通过所述氧化层1042,能够增加PMOS晶体管的有效功函数,以满足PMOS晶体管功函数的要求。
此外,所述氧化层1042通过对所述功函数层1041进行氧化处理的方式所形成,即所述氧化层1042由部分厚度的所述功函数层1041转化而成,因此所述氧化层1042为金属氧化层,且金属元素为所述功函数层170的材料元素,所述氧化层1042具有足够的导电能力,从而避免对栅极结构的性能产生影响。
本实施例中,所述功函数层1041的材料为TiN,所述氧化层1042的材料为相应为TiON。
需要说明的是,所述氧化层1042的厚度不宜过小,也不宜过大。如果所述氧化层1042的厚度过小,则难以提高PMOS晶体管的有效功函数,且对所述电极层108中的金属原子的阻挡作用相应变差;由于所述氧化层1042通过对所述功函数层1041进行氧化处理的方式形成,即所述氧化层1042由部分厚度的所述功函数层1041转化而成,如果所述氧化层1042的厚度过大,则所述功函数层1041的厚度相应过小,反而容易对PMOS晶体管的功函数产生不良影响。
因此,通过合理设定所述功函数层1041和氧化层1042的厚度,使PMOS 晶体管功函数的满足工艺要求。
本实施例中,根据晶体管的实际性能需求,所述功函数层1041的厚度在的范围内,所述氧化层1042的厚度在的范围内。
所述电极层108为金属层,所述电极层108作为电极,用于实现与外部电路的电连接。
本实施例中,所述电极层108位于所述氧化层1042上且填充于所述开口 12内。
本实施例中,所述电极层108的材料为Al。在其他实施例中,所述电极层的材料还可以为W、Cu、Ag、Au、Pt、Ni或Ti等。
继续参考图10和图11,本实施例中,所述半导体结构还包括:界面层100,位于所述功函数层1041和衬底110之间;高k栅介质层101,位于所述功函数层1041和层间介质层111之间、以及所述功函数层1041和界面层100之间。
所述界面层100与所述高k栅介质层101构成的叠层结构作为栅介质层,所述栅介质层用于实现所述电极层108与沟道之间的电隔离。
其中,所述界面层100为形成所述高k栅介质层101提供良好的界面基础,从而提高所形成高k栅介质层101的质量,减小所述高k栅介质层101与所述衬底110之间的界面态密度,且避免所述高k栅介质层101与所述衬底110直接接触造成的不良影响。本实施例中,所述界面层100的材料为氧化硅。
所述高k栅介质层101的材料为高k栅介质材料,其中,高k栅介质材料指的是,相对介电常数大于氧化硅相对介电常数的栅介质材料。本实施例中,所述高k栅介质层101的材料为HfO2。在其他实施例中,所述高k栅介质层的材料还可以为HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO2或Al2O3
需要说明的是,所述半导体结构还包括:盖帽层103,位于所述功函数层 1041和高k栅介质层101之间;阻挡层104,位于所述功函数层1041和盖帽层 103之间。
所述盖帽层103不仅对所述高k栅介质层101起到保护作用,避免所述函数层1041中的金属离子扩散至所述高k栅介质层101中,所述盖帽层103还可以防止所述高k栅介质层101中的氧离子扩散至所述函数层1041内,从而避免所述高k栅介质层101中氧空位含量增加的问题。
本实施例中,所述盖帽层103的材料为TiN。在其他实施例中,所述盖帽层的材料还可以为TiSiN。
所述阻挡层104用于对所述功函数层1041起到保护作用,所述阻挡层104 可以防止所述电极层108中的易扩散离子扩散至所述功函数层1041内;此外,所述电极层108在所述阻挡层104上的沉积效果较好,所述阻挡层104可以提高所述电极层108的形成质量以及所述电极层108在所述开口12中的粘附性。
本实施例中,所述阻挡层104的材料为TaN。在其他实施例中,所述阻挡层的材料还可以为TaSiN。
还需要说明的是,所述半导体结构还包括:TaN层105,位于所述电极层 108和氧化层1042之间;TiN层106,位于所述电极层108和TaN层105之间; Ti层107,位于所述电极层108和TiN层106之间。
TaN层105、TiN层106和Ti层107位于功函数层1041和电极层之间,为过度层,可以起到减小电阻的作用。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (18)

1.一种半导体结构的制造方法,其特征在于,包括:
提供基底;
在所述基底上形成功函数层;
对所述功函数层进行氧化处理形成氧化层;
在所述氧化层上形成电极层。
2.如权利要求1所述的制造方法,其特征在于,在所述基底上形成功函数层的步骤包括:在真空腔内采用第一温度形成所述功函数层;
对所述功函数层进行氧化处理形成氧化层的步骤包括:向所述真空腔内通入氧气,采用第二温度形成氧化层,所述第二温度大于所述第一温度。
3.如权利要求2所述的制造方法,其特征在于,所述第二温度在100℃到600℃的范围内。
4.如权利要求2所述的制造方法,其特征在于,所述第一温度在0℃到350℃的范围内,所述第二温度在450℃到500℃的范围内。
5.如权利要求2所述的制造方法,其特征在于,向所述真空腔内通入氧气的过程中,氧气流量在10sccm到50slm的范围内。
6.如权利要求2所述的制造方法,其特征在于,向所述真空腔内通入氧气的过程中,通入氧气的时间在30秒到10分钟的范围内。
7.如权利要求1所述的制造方法,其特征在于,所述功函数层的材料为TiN,所述氧化层的材料为TiON。
8.如权利要求1所述的制造方法,其特征在于,对所述功函数层进行氧化处理形成氧化层的步骤包括:在氧气氛围下对所述功函数层进行退火处理;或者,采用氧等离子体对所述功函数层进行氧化处理。
9.如权利要求1所述的制造方法,其特征在于,在所述基底上形成功函数层的步骤包括:所述功函数层的厚度在的范围内。
10.如权利要求1所述的制造方法,其特征在于,所述氧化层的厚度在的范围内。
11.如权利要求1所述的制造方法,其特征在于,所述电极层为金属层。
12.如权利要求1或7所述的制造方法,其特征在于,所述电极层的材料为Al。
13.一种半导体结构,其特征在于,包括:
基底;
位于所述基底上的功函数层;
位于所述功函数层上的氧化层;
位于所述氧化层上的电极层。
14.如权利要求13所述的半导体结构,其特征在于,所述功函数层的材料为TiN,所述氧化层的材料为TiON。
15.如权利要求13所述的半导体结构,其特征在于,所述电极层为金属层。
16.如权利要求13或14所述的半导体结构,其特征在于,所述电极层的材料为Al。
17.如权利要求13所述的半导体结构,其特征在于,所述功函数层的厚度在的范围内。
18.如权利要求13所述的半导体结构,其特征在于,所述氧化层的厚度在的范围内。
CN201810304571.2A 2018-04-08 2018-04-08 半导体结构及其制造方法 Active CN110349851B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810304571.2A CN110349851B (zh) 2018-04-08 2018-04-08 半导体结构及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810304571.2A CN110349851B (zh) 2018-04-08 2018-04-08 半导体结构及其制造方法

Publications (2)

Publication Number Publication Date
CN110349851A true CN110349851A (zh) 2019-10-18
CN110349851B CN110349851B (zh) 2021-12-10

Family

ID=68173029

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810304571.2A Active CN110349851B (zh) 2018-04-08 2018-04-08 半导体结构及其制造方法

Country Status (1)

Country Link
CN (1) CN110349851B (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102496571A (zh) * 2011-12-19 2012-06-13 杭州士兰集成电路有限公司 低势垒肖特基二极管的制作方法及结构
CN103515421A (zh) * 2012-06-27 2014-01-15 联华电子股份有限公司 半导体结构及其制作工艺
US20140239407A1 (en) * 2013-02-25 2014-08-28 International Business Machines Corporation Replacement metal gate transistor with controlled threshold voltage
CN104979177A (zh) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 栅极结构及其制作方法
US20160013288A1 (en) * 2014-07-09 2016-01-14 United Microelectronics Corp. Method of forming a metal gate structure
CN105990118A (zh) * 2015-02-17 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
CN108630519A (zh) * 2017-03-17 2018-10-09 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102496571A (zh) * 2011-12-19 2012-06-13 杭州士兰集成电路有限公司 低势垒肖特基二极管的制作方法及结构
CN103515421A (zh) * 2012-06-27 2014-01-15 联华电子股份有限公司 半导体结构及其制作工艺
US20140239407A1 (en) * 2013-02-25 2014-08-28 International Business Machines Corporation Replacement metal gate transistor with controlled threshold voltage
CN104979177A (zh) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 栅极结构及其制作方法
US20160013288A1 (en) * 2014-07-09 2016-01-14 United Microelectronics Corp. Method of forming a metal gate structure
CN105990118A (zh) * 2015-02-17 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
CN108630519A (zh) * 2017-03-17 2018-10-09 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KITANO, NAOMU ET AL.: "Effective work function control of metal inserted poly-Si electrodes on HfSiO dielectrics by in-situ oxygen treatment of metal surface", 《CURRENT APPLIED PHYSICS》 *

Also Published As

Publication number Publication date
CN110349851B (zh) 2021-12-10

Similar Documents

Publication Publication Date Title
US8541280B2 (en) Semiconductor structure and method for manufacturing the same
US20150255557A1 (en) Semiconductor device and method for manufacturing the same
US9899270B2 (en) Methods for manufacturing semiconductor devices
US8609484B2 (en) Method for forming high-K metal gate device
US20170236716A1 (en) Doped protection layer for contact formation
US9496367B2 (en) Mechanism for forming metal gate structure
US20160043071A1 (en) Integrated circuits with resistors
US9196706B2 (en) Method for manufacturing P-type MOSFET
CN108257916B (zh) 半导体结构及其形成方法
US9934975B2 (en) N-type MOSFET and method for manufacturing the same
US20110037131A1 (en) Gate structure for field effect transistor
US10727310B2 (en) Contact formation on germanium-containing substrates using hydrogenated silicon
US20150048458A1 (en) Semiconductor device and manufacturing method thereof
US10056261B2 (en) P type MOSFET
US9679775B2 (en) Selective dopant junction for a group III-V semiconductor device
US9029225B2 (en) Method for manufacturing N-type MOSFET
CN107785249B (zh) 制造半导体装置的方法
US8889554B2 (en) Semiconductor structure and method for manufacturing the same
US20200105611A1 (en) Semiconductor structure and fabrication method thereof
CN106611788B (zh) 半导体结构的形成方法
CN107104051B (zh) 半导体元件以及其制作方法
CN110349851A (zh) 半导体结构及其制造方法
CN108666267A (zh) 半导体结构及其形成方法
US20150255289A1 (en) Method for manufacturing a semiconductor structure
US20230411492A1 (en) Structure and formation method of semiconductor device with gate stack

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant