JP2005536070A - 電気接合における半導体のフェルミ準位をピン止め解除する方法および同接合を組み入れたデバイス - Google Patents

電気接合における半導体のフェルミ準位をピン止め解除する方法および同接合を組み入れたデバイス Download PDF

Info

Publication number
JP2005536070A
JP2005536070A JP2004539828A JP2004539828A JP2005536070A JP 2005536070 A JP2005536070 A JP 2005536070A JP 2004539828 A JP2004539828 A JP 2004539828A JP 2004539828 A JP2004539828 A JP 2004539828A JP 2005536070 A JP2005536070 A JP 2005536070A
Authority
JP
Japan
Prior art keywords
semiconductor
electrical device
less
contact resistance
specific contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004539828A
Other languages
English (en)
Other versions
JP4847699B2 (ja
JP2005536070A5 (ja
Inventor
グルップ,ダニエル・イー
コネリー,ダニエル・ジェイ
Original Assignee
エイコーン・テクノロジイズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=31495225&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2005536070(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by エイコーン・テクノロジイズ・インコーポレーテッド filed Critical エイコーン・テクノロジイズ・インコーポレーテッド
Publication of JP2005536070A publication Critical patent/JP2005536070A/ja
Publication of JP2005536070A5 publication Critical patent/JP2005536070A5/ja
Application granted granted Critical
Publication of JP4847699B2 publication Critical patent/JP4847699B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/47Schottky barrier electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/806Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

界面層520が金属とSiベースの半導体との間に配置されかつそれらと接触する電気デバイスであって、界面層は金属と半導体との間に電流を通すことを依然として可能にしながら半導体のフェルミ準位をピン止め解除するのに有効な厚さとまっている。この界面層は例えば、(窒素、酸素、酸窒化物、ヒ素、水素、および/またはフッ素から作られた)パシベーション材料の1枚の層、ときに分離層も含む。ある場合には、界面層は半導体パシベーション材料の単層であってよい。界面層の厚さは電気デバイスに対して10Ω−μm2以下あるいはさらに1Ω−μm2以下の最小固有接触抵抗に対応する。

Description

関連出願
本出願は、2003年1月14日に本願明細書の発明者らによって出願され、本出願として同譲受人に与えられた「INSULATED GATED FILD EFFCT TRANSISTOR HAVING SCHOTTKY BARRIERS TO THE CHANNEL」と題された米国特許同時継続出願第10/342,576号に関連する。この関連出願はその全体を参照によって本願に組み入れた。
本発明は一般に半導体プロセスおよび半導体デバイスに関する。本発明は、より詳細には、半導体のフェルミ準位を金属−界面層−半導体接合でピン止め解除(depinning)する方法とそのような接合を用いるデバイスに関する。
現代のデバイスにおいて最も基本的な電気接合の1つは金属−半導体接合である。このような接合では、金属(アルミニウムなど)が半導体(ケイ素など)と接触させられる。これが基本的に整流するデバイス(ダイオード)を形成する。つまり、この接合は他の方向ではなく、より有利に電流を一方向に導く傾向にある。別の例では、使用される材料に応じて、接合はオーミック接合となる(例えば、そのコンタクトは電流の方向に関係なく、無視してよい抵抗を有する)。1926年、GrondhalとGeigerがこれら接合の整流態様を初めて試験し、1938年、Schottkyが観察された整流に対する理論的説明を発展させた。
ショットキー理論は金属と半導体との間のコンタクトの表面における障壁に左右されるような金属−半導体コンタクトの整流影響を説明した。このモデルでは、(電子が金属から半導体へと移動するのに必要な電位によって測定されるような)障壁の高さは、金属の仕事関数(仕事関数とは金属のフェルミ準位で電子を解放するのに必要なエネルギーである。フェルミ準位とはT=0において最も高く占有するエネルギー準位である)と半導体の電子親和力(電子親和力とは自由電子のエネルギーと半導体の伝導帯末端との間の差である)との間の差であると仮定され、次式のように表される。
φB=ΦM−χS [1]
上式中、ΦBは障壁高、ΦMは金属の仕事関数、χSは半導体の電子親和力である。
当然ながら、この理論を実験的に検証するために多数の試みがなされた。この理論が正しい場合、一般的な半導体に接触させると、異なる作業関数の金属に対する障壁高に直接的な変動が観察されて当然である。しかし、観察されたのは直接的なscalingではなく、モデルが示唆する以上により一層弱い、仕事関数に対する変動であった。
Bardeenは半導体の表面準位が障壁高を決定する役割を果たすという概念を導入することによって、理論予測と実験観察との間のこの差を説明しようとした。表面準位とは、不完全な共有結合、不純物、結晶終端の影響から生じる半導体結晶の末端における(価電子帯と導電帯との間のバンドギャップ内の)エネルギー準位である。図1はアンパシベート(un-passivated)シリコン表面100の断面を示す。示した特定のシリコン表面はSi(100)2×1表面である。図示のように、表面にある原子110などのシリコン原子は完全には配位結合しておらず、ダングリング・ボンド120のような不十分なダングリング・ボンドを含んでいる。これらのダングリング・ボンドが電荷を取り込む表面準位の原因となっている可能性がある。
Bardeenモデルはその表面準位が半導体内のフェルミ準位を価電子帯と伝導帯との間のある地点にピン止め(pin)するのに十分であると仮定している。これが正しい場合、金属−半導体接合における障壁高は金属の仕事関数とは無関係でなければならない。しかし、この条件が実験的に観察されるのはまれであるので、(ショットキーと同様に)Bardeenモデルは限定的な例として最良であると考えられる。
金属−半導体接合での半導体のフェルミ準位のピン止めに存在する原因は長年にわたって依然として解明されていない。実際今日まで、いかなる説明もそのような接合に関する実験観察のすべてを満足していない。しかし、1984年、Tersoffがそのような接合の物理学を上手く説明するモデルを提唱した。1984年2月6日発行のPhys.REv.Lett.52(6)、J.Tersoffの「Schottky Barrier Heights and the Continuum of Gap States」を参照されたい。
Tersoffモデル(これはHeineとFloresおよびTejedorによる研究に基づいて構築されている。Phys.REv.B15,2154(1977年)、Louie、Chelikowsky、およびCohenの「Ionicity and thetheory of Schottky barriers」も参照すること)は、金属−半導体界面における半導体のフェルミ準位をバルク半導体のエネルギー・バンド構造に関連する効果的な「ギャップ中心」付近でピン止めすることを提唱している。ピン止めとはいわゆる金属誘起ギャップ準位(MIGS)によるものであり、これは金属の近接性に起因して密集する、半導体のバンドギャップのエネルギー準位である。すなわち、金属内の電子の波動関数は金属の表面で突然終了しないが、その表面からの距離に比例して減少する(つまり、半導体の内側に延びる)。半導体内の状態密度に関する和総則(sun rule)を維持するために、表面近傍の電子は価電子帯の状態密度が低減されるように、価電子帯に由来するギャップ内のエネルギー準位を占有する。電荷の中立性を維持するために、次に(半導体のフェルミ準位を定める)最高被占準位は価電子帯に由来する状態から伝導帯に由来する状態への交差点に存在するであろう。この交差はバンド構造の分岐点に発生する。Tersoffモデルに基づく障壁高さの計算はすべての金属−半導体接合に関して実験的に観察されたすべての障壁高さを満たす訳ではないが、そのような接合の多くに対して概ね十分一致している。
ダイオード特性に対する表面効果の1つの最終的な源は不均質性である。すなわち、障壁高さに影響を及ぼす因子(例えば、表面状態密度)が接合の面にわたって変動する場合、結果として得られる接合の特性は異なる領域の特性の線形結合ではないことが認められる。要約すれば、従来の金属−半導体接合はショットキー障壁によって特徴付けられ、その特性(例えば、障壁高さ)は表面状態、MIGS、不均質性に左右される。
金属−半導体界面における障壁高さの重要性は、それが接合の電気的特性を決定することである。したがって、金属−半導体界面の障壁高さを制御または調節することができるなら、所望の特性の電気デバイスを製造できるであろう。そのような障壁高さの調節は、デバイスの寸法がより一層小さくなるにつれて、さらに重要なものとなろう。しかし、障壁高さを調節できるようになるまでには、その半導体のフェルミ準位をピン止め解除(depin)しなければならない。以下で詳細に考察するように、本発明者らは金属と半導体との間で実質的に電流が流れることを依然として可能にするデバイスにおいてこの目的を達成した。
本発明者らは金属−界面層−半導体接合を形成するように、金属とケイ素ベース半導体(例えば、Si、SiC、およびSiGe)との間に配置された薄い界面層について障壁高さを決定した。そこには対応する最小固有接触抵抗が存在する。この最小固有接触抵抗に対応する界面層厚は使用される材料に応じて変動するであろうが、その厚さは接合にバイアス(順バイアスまたは逆バイアス)が印加されたときに金属と半導体との間に依然として電流を流すことを可能にしながら、半導体のフェルミ準位をピン止め解除できるようにするものである。本発明者らは、フェルミ準位をピン止め解除することによって、ピン止め解除しない場合に半導体表面に存在する可能性があるすべてのあるいは実質的にすべてのダングリング・ボンドが終端し、また半導体を金属から十分な距離だけ離間させることによって、PMIGSの影響が克服されたかあるいは少なくとも低減された条件を示す。約10Ω−μm2以下またはさらには約1Ω−μm2以下の最小固有接触抵抗が本発明に従ってそのような接合に対して達成されるであろう。
したがって、一実施態様では、本発明は界面層が金属と半導体とのの間に配置されかつそれらに接触させられ、かつ電気デバイスにバイアスが印加されたときに金属と半導体との間に依然として電流を流すことを可能にしながら、半導体のフェルミ準位をピン止め解除できるように構成された電気デバイスを提供する。この電気デバイスの最小固有接触抵抗は約10Ω−μm2より小さい。この界面層はパシベーション材料(例えば、窒化物、酸化物、酸窒化ケイ素、ヒ素、水酸化物、および/またはフッ化物)を含んでよく、またときに分離層を含んでもよい。ある場合には、この界面層は基本的には半導体パシベーション材料の単層(あるいはいくつかの単層)であってよい。
別の実施態様では、この界面層は、プラズマ工程から発生される、例えばアンモニア(NH3)、窒素(N2)、または非結合気体窒素(N)などの窒素性物質の存在下で半導体を加熱することによって製造されたパシベーション層から作られる。このような場合、界面層は真空室内で半導体を窒素性物質に曝露させた状態で半導体を加熱することによって製造される。
本発明のさらなる実施態様は、半導体の表面と導体との間に配置された界面層を使用して電気接合における半導体のフェルミ準位をピン止め解除する方法を提供する。この界面層は、(i)半導体内のMIGSを低減させるのに十分な厚さであることが好ましく、また(ii)半導体の表面をパシベートすることが好ましい。界面層の存在にも関わらず、著しい電流が導体と半導体との間を流れる可能性があるが、これは界面層の厚さが接合に対して最小(またほぼ最小の)固有接触抵抗を提供するように選択される可能性があるためである。上記のように、この界面は窒化物、酸化物、酸窒化ケイ素、ヒ素、水酸化物、および/またはフッ化物などのパシベーション材料を含んでいる。
本発明のさらなる実施態様は、半導体とその半導体から半導体のフェルミ準位を(i)半導体の伝導帯と合うようにするか、(ii)半導体の価電子帯と合うようにするか、あるいは(iii)半導体のフェルミ準位と無関係になるように構成された界面層の分だけ離間された導体との間に、接合を提供する。これらのうちのある場合、またはすべての場合において、接合にバイアスが印加されたときに電流が導体と半導体との間に流れる可能性がある。これは界面層が接合に対して最小またほぼ最小の固有接触抵抗に対応する厚さを有するためである。例えば、約2500Ω−μm2、約1000Ω−μm2、約100Ω−μm2、約50Ω−μm2、約10Ω−μm2以下の、またはさらに約1Ω−μm2以下の最小固有接触抵抗が達成される可能性がある。
金属−半導体接合だけでなくそのような接合を用いたデバイスにおけるケイ素ベース半導体(例えば、Si、SiC、SiGe)のフェルミ準位をピン止め解除する方法を本明細書で記載する。以下により完全に説明するように、界面層が半導体と金属との間に導入される。この界面層は半導体表面をパシベートするように(すなわち、表面の化学安定性を保証するように、パシベートしない場合に半導体表面に存在する可能性があるダングリング・ボンドを終端するように)、かつMIGSの影響を低減させるように金属から半導体を離間させるように機能する。
以上でより詳細に説明するように本発明者らは金属−界面層−半導体接合を形成するように、金属とケイ素ベース半導体(例えば、Si、SiC、SiGe)との間に配置された薄い界面層について障壁高さを決定した。そこには対応する最小固有接触抵抗が存在する。実際に、本発明によるそのような接合では、約10Ω−μm2以下、あるいはさらに約1Ω−μm2以下の最小の固有接触抵抗を実現することができる。そのような低い接触抵抗を達成するために、n型半導体については半導体の伝導帯に近い仕事関数を有する金属が選択され、あるいはp型半導体については価電子帯に近い仕事関数を有する金属が選択される。
そのような接合においてはショットキー障壁は既に最小化されており、これはそのショットキー障壁が、フェルミ準位が半導体のバンドギャップの概ね中心にピン止めされた接合によって示されたショットキー障壁に比べて一層小さいことを意味する。このような接合の電流対電圧(IV)特性は非線形であり、一般に電圧の上昇にしたがって上昇する傾斜を有するので、電圧に対する電流の導関数は電圧に対して上昇する。この結果、微分抵抗(dV/dI)は減少し、抵抗(V/I)は減少する。したがって、IV特性の原点(0ボルト)付近で高い抵抗または高い微分抵抗を有する接合は、より高電圧において著しく低い抵抗または低い微分抵抗を有する可能性がある。
本発明は金属−界面層−半導体接合に対して電流−電圧の原点付近で低い抵抗と低い微分抵抗を達成する。一般に、原点付近の電圧は約100mVより小さくなければならず、そのような低い抵抗の接合を測定、決定、かつ利用するためには、より好適には10mVであるべきである。電圧が高くなれば、接合抵抗は一層低くなるであろう。したがって、本発明の特徴はある接触の抵抗に対して上限を設定することであり、この上限は低電圧で生じる。
上記のようにショットキー障壁が最小化された接合においては、(n型およびp型半導体のそれぞれについて)0ボルトでの接合界面のフェルミ準位は伝導帯末端あるいは価電子帯末端に存するか、あるいはその付近に存するので、特に低電圧ではIV特性はほぼ対称的になるでろうことにさらに注目する必要がある。この場合、「順バイアス」という用語は、順バイアスがより大きな電流が流れるための方向に相当するダイオードの一般的な意味合いで定義されない。したがって、本発明の低抵抗の接合を決定するか、または利用する際には、電圧は正または負のいずれであってもよい。
(本発明のさらなる実施形態に従って)ショットキー障壁が接合界面のフェルミ準位がピン止めされている場合には通常は半導体のミッドギャップ辺りにあるであろうショットキー障壁よりも、より高い接合を作ることも可能である。本発明では、そのような接合はp型半導体の伝導帯末端に近いか、または実質的に等しい仕事関数を有する金属の間に形成されるか、あるいはn型半導体の価電子帯末端に近いか、または実質的に等しい仕事関数を有する金属の間に形成される。n型(p型)半導体が金属に対して正(負)バイアスに印加されると小さな電流が流れ、電圧が反転されると大きな電流が流れるという点で、これらの接合はダイオードである。低電流状態は逆バイアスと呼ばれ、高電流状態は正バイアスと呼ばれる。
ダイオードの場合の低抵抗は順バイアス条件において関連するだけである。本発明に従って作られた接合では、界面層の抵抗の寄与度はショットキー障壁による抵抗に比して小さい。すなわち、本発明に従って作られた接合に対する順バイアス条件では電荷の移送は、主として界面の障壁上の半導体からのキャリヤの熱放射によるものであり、界面誘電体を通るトンネリングによるものではない。したがって、ダイオードの場合の低抵抗はショットキー障壁によって示される抵抗よりも低いことを意味する。
ダイオードのある用途では、高い逆バイアスに耐える能力は、順バイアスにおける高い電流に比してより望ましい。これらの用途は高電圧/低出力用途であろう。このような場合、低抵抗は不可欠というわけではなく、本発明のさらに別の実施形態に従って作られた接合は、接合内の半導体のフェルミ準位がピン止めされた場合に、そうでない場合に達成されるであろう電圧よりも、高電圧に耐え得る高電圧ダイオードを提供する。
以下本発明をその現在の好ましい実施形態に関して考察するが、この考察は発明の範囲を限定することを意図するものではない。本発明の開示を検討することによって、他の当業者は同じ効果を得るために、本願明細書に記載したものと代替され得る同等の手順、材料、または構造体を認めてよい。そのような同等物の使用は本発明内にあるものとみなされることを読み手に助言し、指摘しておく。例えば、以下の考察が周知の構造体およびデバイスについて言及している場合、幅広い範囲のそのような構造体およびデバイスに対する本発明の幅広い適用可能性を部分的に説明するためにブロック図を用いる。
I.序論および定義
本発明の考察は当業者には周知であるが、読み手のすべてにはよく知られていない可能性がある用語を使用する。したがって、本発明の詳細な考察を始める前に、特定の用語と概念を定義することが有用である。金属−半導体接合の特性と本発明の影響を理解するためには、図2に視覚的に示したいくつかの重要なエネルギー尺度を参照する必要がある。いわゆる真空レベル(E0)は金属または半導体から電子自身が完全に自由になるために電子が持つことを必要とする最小エネルギーを表す。金属の場合、フェルミ準位(EF)はその材料に対する最も高く占有されたエネルギー準位を表す。つまり、フェルミ準位より下のほぼすべてのほぼすべてのエネルギー準位は充填されるが、フェルミ準位より上のほぼすべてのエネルギー準位は空である。次に、金属の仕事関数(ΦM)はフェルミ準位で電子を解放するのに必要なエネルギーとして定義され、数学的には真空レベルとフェルミ準位との間の差であるこの仕事関数は金属の不変のバルク特性である。
図示のように、半導体はフェルミ準位(EF)と仕事関数(ΦS)も有するが、仕事関数は半導体の不変の性質ではない。フェルミ準位は半導体内のドーピング・レベル(すなわち、電子キャリヤ濃度および正孔キャリヤ濃度を変化させる、半導体結晶に導入される不純物の相対量)に応じて変動するので、別のパラメータ、つまり電子親和力(χS)が定められる。電子親和力は半導体の不変の性質であり、真空レベルと半導体の伝導帯末端との間の差である。ある半導体では、ほぼすべてのエネルギー準位が価電子帯(EV)に満たされるが、伝導帯(EC)はほぼ空である。
ここで、金属と金属の仕事関数よりも小さい仕事関数(すなわち、ΦS<ΦM)を有するn型半導体との間の従来の接合について考える。n型半導体は電子が多数電荷担体である半導体の一種である(p型半導体では、正孔が多数電荷担体である)。図3に示すように、半導体内のフェルミ準位は金属内のフェルミ準位よりも高いために、材料が接触したときに電子は半導体310から金属320へと移動する。したがって、空乏領域(すなわち、自由電荷担体が存在しない領域)330は近傍で接合界面340を形成する。
電子が半導体側から接合界面に接近するにつれて、空乏領域の形成は電界およびいわゆる「バンドの曲がり」を生じる(図4参照)。バンドの曲がりは電子の半導体への移動あるいは半導体からのさらなる移動を阻止するエネルギー障壁(上記)を形成する。同様の障壁は、金属の仕事関数が半導体の仕事関数よりも小さい場合に、金属とp型半導体との間の接合について形成される。しかし、半導体の仕事関数が金属の仕事関数よりも大きい金属−n型半導体接合あるいは半導体の仕事関数が金属の仕事関数よりも小さい金属−p型半導体接合、そのようなエネルギー障壁は形成されず、コンタクトは基本的にオーミックであると言われる。
上記のように、ショットキーは最初に金属−半導体接合において形成されるエネルギー障壁(Φb)は単純に金属の仕事関数と半導体の電子親和力との間の差であると仮定したが、実験ではこの関係が証明されなかった。これに代わって、半導体におけるフェルミ準位のピン止めを説明することによって障壁高さを正確に推定するために、表面の欠陥状態、不均質性、MIGSの影響を考慮するさらに複雑な説明が登場する。本発明者らは、(表面準位と可能性のある不均質性を除去するか、または少なくとも低減させるために)半導体表面をパシベートすると共に(MIGSの影響を除去するか、または少なくとも低減させるために)導体から金属を離間させることによって、接合におけるSiベースの半導体のフェルミ準位を金属を用いてピン止め解除する(故に障壁高さの制御または調整を可能にする)と考えられる技術を生み出した。このピン止め解除は接合に順バイアスが印加されるときに半導体と金属との間に界面層を導入することによって達成される。
この後者の点が重要である。以下でさらに考察するように、半導体のエネルギー帯と導体の価電子帯が合う(すなわち、半導体のフェルミ準位が半導体のタイプおよび/またはコンタクトの用途に応じて半導体の伝導帯または価電子帯と合う)コンタクトに関しては、界面層が非常に薄い場合、MIGSが存在するためにその接合の固有接触抵抗が増大し、その結果障壁高さが大きくなる。故に、電流が妨げられる。逆に、界面層が非常に厚い場合、固有接触抵抗は再び増大し、トンネリングの制限のために接合に流れる電流は低くなる。本発明はMIGSの影響を低減または除去するの十分厚い層であると同時に、依然として大きな電流の流れを可能にするの十分薄い層を達成する。
II.半導体表面のパシベーション
半導体デバイス製造工程の間に行われる一般的な加工工程はケイ素表面のパシベーションである。(酸化物または別の材料を用いた)表面パシベーションは下にあるケイ素を化学的に中性化し、物理的に保護する。例えば、(二酸化ケイ素の保護膜を形成するための適切な環境下で)ケイ素表面を酸素に曝露させれば、酸素がケイ素表面のダングリング・ボンドと反応して、表面のケイ素の原子価を満たし、かつ表面を完全に配位結合した状態にする共有結合を形成することが可能となる。これらの共有結合はケイ素表面に化学的安定性を与える。共有結合はまた、表面における半導体結晶の中断の結果としてケイ素表面に存在する非結合の電荷を結び付ける。
しかし、二酸化ケイ素を用いたパシベートはいくつかの大きな不利がある。例えば、二酸化ケイ素は電流の流れに対して大きな障壁をもたらす誘電性の絶縁体である。したがって、ケイ素表面に堆積あるいは成長させた1枚の二酸化ケイ素の層は、電流がその表面を流れる能力を著しく低減させる可能性がある。デバイスの動作中に電流が通る半導体デバイスの活性領域外部の表面(例えば、ゲート酸化層)への二酸化ケイ素の使用は実用上制限された。二酸化ケイ素は非常に急速かつ容易にケイ素表面上で成長するので薄膜への成長を制限することは困難であるという事実によって、この不利はさらに悪いものとなる。
二酸化ケイ素を使用する代わりに、一実施形態では、本発明者らは化学的パシベーションを提供するために窒化半導体表面を利用する。すなわち、窒化層を導入して、表面状態および恐らくは不均一性の影響を除去するかまたは少なくとも低減させることによって半導体表面をパシベートする。また、窒化層は半導体から金属を離し、MIGSの影響を除去するかまたは少なくとも低減させる。半導体と金属との間の界面として窒化層を導入した結果、半導体のフェルミ準位はピン止め解除される。この半導体のフェルミ準位がピン止め解除されると、界面における金属のフェルミ準位はバルク金属のフェルミ準位となり、界面に左右されないであろう。上記に加え、本発明者らは窒素以外の材料、例えば酸化物、水酸化物、ヒ素、および/またはフッ化物を用いて非絶縁性のパシベートされた半導体表面を提供する技術を提案する。
これらの開発はショットキー・ダイオード、ショットキー・バリヤ・ダイオード、その他の電機部品の製造に関連して幅広い応用性がある。例えば、ショットキー・ダイオードでは、デバイスを特定の用途に合うように調整する場合、ダイオード接合においてエネルギー障壁高さを制御する能力が重要である。本発明の技術を使用すれば、その障壁高さを調整することが可能となる。例えば、ショットキー−バリヤ絶縁チャネルを備えた三端子デバイスに関し、デバイス特性の制御は、ドーパントを使用せずに、その代わりに異なる仕事関数を有する金属を使用することに頼ってn型およびp型デバイスを製造できるようにすることによって本発明を利用して可能となる。
図5は、半導体530と本発明に従って半導体の表面540上に形成された界面層520とを含んだ半導体デバイス510を示す。半導体デバイス、微小電子デバイス、モノリシックデバイス、チップ、集積回路という用語は当技術分野では同義的に用いられることが多い。そのようなデバイスのいくつかまたは全部は各々本発明に従って、半導体表面上に形成された界面層を含む。
半導体530は半導体材料を含む。半導体材料という用語は約0.1eVより大きくかつ約4eVより小さいバンドギャップを有する材料を意味する。バンドギャップという用語は、電子がほぼ欠如している上位エネルギー帯であり電子が伝導できる伝導帯と、ほぼ電子で充填されエネルギー帯であり電子が伝導できない価電子帯とを分離する禁制されたエネルギー準位を意味する。この半導体材料は全くドーピングしないレベルを含む幅広い範囲のドーピング・レベルを有している。
半導体530は界面層520によってパシベートされた表面540を有する。このコンテキストでは(および本明細書の他の場所で用いられるように)、パシベートという用語は半導体表面540の欠陥またはダングリング・ボンドに起因する表面準位の影響の除去また少なくとも低減を意味する。パシベートは実際は表面準位のすべてが除去されることを必要としているわけではないことに注目する必要がある。むしろ、パシベート中に制限または除去される、デバイス特性に対する表面準位の影響である。MIGSの存在が表面準位であるとみなされる可能性があるが、本明細書で用いられるようにパシベーションという用語は、(ある場合には、パシベーション層は半導体と金属との間にMIGSを低減または除去するのに十分な分離層を提供するために十分な厚さを有する可能性があるが)MIGSの除去を暗示しようとするものではないことに注目すること。半導体530は半導体デバイス510の最初の電圧に電気的に結合されるように、かつパシベートされた表面540にわたって電流550を導くように機能する。
界面層520は半導体530上に形成され、パシベーション材料と半導体材料との間に形成された共有(または他の)結合によって半導体材料に結合するパシベーション材料を含む。例えば、パシベーション材料の1個の原子は表面のケイ素原子のダングリング・ボンドと供給結合して、そのケイ素原子を完全に配位結合させ、これによりそのケイ素原子をパシベートするのを促進する可能性がある。ある場合には、このパシベーション材料は界面層520の唯一の構成成分である可能性があるが、他の場合には界面層520はパシベーション層と分離層の両方を含んだ化合物層である。すなわち、この界面層は、(i)半導体表面540を化学的にパシベートするように、かつ(ii)MIGSの影響を除去するか、または少なくとも低減させるの十分に金属から半導体を離間させるように働く。以下で説明するように、これは選択されるパシベーション材料に応じて、パシベーション層に加えて界面層内に分離層を含む必要がある可能性がある。当然ながら、パシベーション層と分離層とを組み合わせたものは、本明細書に記載した低い固有接触抵抗を可能にするために十分薄いものである必要がある。
種々のパシベーション材料について考察する。一実施形態によれば、界面層520は水素(H)、酸素(O)、窒素(N)、ヒ素(As)、フッ素(F)から成るグループから選択されるのが好ましい材料を用いて形成される(すなわち、界面層520は窒素、酸素、水酸化物、ヒ素、および/またはフッ化物を含む)。これら材料に類似する化学特性または原子価を有する他の材料が使用される。H、As、またはFのパシベーション層が使用される場合、これらの層はプロセス依存性のSiを含む化合物の層ではなく単層カバレージを形成し易いので、明確な分離層(すなわち、パシベーション層に加えて)が必要になる可能性があることに注目すること。対照的に、Nおよび/またはOを用いて作られたパシベーション層は、これら元素がプロセスに応じて変化し得る厚さを有するSiの化合物の層を形成する可能性があるので、明確な分離層を必要としない。
本発明の様々な実施形態に有用な様々な量のパシベーション材料について考察する。界面層52は約0.1nm〜約5nmの厚さを有する1枚のパシベーション層を含むか、あるいはそれから形成される。例えば、特定の実施に応じて、この厚さは約1nm、0.5nm未満、0.2nm未満であってよいか、半導体に結合されるパシベーション材料の単一の層または単層に相当する厚さであるか、あるいは半導体表面540に関連するダングリング・ボンドの実質的にすべてをパシベートするのに必要なパシベーション材料の原子の数である。
ある場合には、半導体表面540のパシベートには、表面にあるダングリング・ボンドのほか表面から数分子次元内にあるダングリング・ボンドを含む、半導体材料の表面に近接して位置するダングリング・ボンドを除去する(または終端させる)工程を含むであろう。この工程は半導体材料の表面を安定させるであろうし、次の製造工程の制御性を改善する。パシベートは表面での半導体結晶の中断の結果として半導体表面に存在するであろう表面状態密度を低減させてもよい。そのような状態は適切なデバイスの動作に干渉することが知られているので、これが半導体デバイスの整合性と性能を改善させる可能性がある。例えば、それらは結果的にフェルミ準位のピン止めになる表面電荷状態を提供する可能性がある。
III.界面層の形成
(i)半導体表面のパシベーションを提供し、かつ(ii)水素、フッ素、または窒素を含んだ金属が存在する場合に半導体内のMIGSの影響を除去するか、または少なくとも低減させる(本明細書では半導体のフェルミ準位のピン止め解除とまとめて呼ぶ)ために金属からの半導体の離間を提供するための界面層を形成する例示的方法を以下に記載して本発明の概念をさらに説明する。他のパシベーション材料にはヒ素、酸素、または酸窒化物を含んでよく、ある場合にはそのようなパシベーション層は界面層を完全にするために(例えば、酸化物で作られた)分離層と結合される。
A.水素およびフッ素
界面層は(水酸化物および/またはフッ化物の形態で)水素、フッ素、または水素とフッ素の両方を含んでよい。水素およびフッ素を用いて半導体表面上に界面層を形成する方法の1つは、洗浄液を用いて半導体基板を洗浄し、典型的に重量で約1%〜50%の有効濃度を有するフッ化水素溶液(または水素イオンおよびフッ素イオンを含んだ他の液体)に洗浄した基板を浸漬し、典型的には約数秒〜約5秒の有効な時間間隔の間待機し、フッ化水素溶液から基板を取り出し、任意には脱イオン水で基板を洗い流し、窒素で基板をブロードライする工程を含む。そのような方法は半導体表面に(例えば、共有)結合された、水素およびフッ素を含んだ界面層を形成する可能性がある。
一般に約30秒より長く脱イオン水で洗い流せば、水素のパシベーションを除去してしまう恐れがあるということに注目すべきである。したがって、水素のパシベーションを維持するためには、脱イオン水による洗い流しは約30秒よりも短く保たれることが有利である可能性がある。また、浸漬中にフッ化水素の濃度が高くなればなるほど、フッ素のパシベーションの濃度は一層高くなる。最終的に、水素対フッ素のパシベーションの比が水素またはフッ素のいずれかを除去することによって変えられる場合の方法についても考察する。
この方法で形成された界面層は、例えば熱蒸着源を用いて次の金属層をその界面層の上に無侵襲的な方法で蒸着する用途に最適可能性がある。今日までの本発明者らによる実験からは、他のアプローチ(例えば、プラズマ蒸着法)を用いれば、本発明の一部であると考えられる薄い(例えば、単層の厚さの)界面層に損傷を与える可能性があると示唆されている。
B.窒素
さらなる実施形態では、界面層は(例えば、窒化ケイ素の形態で)窒素を含んでよい。窒素を用いて半導体表面上に界面層を形成する1つの方法は、窒素性物質(すなわち、窒素を含む気体または他の材料)存在下で半導体表面を加熱する工程を含む。例えば、露出したケイ素表面を含む基板が、有効な分圧において、例えばアンモニア(NH3)を含んだガス雰囲気下で、急速加熱窒化物形成(RTN)に従来用いられる温度より低い約300℃〜約750℃の温度でアニーリングされてよい。我々は露出とは汚れがなく、ケイ素以外には何も無いということを意味している。このような方法は半導体表面に結合した、多くの場合窒化物である窒素を含有する界面層を形成する。本発明者らはこのような低い温度条件では界面層の成長は自己制限的で、温度のみに左右されると示唆している。
別の実施形態によれば、窒素を含む界面層が、真空状態下で半導体材料を実質的に高い温度まで加熱し、その半導体材料を実質的に少量のアンモニアなどの窒素性ガスに曝露させる工程を含む方法によって半導体材料の露出した表面上に形成されてよい。この方法は露出した半導体表面を有する半導体を加熱室に設置し、約100万分の1トールより低い真空、あるいはより好ましくは10−9トールより低い超高真空を引き、次に加熱室内で半導体を実質的に高い温度まで加熱する工程を含む。真空が高くなるほど、加熱室内に残留する酸素または水から酸化物を成長させずに、基板がより長い時間加熱される。したがって、この工程には不活性環境下で約900℃〜約1000℃以上まで半導体を過熱する工程を含んでよい。要求通りに、半導体は半導体上の自然酸化物を低減させるために水素ガスまたはその同等物に曝露される。このような高温はより低い温度で得られるであろう結果と比べて、より大きなパシベートの半導体表面を提供する。
次に、加熱された半導体は実質的に少量のアンモニアなどの窒素性物質に曝露される。この工程には半導体表面をアンモニアに実質的に短時間曝露させる工程を含む。例えば、表面は約0.5秒〜約5秒の時間間隔の間、アンモニアのバーストまたはパルスに曝される。別法では、表面は任意により長い時間間隔にわたって制御された少量のアンモニアに曝露される。このようにして、実質的に少量のアンモニアは表面と反応して窒化物層などの窒素性界面層をその表面上に形成し、その後さらなる界面層の成長は止まる。次に、この半導体は実質的な高温から周囲温度まで冷却され、加熱室から取り出される。基板と成長した窒化物層のさらなるアニーリングが、取出し前に真空室内で約700℃〜約1000℃の実質的に高温で行われてもよい。
有利には、上記のような工程などの、実質的に短時間の実質的に高温の曝露を組み入れた工程を用いて、薄いが有効的な界面層を制御可能に形成されることが予想外に観察された。すなわち、本発明者らは窒素性物質を含む薄い界面層の形成において、温度が厚さを制御する際に支配的要因であろうことに気付いた。例えば、そのような方法によって、約1nmより薄い、約0.5nmより薄い、約0.2nmより薄い厚さを有する有効な界面層、または半導体表面に近接する基本的にすべてのダングリング・ボンドをパシベートするのに十分な、基本的に1枚の単層に相当する厚さを有する有効な界面層が形成される。
さらに、有利には薄い界面層が窒素性ガスまたは他の不活性窒素含有ガスの存在下で半導体上に成長される。ケイ素などの半導体と窒素ガスとの反応速度は、アンモニアなどの反応性窒素含有ガスの反応速度に比して著しく低い。この遅い成長速度は、約1nmより薄い、約0.5nmより薄い、約0.2nmより薄い厚さの半導体上で、またはケイ素表面に近接する基本的にすべてのダングリング・ボンドをパシベートするのに十分な、基本的に1枚の単層に相当する厚さを有する半導体上で窒素の膜をよりよく制御するのに好ましい。
IV.パシベートされた半導体表面を含んだダイオード
ショットキー障壁(すなわち、金属と半導体との間に形成された非対称電位)から製造されたダイオードは、電源の整流器や制御用途において広く使用されている。本明細書で用いられているように、ショットキー・ダイオード、金属−半導体接合ダイオード、ダイオード、整流器という用語はすべて関連があり、順に左から右により特定的な物からより一般的な物を記載している。同様に、ショットキー障壁、金属−半導体障壁、導電体−半導体接合、およびマルチ材料接合という用語はすべて関連があり、順に左から右により特定的な物からより一般的な物を記載している。ショットキー・ダイオードという用語はショットキー障壁を含んだダイオードを意味するであろう。
上記のように、本発明者らは、(酸化物、窒化酸化物、窒化物、ヒ化物、水酸化物、フッ化物、あるいはそれらの同等物を含むか、あるいはときにそれらから成る)界面層を金属と半導体との間に形成することによって、ショットキー障壁高さを制御または調整するためのスキームを考案した。このスキームは他者らによる障壁高さを制御するための試行とは異なっており、その試行ではコンタクト金属としてケイ化物を用いるか、あるいは幅広いバンドギャップを示す難解な基板を用いるかのいずれかが必要であった。さらに、従来のデバイスでは、半導体のフェルミ準位は使用される金属に障壁高さを依存しない状態でピン止め解除されたままである。最終的には、基板のドーピングも試みられたが、それは基板材料の障壁高さに本当に影響を及ぼすことが認められなかった。例えば、PtSiコンタクトは接合にわたる電流が障壁をトンネリングすることによって占有されるように、高シリコンドーピングに起因する抵抗を低減した。このため、ドーピングが障壁の上部が電子に対して基本的に透過的であるような状況に繋がる可能性があるが、ドーピングは障壁高さを実際には調整することができない。
図6は半導体610と導電体630との間に配置され、かつその両方に結合された界面層620を含んだ本発明によるダイオード600の一実施形態を示す。導電体および半導体はダイオード600の動作に関連する種々の電圧に電気的に結合されるように、かつ半導体610と界面層620との間の接合において形成されたパシベートされた半導体表面に電流を通すように機能する。
導電体630は金属または金属の合金などの導電性材料を含む。金属、導電性材料、および導電体という用語はすべて関連があり、順に左から右により特定的な物からより一般的な物を記載している。一般に、これらの用語は部分的に充填された帯に存在するフェルミ準位を有する電気的に高い導電性の物質を意味する。特に指定のない限り、導電体は金属(例えば、純金属および合金)、およびドープ・ポリシリコン(ランダムに配向した結晶構造を含んだ非多孔性シリコン)、ドープ単結晶シリコン、および金属ケイ化物などの他の導電体を含む。合金はそれらの成分とは異なる仕事関数を有してよく、また構成金属の比を選択的に使用して固有の仕事関数を有するように設計されてよいことに注目すること。
金属は導電性半導体に対して、より低い抵抗、優れた高周波性能とスイッチングを提供するより高いキャリヤ移動度、有利なパワー特性、製造管理の容易さを含む利点を提供するであろうために、多くの場合導電体は金属である。金属を使用すれば、半導体ドーピングを行う必要性を回避することもでき、製造が単純化され、品質管理が向上する。
考慮される金属には、純金属、合金、高融点金属、ケイ化物を形成しない金属、熱蒸散される金属蒸気の凝結などの実質的に無侵襲的プロセスによって物理的に堆積された金属、および所定の仕事関数を有する金属がある。無侵襲的に堆積された金属を使用すれば、層のパシベート特性を壊すことなく、薄い界面層上に金属を形成できる。
所定の仕事関数を有する金属は、所望の用途に応じて半導体の仕事関数よりも小さいか、または大きい仕事関数を有する金属である。多くの場合、この半導体はケイ素であろう。この場合、われわれは半導体すなわちケイ素の仕事関数を用いて半導体のバンドギャップ内の中間のエネルギーを示す。ケイ素よりも小さい仕事関数を有する例示的な金属は3A族の元素、アルミニウム(Al)、インジウム(In)、チタニウム(Ti)、クロム(Cr)、タンタル(Ta)、セシウム(Cs)、マグネシウム(Mg)、エルビウム(Er)、イッテルビウム(Yb)、マンガン(Mn)、鉛(Pb)、銀(Ag)、イットリウム(Y)、および亜鉛(Zn)を含む。ケイ素よりも大きい仕事関数を有する例示的な金属は、白金(Pt)、金(Au)、タングステン(W)、ニッケル(Ni)、モリブデン(Mo)、銅(Cu)、コバルト(Co)、およびパラジウム(Pd)を含む。
図6に示した半導体−界面層−導電体構成は「パシベートされたショットキー障壁」と呼ぶために選択したものを定めている。このパシベートされたショットキー障壁は導電体に近接する半導体内に形成された空乏領域に起因する導体内のフェルミ・エネルギー(電気化学的電位)における電子または正孔に対する自然に形成された電位障壁である。このパシベートされたショットキー障壁は、半導体と導電体との間に界面層が配置されずに半導体と導電体との間に自然に形成されるであろう標準的なアンパシベート・ショットキー障壁から障壁高さが逸脱する可能性がある。すなわち、パシベートされたショットキー障壁は、表面特性にではなく、半導体および導電体のバルク特性に大きく依存する障壁高さを有するかもしれず、界面層の特性に部分的に依存する可能性がある。
実際、本発明者らは半導体基板の窒化物形成による表面のパシベーションの厚さの変化に対して障壁高さの変動は単調で連続的であると判断した。より特定的には、本発明者らによる実験からは、窒化物層はMIGSを除去するのに十分厚いというレジメでは、界面層形成の温度が障壁高さに最も強く影響するということが示されている。他のレジメでは、厚さが重要になる可能性がある。理想的には、表面準位がすべて除去された場合、障壁高さは使用される金属を単に選択することによって制御可能になるべきである。
界面層の厚さが何故重要であるかを理解するために、図8を簡単に参照すると、界面固有の接触抵抗対界面厚のグラフが示されている。このグラフは金属の仕事関数が半導体内の電子親和力と同じである構造体に関するものであるので、金属のフェルミ準位が半導体の伝導帯と並んでいる。厚さが大きいと、界面層は電流に対して大きな抵抗をもたらす。厚さが薄くなると、トンネリング電流が減少するので抵抗は減少する。しかし、さらに界面層がどんどん薄くなるにつれて、抵抗が上昇するポイントが来る。これはMIGSの影響によるものであり、これは半導体のミッドギャップに向かって金属のフェルミ準位をさらに引き下げて、ショットキー障壁を形成する。本発明者らはグラフに示すようにこの競合によって結果として最適な厚さが得られることを発見し、この場合抵抗は最小である。この厚さにおいて、MIGSの影響は金属をピン止め解除し、かつショットキー障壁をより低くするのに十分に低減され、また層は界面層にわたって大きな電流を流すのに依然として十分に薄いものである。約2500Ω−μm2以下、約約1000Ω−μm2以下、約100Ω−μm2以下、約50Ω−μm2以下、約10Ω−μm2以下、あるいはさらには約1Ω−μm2以下の接触抵抗が達成される可能性がある。
したがって、所望の障壁高さを提供するために調節可能であってよい特性には、使用されるパシベーション材料(例えば、バンドギャップに基づく選択)、界面層の厚さ(例えば、特に界面層がパシベーション層および分離層から形成された化合物層である場合)、界面層を形成する方法(例えば、温度などのパラメータの制御)、金属界面において形成されるMIGSの浸入度に実質的に類似する界面層の厚さ、ソースおよび/またはドレインンとして用いられる金属、その他の特性を含む。
界面層620を導入することによってショットキー障壁高さを調整できる能力の利点の1つは、実質的に高い障壁高さを形成できることである。界面層を用いて、金属ケイ化物を用いて達成されるよりも大きく、約2.0eVより大きく、または約2.5eVより大きく(少なくともこの大きさのバンドギャップを有する半導体を用いた場合)、あるいはケイ素を用いた場合にほぼ1.0Vである障壁高さを有するショットキー障壁が形成されてよい。このような障壁高さは、破壊が生じる前に高電圧に耐える能力があることを示唆している。したがって、そのような障壁高さを有するショットキー障壁は高電圧ショットキー・ダイオードにおいて有用である可能性がある。
界面層620を用いることで達成される別の利点は、導電体630を選択する際により大きな柔軟性が与えられることである。典型的には、従来のショットキー・ダイオードに適用するために選択される金属は、ケイ素半導体を用いてケイ化物を形成できる金属である。ケイ化物の形成は(ダングリング・ボンドから得られる)表面準位を低減させるのに役立つが、MIGSの影響を低減させるのには役立たない。このため、半導体表面におけるフェルミ準位は依然としてピン止めされる。したがって、ケイ素に接触したときにケイ素化合物を形成する金属を使用すれば、製造環境においてより再現可能なデバイスを製造するのに役立つが、そのようなデバイスは固定された障壁高さを有するという欠点を依然として負う。
しかし、本発明の一実施形態によれば、その半導体を用いてケイ化物を形成することのできない(あるいは容易に形成することのできない)導電体を選択する。本発明に従って提供される界面層は半導体表面をパシベートし、MIGSの影響を低減するか、または除去もするので、金属ケイ化物を必要としない。これにより、金属ケイ化物を形成しない可能性があるが、望ましい仕事関数またはフェルミ準位などの特性を有する金属を選択できる可能性がある。
例えば、大きなバリヤ・ダイオードを作るためには、n型ドープ・シリコン半導体については、半導体の価電子帯エネルギーに実質的に等しいか、あるいは半導体の価電子帯エネルギーの約0.1eV〜約0.3eV以内の仕事関数を有する金属が選択される。同様に、p型ドープ・シリコン半導体については、半導体の伝導帯エネルギーに実質的に等しい仕事関数を有する金属が選択される。本発明に従って構成されたショットキー・ダイオードについては、その金属のフェルミ準位は界面層が接合内に配置されるときに半導体のバンドギャップ内のどこかに存在するかもしれず、その結果種々の障壁高さのダイオードが得られる。この金属のフェルミ準位は半導体の伝導帯または価電子帯に存在する。
したがって、界面層620の使用は導電体と半導体との間の障壁の高さを調整、調節、または管理する方法を提供する。界面層620がないと、障壁高さは(上記のように)実質的に、調整不能、調節不能、かつ固定されたものになるであろう。
導電体630と半導体610との間の障壁の高さを調整、調節、かつ制御する際に界面層620が担う役割は、半導体のフェルミ準位のピン止め解除として理解されてよい。すなわち、界面層は半導体材料に結合してダングリング・ボンドを消費することによって、表面準位を低減させる可能性がある。さらに、界面層は(金属の)電子波動関数が半導体に入り込まない厚さおよびバンドギャップを提供することによって、半導体内のMIGSの形成を低減させる可能性がある。むしろ、電子波動関数は界面層に入り込んで、界面層材料の状態に関連するエネルギーで界面層内でMIGSを形成する可能性がある。要求通りに、MIGSの密度および界面層にMIGSが入り込む深さは、半導体に比べてより大きなバンドギャップおよびより高い有効質量を有する界面層の材料または複数の材料を選択することによって低減される。
次に本発明の一実施形態によれば、界面層620がデバイスの動作の間に半導体表面および界面層に電流を通すように機能するデバイスに組み入れられる。そのような実施形態では、界面層が(障壁高さが接合材料のバルク特性に大きく依存するように)フェルミ準位をピン止め解除すると共に、十分な電流移動を可能にするように、単層の厚さ、例えば、約0.1nm〜約0.3nmの厚さ有し、かつ(半導体のバンドギャップに比して)幅広いバンドギャップも有する界面層を使用することが望ましい。有利には、そのような界面層は、多くの半導体デバイスにとって望ましい(直接的トンネリングの障壁厚さに対する指数関数的依存性に起因して)電流に対して低インピーダンスを提供するのに十分に薄いものであってよく、同時に調節可能な障壁高さを可能にする十分な半導体表面のパシベーションも提供される。すなわち、この界面層は界面層にわたって十分な電流を移動させることのできる実質的に薄い層を用いて調節可能な障壁高さを可能にするために、表面準位のパシベートと半導体内のMIGSの低減(または除去)する。
障壁高さを調節可能にできる方法はいくつかある。例えば、調節はフェルミ準位のピン止めの程度を調整することによって行われる。すなわち、いくつかの実施形態はSi内のMIGSの影響が全ては除去されないように十分に薄い界面層とすることができる。さらに、ピン止めは界面層の厚さおよび海面層材料の選択を組み合わせることにより変えることができる。界面層と接する金属がMIGSによって種々の材料内で種々の準位でピン止めされる。逆に、あるいはさらに、有効レベルのアンパシベート準位を可能にするためにパシベートが不完全なまま残される。フェルミ準位の完全にピン止め解除すること(すなわち、MIGSを含むSi内の全表面準位の除去)は別の選択肢である。この場合単に所望の仕事関数を有する純金属または合金を選択することによって障壁高さを調整できるであろう。この場合、障壁高さは今までは実現不可能な理想であった式(1)によって決定される。本願明細書で説明されているような調整の種類は、接合工程間に外部から加えられる条件を変えることではなく、製造時に接合の構造を変えることによる障壁高さの調節であることに注目すること。
図7a〜7dは半導体と接触(あるいは近接する)する金属を含んだ種々のショットキー障壁に関するフェルミ・エネルギー、伝導帯エネルギー、価電子帯エネルギーの関係を示しており、半導体のバンドギャップ(Eg)は伝導帯(Ec)と価電子帯(Ev)との間に存在している。この例では、金属ΦMの仕事関数は半導体の電子親和力χSにほぼ等しくなるように選択されている。図7aにはアンパシベート・ショットキー障壁700を示す。この例では、金属730のフェルミ準位(EF)が半導体710のバンドギャップ内にピン止めされている。この結果荷電した双極子によってもたらされる真空レベルが界面で止まる。
図7bでは、界面層720bは半導体710の表面における表面ダングリング・ボンドをパシベートするのに十分に厚くなっているが、MIGSの影響を除去するか十分に低減させるほどには厚いものではない。その結果、帯構造は先に示した図で見られるような帯構造とは大きな変化はない。同様に、図7cでは、界面層720cがMIGSの影響を除去するか、または低減させるの十分厚くなっているが、半導体表面をパシベートするほどには厚くない場合、エネルギー帯構造にほとんど変化は認められない。しかし、図7dに示すように、界面層720dがMIGSの影響を除去するか、または低減させると共に半導体表面をパシベートするのに十分なものである場合、金属のフェルミ準位が半導体の伝導帯と合っている(すなわち、半導体のフェルミ準位がピン止め解除されて、もはや金属のフェルミ準位と合っていない)ことがわかる。荷電した双極子が界面に存在しないので、真空レベルはここでは連続的である。したがって、この方法で作られたデバイスの帯構造はバルク材料特性の結果であるが、表面の特性の結果ではない。一例として、そのような場合の材料はAlおよびSiであり、Alに関する仕事関数ΦM=約4.1eVおよびSiに関する電子親和力χS=約4.05eVである。
V.パシベートされた半導体表面を含むトランジスタ
本明細書に記載した界面層は、電界効果トランジスタ内のチャネルの半導体表面と共に使用されてよい。すなわち、界面層は電界効果トランジスタの、ソースとチャネルとの間、チャネルとドレインとの間、あるいはその両方に配置されてよい。界面層のこのような使用は、2002年 本願明細書の発明者らによって出願され、本発明の譲受人に付与された「INSULATED GATED FILD EFFCT TRANSISTOR HAVING SCHOTTKY BARRIERS TO THE CHANNEL」と題された米国特許同時継続出願く 号に詳細に記載されている。
電界効果トランジスタのチャネルにおけるソースとドレインのコンタクトは、本発明を構成するより広い範疇の金属−界面層−半導体コンタクトの例である。従来、そのようなコンタクトは一般にケイ化物−n−Si接合から構成されており、半導体のフェルミ準位がミッドギャップでピン止めされた状態で幾分「漏れ易い」ショットキー・ダイオードを形成した。対照的に、(例えば、図7dに示すように)本発明は金属のフェルミ準位が半導体の伝導帯と合わされたコンタクトを提供する。他の場合では使用される半導体材料および導電体のタイプに応じて、金属のフェルミ準位は半導体の価電子帯と合わされる。
両タイプの接合(すなわち、新たにパシベートされたショットキー障壁接合および従来のケイ化物−半導体接合)はトンネリング電流を可能にするが、本発明の接合は従来使用されるケイ化物層の厚さよりも一層薄い界面層を用いて製造できる。実際、ケイ化物の厚さよりも小さい桁の厚さが期待される。従来のケイ化物−半導体接合では、空乏領域を含んだショットキー障壁が形成される。そのような空乏層によって示されるトンネル障壁は、本発明における誘電性のトンネル障壁よりも大きい桁である可能性がある。本発明によって提供される界面層がより薄くなるにつれて、接合間により高い電流が流れるようになる(すなわち、接合固有接触抵抗を下げる)。
誘電体の他の2つの特性は言及に値する。第1に半導体伝導帯と比較した(電子に対する)障壁の高さの特性である。ケイ化物障壁よりも障壁をより薄くする場合、そのトレードオフはより高いトンネル障壁となる可能性がある(例えば、ケイ化物に対する0.6eVのギャップの約半分と比較して、窒化物に対しては2eV)。スペーサ層がより低い障壁(例えば、TiO2は1eVよりも小さい障壁を有する)と共に使用されてよい。しかし、さらに電子に対してより高い障壁を用いた場合、本発明者らは抵抗ケイ化物障壁を用いたシリコンに対するコンタクトに比して依然として1/100より低くなると判断した。
第2の特性は誘電体内の電子の有効量である。より大きな質量の電子は(すなわち、電子の波長が短いために)金属から半導体へと入り込まないであろう。誘電体に入り込む電子が少なくなるほど、誘電体内のMIGSの影響は小さくなる。したがって、誘電体内のMIGSはより大きなバンドギャップおよびより大きな有効質量を用いて低減される。
さらに、本発明の接合はソースまたはドレインが埋め込まれたウェルに対するコンタクトを作る際に使用でき、(現在ではその固溶限に達している)高いドーピング・レベルの必要性を低減させるであろう。トンネリング電流を増大させて、故に接合抵抗を低減させるように接合の空乏層を比較的薄く保つために、従来では高いドーピング・プロファイルを必要とした。しかし、低抵抗の接合を提供するためにドーピング・プロファイルを増大させることは一層困難になりつつある。本発明を用いたより低いドーピング濃度を用いれば、同じレベルの抵抗に達することができる可能性がある。さらに、より低いドーピング濃度を用いれば一層低い抵抗に達することができる可能性がある。本発明を高いドーピング濃度で用いれば、抵抗はさらに低減されるであろう。
したがって、半導体−界面層−金属接合のため方法および用途を記載してきた。特定の実施形態に関して記載してきたが、本発明の幅広い精神および範囲から逸脱することなく、本願明細書に記載した技術に変形および変更がなされてよいことに注意すべきである。したがって、本明細書および図面は限定的な意味合いではなく例示的なものとみなすべきであり、本発明は特許請求の範囲の観点でのみ評価されるべきである。
ダングリング・ボンドを有する表面のケイ素原子を含んだアンパシベートケイ素表面を示す断面図である。 金属および半導体の種々のエネルギー準位を示す略図であり、金属の仕事関数および半導体の電子親和力を示すために記号を付している。 従来の金属−n型半導体接合のエネルギー準位を示す略図であり材料が相互に接触するときに半導体内に形成される空乏領域の概念も示している。 従来の金属−n型半導体接合におけるバンドの曲がりを示す略図である。 半導体デバイスの動作中に電流が流れる表面を有する半導体材料を含み、かつ本発明の一実施形態に従って表面上に形成された界面層を含む半導体デバイスを示す略図である。 本発明の一実施形態に従って半導体と導電体との間に配置された界面層を含んだ電気接合を示す略図である。 それぞれ本発明の一実施形態である、アンパシベート・ショットキー・ダイオード、MIGSが除去されていないパシベートされたショットキー・ダイオード、MIGSが除去されたアンパシベート・ショットキー・ダイオード、および除去されたパシベートされたショットキー・ダイオードに関するフェルミ・エネルギー、伝導体エネルギー、および価電子帯エネルギーの関係を示すグラフである。 本発明の一実施形態に従って半導体と導電体との間に配置された界面層を含んだ電気接合に関する界面層抵抗対界面層厚さを示すグラフである。

Claims (61)

  1. 金属と、
    フェルミ準位を有するケイ素ベースの半導体と、
    前記金属と半導体との間に配置され、それらと接触し、前記半導体の前記フェルミ準位をピン止め解除するように構成された界面層と
    を含み、約1000Ω−μm2以下の固有接触抵抗を有している電気デバイス。
  2. 前記界面層はパシベーション材料を含む請求項1に記載の電気デバイス。
  3. 前記パシベーション材料はケイ素の窒化物、フッ化物、酸化物、酸窒化物、水酸化物、および/またはヒ化物のうちの1つまたは複数を含む請求項2に記載の電気デバイス。
  4. 前記界面層は基本的に、前記半導体の前記フェルミ準位をピン止め解除するように構成された単層から成る請求項3に記載の電気デバイス。
  5. 前記界面層は分離層をさらに含む請求項2に記載の電気デバイス。
  6. 前記固有接触抵抗は約100Ω−μm2以下である請求項1に記載の電気デバイス。
  7. 前記固有接触抵抗は約50Ω−μm2以下である請求項1に記載の電気デバイス。
  8. 前記固有接触抵抗は約10Ω−μm2以下である請求項1に記載の電気デバイス。
  9. 前記固有接触抵抗は約1Ω−μm2以下である請求項1に記載の電気デバイス。
  10. 前記界面層は窒素性物質存在下で前記半導体を加熱することによって製造されるパシベーション層を含む請求項1に記載の電気デバイス。
  11. 前記窒素性物質はアンモニア(NH3)、窒素(N2)、または非結合窒素(N)のうち少なくとも1つを含む請求項10に記載の電気デバイス。
  12. 前記界面層がパシベーション材料を含む金属−界面層−Siベース半導体接合を含み、前記電気デバイスが約1000Ω−μm2以下の固有接触抵抗を有している電気デバイス。
  13. 前記固有接触抵抗は約100Ω−μm2以下である請求項12に記載の電気デバイス。
  14. 前記固有接触抵抗は約50Ω−μm2以下である請求項12に記載の電気デバイス。
  15. 前記固有接触抵抗は約10Ω−μm2以下である請求項12に記載の電気デバイス。
  16. 前記固有接触抵抗は約1Ω−μm2以下である請求項1に記載の電気デバイス。
  17. 前記パシベーション材料はケイ素の窒化物、フッ化物、酸化物、酸窒化物、水酸化物、および/またはヒ化物のうちの1つまたは複数を含む請求項12に記載の電気デバイス。
  18. 前記界面層はパシベーション層および分離層を含む請求項17に記載の電気デバイス。
  19. 半導体の表面と導電体との間に配置された界面層を使用して電気接合におけるケイ素ベースの半導体のフェルミ準位をピン止め解除する工程を含む方法であって、前記界面層は(i)約1000Ω−μm2未満の固有接触抵抗を有する接合を提供すると共に前記半導体内の金属誘起ギャップ準位の作用を低減させるのに十分な厚さを有し、(ii)前記半導体の表面をパシベートする方法。
  20. 前記固有接触抵抗は約100Ω−μm2以下である請求項19に記載の方法。
  21. 前記固有接触抵抗は約50Ω−μm2以下である請求項19に記載の方法。
  22. 前記固有接触抵抗は約10Ω−μm2以下である請求項19に記載の方法。
  23. 前記固有接触抵抗は約1Ω−μm2以下である請求項19に記載の方法。
  24. 前記界面層は約1Ω−μm2以下の電気接合の固有接触抵抗を提供するのに十分な厚さを有する請求項19に記載の方法。
  25. 前記界面層はケイ素のヒ化物、水酸化物、フッ化物、酸化物、酸窒化物、窒化物を含むリストから選択されたパシベーション材料を含む請求項19に記載の方法。
  26. 前記界面層は基本的に単層から構成される請求項25に記載の方法。
  27. 前記界面層は約300℃を超える温度にて前記半導体上で成長される請求項19に記載の方法。
  28. 前記界面層は窒素性物質存在下で成長される請求項27に記載の方法。
  29. 前記窒素性物質はアンモニア(NH3)、窒素(N2)、または非結合窒素(N)のうちの1つを含む請求項28に記載の方法。
  30. 前記界面層は水素イオンとフッ素イオンを含む液体内に前記半導体を浸漬することによって成長される請求項19に記載の方法。
  31. Siベース半導体と、導電体のフェルミ準位を前記半導体の伝導帯と位置合わせすることを可能にする厚さを有する界面層によって前記半導体から分離された導電体との間に接合を含む電気デバイスであって、約1000Ω−μm2未満の固有接触抵抗を有している電気デバイス。
  32. 前記固有接触抵抗は約100Ω−μm2以下である請求項31に記載の電気デバイス。
  33. 前記固有接触抵抗は約50Ω−μm2以下である請求項31に記載の電気デバイス。
  34. 前記固有接触抵抗は約10Ω−μm2以下である請求項31に記載の電気デバイス。
  35. 前記固有接触抵抗は約1Ω−μm2以下である請求項31に記載の電気デバイス。
  36. Siベース半導体と、導電体のフェルミ準位を前記半導体の価電子帯と位置合わせすることを可能にする厚さを有する界面層によって前記半導体から分離された導電体との間に接合を含む電気デバイスであって、約1000Ω−μm2未満の固有接触抵抗を有している電気デバイス。
  37. 前記固有接触抵抗は約100Ω−μm2以下である請求項36に記載の電気デバイス。
  38. 前記固有接触抵抗は約50Ω−μm2以下である請求項36に記載の電気デバイス。
  39. 前記固有接触抵抗は約10Ω−μm2以下である請求項36に記載の電気デバイス。
  40. 前記固有接触抵抗は約1Ω−μm2以下である請求項36に記載の電気デバイス。
  41. Siベース半導体と、前記半導体のフェルミ準位を導電体のフェルミ準位と無関係なものにできる厚さを有する界面層によって前記半導体から分離された導電体との間に接合を含み、前記電気デバイスは約1000Ω−μm2未満の固有接触抵抗を有している電気デバイス。
  42. 前記固有接触抵抗は約100Ω−μm2以下である請求項41に記載の電気デバイス。
  43. 前記固有接触抵抗は約50Ω−μm2以下である請求項41に記載の電気デバイス
  44. 前記固有接触抵抗は約10Ω−μm2以下である請求項41に記載の電気デバイス。
  45. 前記固有接触抵抗は約1Ω−μm2以下である請求項41に記載の電気デバイス。
  46. n型またはp型半導体材料のいずれかのケイ素ベースの半導体と、
    前記半導体がn型半導体材料の場合には前記半導体の伝導帯にほぼ等しい仕事関数を有し、または、前記半導体がp型半導体材料の場合には前記半導体の価電子帯にほぼ等しい仕事関数を有する金属と、
    前記半導体と前記金属との間に配置され、その両方に接触する界面層とを備えた電気デバイスであって、約1000Ω−μm2以下の固有接触抵抗を有している電気デバイス。
  47. 前記界面層はパシベーション材料を含む請求項46に記載の電気デバイス。
  48. 前記パシベーション材料はケイ素の窒化物、フッ化物、酸化物、酸窒化物、水酸化物、および/またはヒ化物のうちの1つまたは複数を含む請求項47に記載の電気デバイス。
  49. 前記界面層は基本的に、前記半導体のフェルミ準位をピン止め解除するように構成された単層から成る請求項48に記載の電気デバイス。
  50. 前記界面層は分離層をさらに含む請求項47に記載の電気デバイス。
  51. 前記固有接触抵抗は約100Ω−μm2以下である請求項46に記載の電気デバイス。
  52. 前記固有接触抵抗は約50Ω−μm2以下である請求項46に記載の電気デバイス。
  53. 前記固有接触抵抗は約10Ω−μm2以下である請求項46に記載の電気デバイス。
  54. 前記固有接触抵抗は約1Ω−μm2以下である請求項46に記載の電気デバイス。
  55. 前記界面層は窒素性物質存在下で前記半導体を加熱することによって製造されるパシベーション層を含む請求項46に記載の電気デバイス。
  56. 前記窒素性物質はアンモニア(NH3)、窒素(N2)、または非結合窒素(N)のうち少なくとも1つを含む請求項55に記載の電気デバイス。
  57. n型またはp型半導体材料のいずれかのケイ素ベースの半導体と、
    前記半導体がp型半導体材料の場合には前記半導体の伝導帯末端に実質的に等しい仕事関数を有し、または、前記半導体がn型半導体材料の場合には前記半導体の価電子帯末端にほぼ等しい仕事関数を有する金属と、
    前記半導体と前記金属との間に配置され、その両方に接触する界面層とを備えた電気デバイス。
  58. 前記界面層はパシベーション材料を含む請求項57に記載の電気デバイス。
  59. 前記パシベーション材料はケイ素の窒化物、フッ化物、酸化物、酸窒化物、水酸化物、および/またはヒ化物のうちの1つまたは複数を含む請求項58に記載の電気デバイス。
  60. 前記界面層は基本的に前記半導体のフェルミ準位をピン止め解除するように構成された単層から成る請求項57に記載の電気デバイス。
  61. 前記界面層は分離層をさらに含む請求項57に記載の電気デバイス。
JP2004539828A 2002-08-12 2003-08-08 電気接合における半導体のフェルミ準位をピン止め解除する方法および同接合を組み入れたデバイス Expired - Fee Related JP4847699B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/217,758 2002-08-12
US10/217,758 US7084423B2 (en) 2002-08-12 2002-08-12 Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
PCT/US2003/025054 WO2004030104A1 (en) 2002-08-12 2003-08-08 Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions

Publications (3)

Publication Number Publication Date
JP2005536070A true JP2005536070A (ja) 2005-11-24
JP2005536070A5 JP2005536070A5 (ja) 2006-10-12
JP4847699B2 JP4847699B2 (ja) 2011-12-28

Family

ID=31495225

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539828A Expired - Fee Related JP4847699B2 (ja) 2002-08-12 2003-08-08 電気接合における半導体のフェルミ準位をピン止め解除する方法および同接合を組み入れたデバイス

Country Status (7)

Country Link
US (18) US7084423B2 (ja)
EP (3) EP1543561B1 (ja)
JP (1) JP4847699B2 (ja)
KR (1) KR101025378B1 (ja)
CN (1) CN100530682C (ja)
AU (1) AU2003255256A1 (ja)
WO (1) WO2004030104A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180262A (ja) * 2020-05-14 2021-11-18 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
JP2022030298A (ja) * 2020-08-06 2022-02-18 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US20070262363A1 (en) * 2003-02-28 2007-11-15 Board Of Regents, University Of Texas System Low temperature fabrication of discrete silicon-containing substrates and devices
US20050045961A1 (en) * 2003-08-29 2005-03-03 Barnak John P. Enhanced gate structure
FR2871936B1 (fr) * 2004-06-21 2006-10-06 Commissariat Energie Atomique Procede de metallisation de la surface prealablement passivee d'un materiau semi conducteur et materiau obtenu par ce procede
US7229893B2 (en) * 2004-06-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7598134B2 (en) 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
WO2007080576A1 (en) * 2006-01-09 2007-07-19 Technion Research And Development Foundation Ltd. Transistor structures and methods of fabrication thereof
WO2007101120A1 (en) * 2006-02-23 2007-09-07 Acorn Technologies, Inc. Method for making semiconductor insulated-gate field-effect transistor having multilayer deposited metal source (s) and/or drain (s)
US7355254B2 (en) * 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
CN101687757A (zh) 2007-04-13 2010-03-31 扩散药品有限公司 双极性反式类胡萝卜素作为预治疗及其在周围血管疾病的治疗中的应用
US7659156B2 (en) * 2007-04-18 2010-02-09 Freescale Semiconductor, Inc. Method to selectively modulate gate work function through selective Ge condensation and high-K dielectric layer
US8236638B2 (en) * 2007-04-18 2012-08-07 Freescale Semiconductor, Inc. Shallow trench isolation for SOI structures combining sidewall spacer and bottom liner
JP2009059996A (ja) * 2007-09-03 2009-03-19 Univ Of Tokyo 半導体装置及びその製造方法
CN102037547B (zh) 2008-04-28 2014-05-14 台湾积体电路制造股份有限公司 形成含纳米丛集介电层的方法及包括上述介电层的装置
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US9166004B2 (en) * 2010-12-23 2015-10-20 Intel Corporation Semiconductor device contacts
CN102222687B (zh) * 2011-06-23 2012-12-19 北京大学 一种锗基nmos器件及其制备方法
WO2013077954A1 (en) 2011-11-23 2013-05-30 Acorn Technologies, Inc. Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
KR20140089639A (ko) * 2013-01-03 2014-07-16 삼성전자주식회사 가변 저항 메모리 장치 및 그 형성 방법
TWI802231B (zh) * 2013-05-07 2023-05-11 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
TWI692849B (zh) * 2013-05-07 2020-05-01 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
TWI756657B (zh) * 2013-05-07 2022-03-01 美商艾肯科技股份有限公司 藉由插入介面原子單層來改善對第iv族半導體的金屬接觸
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9917158B2 (en) * 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
DE102014118874A1 (de) * 2014-12-17 2016-06-23 Infineon Technologies Austria Ag Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
US9653570B2 (en) * 2015-02-12 2017-05-16 International Business Machines Corporation Junction interlayer dielectric for reducing leakage current in semiconductor devices
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
US20180076324A1 (en) * 2016-09-14 2018-03-15 Applied Materials, Inc. Method of contact formation between metal and semiconductor
US10170627B2 (en) 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
JP6439774B2 (ja) * 2016-11-21 2018-12-19 トヨタ自動車株式会社 半導体装置の製造方法
CN108573910B (zh) * 2017-03-07 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20190279981A1 (en) * 2018-03-06 2019-09-12 International Business Machines Corporation Vertical transport complimentary metal-oxide-semiconductor with varying threshold voltages
KR102563383B1 (ko) * 2021-03-04 2023-08-03 고려대학교 산학협력단 소스/드레인 금속 접촉 형성 시 발생하는 계면 결함의 특성을 추출하는 계면 결함 추출 장치 및 그 동작 방법
US11626288B2 (en) 2021-07-30 2023-04-11 Applied Materials, Inc. Integrated contact silicide with tunable work functions

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983264A (en) * 1972-07-20 1976-09-28 Texas Instruments Incorporated Metal-semiconductor ohmic contacts and methods of fabrication
JPS62299077A (ja) * 1986-06-16 1987-12-26 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 半導体装置及びその製造方法
JPS648613A (en) * 1987-06-18 1989-01-12 Ibm Construction of compound semiconductor

Family Cites Families (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US789388A (en) * 1904-03-09 1905-05-09 Crompton & Knowles Loom Works Brake mechanism for looms.
GB122594A (en) 1918-08-08 1919-01-30 George Knight Letts Improvements relating to Kettles, Saucepans, and the like.
US2981877A (en) 1959-07-30 1961-04-25 Fairchild Semiconductor Semiconductor device-and-lead structure
US3513366A (en) * 1968-08-21 1970-05-19 Motorola Inc High voltage schottky barrier diode
US3623925A (en) 1969-01-10 1971-11-30 Fairchild Camera Instr Co Schottky-barrier diode process and devices
US3590471A (en) 1969-02-04 1971-07-06 Bell Telephone Labor Inc Fabrication of insulated gate field-effect transistors involving ion implantation
JPS5514531B1 (ja) 1969-06-18 1980-04-17
US3708360A (en) 1970-06-09 1973-01-02 Texas Instruments Inc Self-aligned gate field effect transistor with schottky barrier drain and source
US3719797A (en) 1971-12-16 1973-03-06 Bell Telephone Labor Inc Solid state temperature sensor employing a pair of dissimilar schottky-barrier diodes
US3877054A (en) 1973-03-01 1975-04-08 Bell Telephone Labor Inc Semiconductor memory apparatus with a multilayer insulator contacting the semiconductor
US3983574A (en) 1973-06-01 1976-09-28 Raytheon Company Semiconductor devices having surface state control
US4019113A (en) 1974-11-20 1977-04-19 James Keith Hartman Energy conversion device
US4110488A (en) 1976-04-09 1978-08-29 Rca Corporation Method for making schottky barrier diodes
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
US4278830A (en) 1977-09-29 1981-07-14 Nasa Schottky barrier solar cell
US4304042A (en) 1978-11-13 1981-12-08 Xerox Corporation Self-aligned MESFETs having reduced series resistance
DE2926874A1 (de) 1979-07-03 1981-01-22 Siemens Ag Verfahren zum herstellen von niederohmigen, diffundierten bereichen bei der silizium-gate-technologie
US4422090A (en) 1979-07-25 1983-12-20 Northern Telecom Limited Thin film transistors
US4338616A (en) 1980-02-19 1982-07-06 Xerox Corporation Self-aligned Schottky metal semi-conductor field effect transistor with buried source and drain
US4300152A (en) 1980-04-07 1981-11-10 Bell Telephone Laboratories, Incorporated Complementary field-effect transistor integrated circuit device
JPS5932902B2 (ja) 1980-06-12 1984-08-11 インターナシヨナルビジネス マシーンズ コーポレーシヨン 半導体オ−ミツク接点
US4801984A (en) 1980-06-12 1989-01-31 International Business Machines Corporation Semiconductor ohmic contact
US4691219A (en) 1980-07-08 1987-09-01 International Business Machines Corporation Self-aligned polysilicon base contact structure
JPS57113289A (en) 1980-12-30 1982-07-14 Fujitsu Ltd Semiconductor device and its manufacture
JPS584924A (ja) 1981-07-01 1983-01-12 Hitachi Ltd 半導体装置の電極形成方法
US4485550A (en) 1982-07-23 1984-12-04 At&T Bell Laboratories Fabrication of schottky-barrier MOS FETs
US4521800A (en) * 1982-10-15 1985-06-04 Standard Oil Company (Indiana) Multilayer photoelectrodes utilizing exotic materials
US4485265A (en) 1982-11-22 1984-11-27 President And Fellows Of Harvard College Photovoltaic cell
DE3379367D1 (en) 1982-12-08 1989-04-13 Philips Nv A semiconductor device comprising at least one schottkytype rectifier having controllable barrier height
KR910006249B1 (ko) 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
US4696828A (en) 1984-02-17 1987-09-29 Stauffer Chemical Company Passivation of InP by plasma deposited phosphorus
JPH0673375B2 (ja) 1984-03-19 1994-09-14 富士通株式会社 半導体装置の製造方法
US4845050A (en) 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
JPS60239066A (ja) 1984-05-11 1985-11-27 Hitachi Ltd 半導体装置
US4583110A (en) * 1984-06-14 1986-04-15 International Business Machines Corporation Intermetallic semiconductor ohmic contact
US4538342A (en) 1984-06-15 1985-09-03 At&T Bell Laboratories Forming platinum contacts to in-based group III-V compound devices
US4752815A (en) 1984-06-15 1988-06-21 Gould Inc. Method of fabricating a Schottky barrier field effect transistor
US4800415A (en) 1984-09-21 1989-01-24 American Telephone And Telegraph Company, At&T Bell Laboratories Bipolar inversion channel device
JPS61133646A (ja) 1984-12-03 1986-06-20 Toshiba Corp 半導体装置の製造方法
JPS61285762A (ja) * 1985-06-12 1986-12-16 Toshiba Corp 半導体装置およびその製造方法
JPS6261363A (ja) 1985-09-11 1987-03-18 Hitachi Ltd 半導体装置
US4723197A (en) * 1985-12-16 1988-02-02 National Semiconductor Corporation Bonding pad interconnection structure
JPH0624251B2 (ja) 1986-01-08 1994-03-30 富士通株式会社 光半導体装置
US4689869A (en) 1986-04-07 1987-09-01 International Business Machines Corporation Fabrication of insulated gate gallium arsenide FET with self-aligned source/drain and submicron channel length
US4794444A (en) 1986-04-10 1988-12-27 General Electric Company Ohmic contact and method for making same
US4772934A (en) 1986-06-06 1988-09-20 American Telephone And Telegraph Company, At&T Bell Laboratories Delta-doped ohmic metal to semiconductor contacts
US5021365A (en) 1986-06-16 1991-06-04 International Business Machines Corporation Compound semiconductor interface control using cationic ingredient oxide to prevent fermi level pinning
US5098859A (en) * 1986-06-19 1992-03-24 International Business Machines Corporation Method for forming distributed barrier compound semiconductor contacts
EP0272303A1 (en) 1986-06-24 1988-06-29 AT&T Corp. Method for fabricating devices in iii-v semiconductor substrates and devices formed thereby
JPS63157466A (ja) 1986-12-20 1988-06-30 Fujitsu Ltd シヨツトキ−バリアダイオ−ド
JPH0728025B2 (ja) 1987-01-16 1995-03-29 日本電信電話株式会社 半導体装置
US4960732A (en) 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPH065737B2 (ja) 1987-03-12 1994-01-19 日本電気株式会社 シヨツトキ−接合構造
US4796082A (en) 1987-03-16 1989-01-03 International Business Machines Corporation Thermally stable ohmic contact for gallium-arsenide
KR920006875B1 (ko) 1987-03-18 1992-08-21 후지쓰 가부시끼가이샤 비합금 오옴 콘택트들을 가지고 있는 화합물 반도체 장치
GB2204066A (en) 1987-04-06 1988-11-02 Philips Electronic Associated A method for manufacturing a semiconductor device having a layered structure
US4835580A (en) 1987-04-30 1989-05-30 Texas Instruments Incorporated Schottky barrier diode and method
US4897368A (en) 1987-05-21 1990-01-30 Matsushita Electric Industrial Co., Ltd. Method of fabricating a polycidegate employing nitrogen/oxygen implantation
US5021840A (en) 1987-08-18 1991-06-04 Texas Instruments Incorporated Schottky or PN diode with composite sidewall
US5093280A (en) 1987-10-13 1992-03-03 Northrop Corporation Refractory metal ohmic contacts and method
US4914500A (en) 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US5027166A (en) * 1987-12-04 1991-06-25 Sanken Electric Co., Ltd. High voltage, high speed Schottky semiconductor device and method of fabrication
JPH01186672A (ja) 1988-01-14 1989-07-26 Nec Corp ショットキー接合構造
JP2678017B2 (ja) * 1988-06-13 1997-11-17 シャープ株式会社 アクティブマトリクス表示装置
US4987095A (en) 1988-06-15 1991-01-22 International Business Machines Corp. Method of making unpinned oxide-compound semiconductor structures
US5086321A (en) 1988-06-15 1992-02-04 International Business Machines Corporation Unpinned oxide-compound semiconductor structures and method of forming same
US4999685A (en) 1989-05-16 1991-03-12 United States Of America As Represented By The Secretary Of The Air Force Schotiky barrier height for metal contacts to III-V semiconductor compounds
JPH0329355A (ja) 1989-06-26 1991-02-07 Sanyo Electric Co Ltd 半導体装置
US5801444A (en) * 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
US5013685A (en) 1989-11-02 1991-05-07 At&T Bell Laboratories Method of making a non-alloyed ohmic contact to III-V semiconductors-on-silicon
JPH03191518A (ja) 1989-12-20 1991-08-21 Nec Corp 半導体装置およびその製造方法
JP2513055B2 (ja) 1990-02-14 1996-07-03 日本電装株式会社 半導体装置の製造方法
JPH03274735A (ja) 1990-03-26 1991-12-05 Hitachi Ltd ショットキー電極を有する半導体装置の製造方法
US5019530A (en) 1990-04-20 1991-05-28 International Business Machines Corporation Method of making metal-insulator-metal junction structures with adjustable barrier heights
US5045502A (en) 1990-05-10 1991-09-03 Bell Communications Research, Inc. PdIn ohmic contact to GaAs
US5285109A (en) 1990-05-24 1994-02-08 Sumitomo Electric Industries, Ltd. Ohmic contact electrodes for n-type semiconductor cubic boron nitride
DE69111929T2 (de) 1990-07-09 1996-03-28 Sony Corp Halbleiteranordnung auf einem dielektrischen isolierten Substrat.
GB9015871D0 (en) 1990-07-19 1990-09-05 Secr Defence Ohmic contact for p-type gaas
KR970009120B1 (en) 1990-07-27 1997-06-05 Samsung Electronics Co Ltd Printing control method for a video color printer
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JPH04199628A (ja) 1990-11-29 1992-07-20 Seiko Epson Corp 半導体装置の製造方法
US5238872A (en) 1990-12-11 1993-08-24 Samsung Semiconductor, Inc. Barrier metal contact architecture
JP2794678B2 (ja) 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH04284668A (ja) 1991-03-14 1992-10-09 Fujitsu Ltd 半導体装置及びその製造方法
JP2756886B2 (ja) 1991-08-30 1998-05-25 三菱電機株式会社 半導体装置およびその製造方法
US5216282A (en) 1991-10-29 1993-06-01 International Business Machines Corporation Self-aligned contact studs for semiconductor structures
WO1993011558A1 (en) 1991-11-26 1993-06-10 Materials Research Corporation Method of modifying contact resistance in semiconductor devices and articles produced thereby
JPH05206054A (ja) * 1992-01-29 1993-08-13 Nec Corp Alコンタクト構造およびその製造方法
US5231306A (en) 1992-01-31 1993-07-27 Micron Technology, Inc. Titanium/aluminum/nitrogen material for semiconductor devices
US5216262A (en) * 1992-03-02 1993-06-01 Raphael Tsu Quantum well structures useful for semiconductor devices
JP3152739B2 (ja) 1992-05-19 2001-04-03 株式会社日立製作所 半導体集積回路装置の製造方法
JP3208599B2 (ja) 1992-05-28 2001-09-17 ソニー株式会社 接続孔埋め込み形成方法
US5294834A (en) 1992-06-01 1994-03-15 Sverdrup Technology, Inc. Low resistance contacts for shallow junction semiconductors
JPH0653165A (ja) 1992-07-28 1994-02-25 Sony Corp メタルプラグの形成方法
US5292677A (en) 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
KR950010873B1 (ko) 1993-02-27 1995-09-25 삼성전자주식회사 반도체장치의 제조방법
KR960008558B1 (en) 1993-03-02 1996-06-28 Samsung Electronics Co Ltd Low resistance contact structure and manufacturing method of high integrated semiconductor device
US5306386A (en) 1993-04-06 1994-04-26 Hughes Aircraft Company Arsenic passivation for epitaxial deposition of ternary chalcogenide semiconductor films onto silicon substrates
US5480829A (en) 1993-06-25 1996-01-02 Motorola, Inc. Method of making a III-V complementary heterostructure device with compatible non-gold ohmic contacts
TW295703B (ja) * 1993-06-25 1997-01-11 Handotai Energy Kenkyusho Kk
JP3688727B2 (ja) 1993-08-20 2005-08-31 財団法人国際科学振興財団 半導体装置の製造方法
US5592736A (en) 1993-09-03 1997-01-14 Micron Technology, Inc. Fabricating an interconnect for testing unpackaged semiconductor dice having raised bond pads
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5438210A (en) 1993-10-22 1995-08-01 Worley; Eugene R. Optical isolation connections using integrated circuit techniques
US5358574A (en) 1993-11-22 1994-10-25 Midwest Research Institute Dry texturing of solar cells
JPH07183493A (ja) 1993-12-24 1995-07-21 Mitsubishi Electric Corp 半導体装置
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP3294041B2 (ja) 1994-02-21 2002-06-17 株式会社東芝 半導体装置
JPH07235672A (ja) 1994-02-21 1995-09-05 Mitsubishi Electric Corp 絶縁ゲート型半導体装置およびその製造方法
DE4405716C2 (de) 1994-02-23 1996-10-31 Telefunken Microelectron Verfahren zur Herstellung von ohmschen Kontakten für Verbindungshalbleiter
US5962883A (en) 1994-03-23 1999-10-05 Lucent Technologies Inc. Article comprising an oxide layer on a GaAs-based semiconductor body
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5624868A (en) 1994-04-15 1997-04-29 Micron Technology, Inc. Techniques for improving adhesion of silicon dioxide to titanium
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5478765A (en) 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
US5663584A (en) 1994-05-31 1997-09-02 Welch; James D. Schottky barrier MOSFET systems and fabrication thereof
US5442200A (en) 1994-06-03 1995-08-15 Advanced Technology Materials, Inc. Low resistance, stable ohmic contacts to silcon carbide, and method of making the same
JPH11307633A (ja) 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
JPH0864800A (ja) 1994-08-25 1996-03-08 Hitachi Ltd 炭化珪素半導体素子
JP2630279B2 (ja) 1994-10-12 1997-07-16 日本電気株式会社 ショットキー型光検出器およびその駆動方法
JP2938351B2 (ja) 1994-10-18 1999-08-23 株式会社フロンテック 電界効果トランジスタ
KR0148597B1 (ko) 1994-11-23 1998-10-15 정선종 왜곡 성장층을 이용한 금속/반도체 접합 쇼트키 다이오드 광소자
JP3198453B2 (ja) 1994-12-15 2001-08-13 シャープ株式会社 半導体装置及びその製造方法
US5689125A (en) 1995-06-12 1997-11-18 The United States Of America As Represented By The Secretary Of The Air Force Cadmium sulfide interface layers for improving III-V semiconductor device performance and characteristics
US5534449A (en) 1995-07-17 1996-07-09 Micron Technology, Inc. Methods of forming complementary metal oxide semiconductor (CMOS) integrated circuitry
EP0757393A3 (en) 1995-08-02 1999-11-03 Matsushita Electric Industrial Co., Ltd. Semiconductor light emitting element and method for fabricating the same
JP2995284B2 (ja) * 1995-08-25 1999-12-27 工業技術院長 電極作成方法
JPH0964336A (ja) 1995-08-25 1997-03-07 Advantest Corp 原子層ドーピングによる半導体のオーム性電極構造及びその形成方法
KR970030333A (ko) 1995-11-03 1997-06-26 김주용 반도체소자의 도전 배선 콘택 제조방법
KR100197653B1 (ko) 1995-12-15 1999-06-15 김영환 반도체 소자의 콘택 형성방법
GB9525784D0 (en) 1995-12-16 1996-02-14 Philips Electronics Nv Hot carrier transistors and their manufacture
FR2742924B1 (fr) 1995-12-22 1998-03-20 Jorge Luis Regolini Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede
SG46751A1 (en) 1996-01-11 1998-02-20 Taiwan Semiconductor Mfg A modified tungsten-plug contact process
SE9600199D0 (sv) 1996-01-19 1996-01-19 Abb Research Ltd A semiconductor device with a low resistance ohmic contact between a metal layer and a SiC-layer
TW367528B (en) 1996-02-02 1999-08-21 Applied Materials Inc Titanium aluminide wetting layer for aluminum contacts
JPH09289178A (ja) 1996-02-22 1997-11-04 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US5929523A (en) 1996-03-07 1999-07-27 3C Semiconductor Corporation Os rectifying Schottky and ohmic junction and W/WC/TiC ohmic contacts on SiC
US6388272B1 (en) 1996-03-07 2002-05-14 Caldus Semiconductor, Inc. W/WC/TAC ohmic and rectifying contacts on SiC
US5654234A (en) 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5612567A (en) 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
FR2749977B1 (fr) 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
JPH1027847A (ja) 1996-07-09 1998-01-27 Mitsubishi Electric Corp 集積化半導体素子
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
US5869364A (en) 1996-07-22 1999-02-09 The United States Of America As Represented By The Secretary Of The Air Force Single layer integrated metal process for metal semiconductor field effect transistor (MESFET)
US5763923A (en) 1996-08-13 1998-06-09 Micron Technology, Inc. Compound PVD target material for semiconductor metallization
TW333713B (en) 1996-08-20 1998-06-11 Toshiba Co Ltd The semiconductor device and its producing method
US5888891A (en) 1996-08-23 1999-03-30 International Rectifier Corporation Process for manufacturing a schottky diode with enhanced barrier height and high thermal stability
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
KR100495543B1 (ko) 1996-09-17 2005-09-08 마츠시타 덴끼 산교 가부시키가이샤 반도체장치및그제조방법
US5789312A (en) 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US5897331A (en) 1996-11-08 1999-04-27 Midwest Research Institute High efficiency low cost thin film silicon solar cell design and method for making
JPH10163468A (ja) * 1996-12-03 1998-06-19 Kagaku Gijutsu Shinko Jigyodan 膜状複合構造体
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH10209569A (ja) 1997-01-16 1998-08-07 Hewlett Packard Co <Hp> p型窒化物半導体装置とその製造方法
JP3060976B2 (ja) 1997-01-21 2000-07-10 日本電気株式会社 Mosfetおよびその製造方法
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US6130503A (en) 1997-03-04 2000-10-10 Pioneer Electronic Corporation Electron emission device and display using the same
JPH10335265A (ja) 1997-05-27 1998-12-18 Sony Corp 半導体装置の製造方法
JPH10335637A (ja) 1997-05-30 1998-12-18 Sony Corp ヘテロ接合電界効果トランジスタ
US6222267B1 (en) 1997-06-17 2001-04-24 Yamaha Corporation Semiconductor device and manufacturing thereof
JP3191728B2 (ja) 1997-06-23 2001-07-23 日本電気株式会社 半導体装置及びその製造方法
JPH1126713A (ja) 1997-06-30 1999-01-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
DE19729396A1 (de) 1997-07-09 1999-01-14 Siemens Ag Elektrischer Kontakt für ein II-VI-Halbleiterbauelement und Verfahren zum Herstellen des elektrischen Kontaktes
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP3457511B2 (ja) 1997-07-30 2003-10-20 株式会社東芝 半導体装置及びその製造方法
KR19990025041A (ko) 1997-09-10 1999-04-06 김영환 반도체장치의 캐패시터 제조방법
JPH11150084A (ja) 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US6462931B1 (en) * 1997-10-23 2002-10-08 Texas Instruments Incorporated High-dielectric constant capacitor and memory
JP4067159B2 (ja) 1997-12-01 2008-03-26 新電元工業株式会社 半導体装置および半導体装置の製造方法
US6207976B1 (en) 1997-12-17 2001-03-27 Fujitsu Limited Semiconductor device with ohmic contacts on compound semiconductor and manufacture thereof
KR100290467B1 (ko) 1997-12-31 2001-08-07 박종섭 반도체소자의확산방지막형성방법
US6268233B1 (en) 1998-01-26 2001-07-31 Canon Kabushiki Kaisha Photovoltaic device
JP3855019B2 (ja) 1998-02-10 2006-12-06 独立行政法人 日本原子力研究開発機構 金属、酸化膜及び炭化珪素半導体からなる積層構造体
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6303942B1 (en) 1998-03-17 2001-10-16 Farmer, Ii Kenneth Rudolph Multi-layer charge injection barrier and uses thereof
US6303969B1 (en) 1998-05-01 2001-10-16 Allen Tan Schottky diode with dielectric trench
KR100261170B1 (ko) 1998-05-06 2000-07-01 김영환 반도체소자 및 그 제조방법
JP3436132B2 (ja) 1998-05-13 2003-08-11 セイコーエプソン株式会社 半導体装置
JP3699823B2 (ja) 1998-05-19 2005-09-28 株式会社東芝 半導体装置
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6657300B2 (en) 1998-06-05 2003-12-02 Lumileds Lighting U.S., Llc Formation of ohmic contacts in III-nitride light emitting devices
JP3175696B2 (ja) 1998-06-10 2001-06-11 日本電気株式会社 半導体装置の製造方法
US6017790A (en) 1998-07-06 2000-01-25 United Microelectronics Corp. Method of manufacturing embedded dynamic random access memory
US6071783A (en) 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6972436B2 (en) 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
EP1114465B1 (de) 1998-09-02 2007-03-21 SiCED Electronics Development GmbH & Co KG Halbleitervorrichtung mit ohmscher kontaktierung und verfahren zur ohmschen kontaktierung einer halbleitervorrichtung
US6555455B1 (en) 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
JP3361062B2 (ja) 1998-09-17 2003-01-07 株式会社東芝 半導体装置
JP2000101064A (ja) 1998-09-25 2000-04-07 Sanyo Electric Co Ltd 電極、SiCの電極及びSiCデバイス
JP3606095B2 (ja) 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
KR100434534B1 (ko) 1998-10-13 2004-07-16 삼성전자주식회사 쇼트키 터널 장벽을 이용한 단일 전자 트랜지스터 및 그 제조방법
JP3528665B2 (ja) 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
KR20000027908A (ko) 1998-10-29 2000-05-15 로버트 에이치. 씨. 챠오 임베디드 디램의 제조 방법
JP4228250B2 (ja) 1998-12-02 2009-02-25 富士通株式会社 化合物半導体装置
JP3381690B2 (ja) 1998-12-02 2003-03-04 日本電気株式会社 電界効果トランジスタおよびその製造方法
JP4540142B2 (ja) 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2000216241A (ja) 1999-01-20 2000-08-04 Applied Materials Inc 半導体装置の製造方法
US6737716B1 (en) 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP3223903B2 (ja) 1999-02-17 2001-10-29 日本電気株式会社 電界効果トランジスタ及びその製造方法
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6396191B1 (en) 1999-03-11 2002-05-28 Eneco, Inc. Thermal diode for energy conversion
US6459095B1 (en) 1999-03-29 2002-10-01 Hewlett-Packard Company Chemically synthesized and assembled electronics devices
JP3934822B2 (ja) 1999-04-13 2007-06-20 新日本無線株式会社 ショットキーダイオードおよびその製造方法
US6724088B1 (en) 1999-04-20 2004-04-20 International Business Machines Corporation Quantum conductive barrier for contact to shallow diffusion region
US6198113B1 (en) 1999-04-22 2001-03-06 Acorn Technologies, Inc. Electrostatically operated tunneling transistor
US6117781A (en) 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
US6287946B1 (en) 1999-05-05 2001-09-11 Hrl Laboratories, Llc Fabrication of low resistance, non-alloyed, ohmic contacts to InP using non-stoichiometric InP layers
FR2793602B1 (fr) 1999-05-12 2001-08-03 Univ Claude Bernard Lyon Procede et dispositif pour extraire des electrons dans le vide et cathodes d'emission pour un tel dispositif
US6293137B1 (en) * 2000-05-04 2001-09-25 National Science Council Hydrogen sensor
JP2000349285A (ja) 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6344673B1 (en) 1999-07-01 2002-02-05 International Business Machines Corporation Multilayered quantum conducting barrier structures
US6261932B1 (en) 1999-07-29 2001-07-17 Fairchild Semiconductor Corp. Method of fabricating Schottky diode and related structure
US20030132452A1 (en) 1999-09-20 2003-07-17 Masatada Boriuchi Semiconductor device and method of producing the same
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP3329380B2 (ja) * 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US6284557B1 (en) 1999-10-12 2001-09-04 Taiwan Semiconductor Manufacturing Company Optical sensor by using tunneling diode
JP2003512153A (ja) 1999-10-20 2003-04-02 ネオキスメット エルエルシー 固体表面触媒反応装置
US6373076B1 (en) 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
US20030235936A1 (en) 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US6228732B1 (en) * 1999-12-22 2001-05-08 Sony Corporation Tunnel nitride for improved polysilicon emitter
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6509254B1 (en) 2000-01-20 2003-01-21 Matsushita Electric Industrial Co., Ltd. Method of forming electrode structure and method of fabricating semiconductor device
FR2805395B1 (fr) 2000-02-23 2002-05-10 Centre Nat Rech Scient Transistor mos pour circuits a haute densite d'integration
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
TW497120B (en) 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
JP4751498B2 (ja) 2000-03-30 2011-08-17 富士通株式会社 半導体三端子装置
US6509586B2 (en) 2000-03-31 2003-01-21 Fujitsu Limited Semiconductor device, method for fabricating the semiconductor device and semiconductor integrated circuit
JP2001298186A (ja) 2000-04-14 2001-10-26 Hitachi Ltd 半導体装置およびその製造方法
US6326294B1 (en) 2000-04-27 2001-12-04 Kwangju Institute Of Science And Technology Method of fabricating an ohmic metal electrode for use in nitride compound semiconductor devices
US6686616B1 (en) 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US8346580B2 (en) * 2000-06-09 2013-01-01 Flash Seats, Llc System and method for managing transfer of ownership rights to access to a venue and allowing access to the venue to patron with the ownership right
US6380021B1 (en) * 2000-06-20 2002-04-30 Taiwan Semiconductor Manufacturing Company Ultra-shallow junction formation by novel process sequence for PMOSFET
US6444516B1 (en) 2000-07-07 2002-09-03 International Business Machines Corporation Semi-insulating diffusion barrier for low-resistivity gate conductors
JP3833903B2 (ja) 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
JP3563032B2 (ja) 2000-12-18 2004-09-08 株式会社東芝 半導体装置及びその製造方法
JP3305301B2 (ja) 2000-08-02 2002-07-22 松下電器産業株式会社 電極構造体の形成方法及び半導体装置の製造方法
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US7082838B2 (en) 2000-08-31 2006-08-01 Tdk Corporation Extraordinary piezoconductance in inhomogeneous semiconductors
JP4179492B2 (ja) 2000-09-01 2008-11-12 日産自動車株式会社 オーミック電極構造体、その製造方法、及びオーミック電極を用いた半導体装置
US6563151B1 (en) 2000-09-05 2003-05-13 Samsung Electronics Co., Ltd. Field effect transistors having gate and sub-gate electrodes that utilize different work function materials and methods of forming same
JP4671314B2 (ja) 2000-09-18 2011-04-13 独立行政法人産業技術総合研究所 オーミック電極構造体の製造方法、接合型fet又は接合型sitのオーミック電極構造体の製造方法、及び半導体装置の製造方法
FR2814856B1 (fr) 2000-10-03 2003-07-11 St Microelectronics Sa Procede de realisation d'un contact sur un varbure de silicium
US6599644B1 (en) 2000-10-06 2003-07-29 Foundation For Research & Technology-Hellas Method of making an ohmic contact to p-type silicon carbide, comprising titanium carbide and nickel silicide
US7132309B2 (en) 2003-04-22 2006-11-07 Chien-Min Sung Semiconductor-on-diamond devices and methods of forming
JP4058231B2 (ja) 2000-11-13 2008-03-05 株式会社東芝 半導体装置の製造方法
WO2002043109A2 (de) * 2000-11-21 2002-05-30 Infineon Technologies Ag Verfahren zum herstellen eines planaren feldeffekttransistors und planarer feldeffekttransistor
US6646302B2 (en) 2000-11-21 2003-11-11 Cornell Research Foundation, Inc. Embedded metal nanocrystals
JP2002217425A (ja) 2001-01-16 2002-08-02 Sanken Electric Co Ltd 半導体装置用電極、半導体装置及びその製造方法
JP3992439B2 (ja) 2001-01-16 2007-10-17 株式会社ルネサステクノロジ 半導体装置の製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7945592B2 (en) 2001-03-20 2011-05-17 Verizon Business Global Llc XML based transaction detail records
JP2002359437A (ja) 2001-03-29 2002-12-13 Toshiba Electronic Engineering Corp 光半導体素子および光半導体素子の製造方法
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6423604B1 (en) * 2001-05-01 2002-07-23 Advanced Micro Devices, Inc. Determination of thermal resistance for field effect transistor formed in SOI technology
US6563185B2 (en) * 2001-05-21 2003-05-13 The Regents Of The University Of Colorado High speed electron tunneling device and applications
KR100403313B1 (ko) * 2001-05-22 2003-10-30 주식회사 하이닉스반도체 바이폴라 접합 트랜지스터를 이용한 마그네틱 램 및 그형성방법
US6580141B2 (en) 2001-06-01 2003-06-17 General Semiconductor, Inc. Trench schottky rectifier
US7291884B2 (en) 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
JP2003092271A (ja) 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
US6947392B2 (en) 2001-07-16 2005-09-20 International Business Machines Corporation Methods and arrangements for building a subsource address multicast distribution tree using traced routes
US20030015707A1 (en) 2001-07-17 2003-01-23 Motorola, Inc. Integrated radio frequency , optical, photonic, analog and digital functions in a semiconductor structure and method for fabricating semiconductor structure utilizing the formation of a compliant substrate for materials used to form the same
GB0118000D0 (en) 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Manufacture of semiconductor devices with schottky barriers
US20030020069A1 (en) 2001-07-25 2003-01-30 Motorola, Inc. Structure and method for optimizing transmission media through dielectric layering and doping in semiconductor structures and devices utilizing the formation of a compliant substrate
US6605832B2 (en) 2001-07-31 2003-08-12 Xerox Corporation Semiconductor structures having reduced contact resistance
DE10138981B4 (de) 2001-08-08 2005-09-08 Infineon Technologies Ag Verfahren zur Bildung von Siliziumoxid durch elektrochemische Oxidation eines Halbleiter-Substrats mit Vertiefungen
CN100359638C (zh) * 2001-10-22 2008-01-02 耶鲁大学 超掺杂半导体材料的方法以及超掺杂的半导体材料和器件
US20030098489A1 (en) 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6511905B1 (en) 2002-01-04 2003-01-28 Promos Technologies Inc. Semiconductor device with Si-Ge layer-containing low resistance, tunable contact
JP2003332582A (ja) 2002-05-13 2003-11-21 Toshiba Corp 半導体装置及びその製造方法
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
JP4643884B2 (ja) 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6943575B2 (en) 2002-07-29 2005-09-13 Micron Technology, Inc. Method, circuit and system for determining burn-in reliability from wafer level burn-in
US20040029087A1 (en) 2002-08-08 2004-02-12 Rodney White System and method for training and managing gaming personnel
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) * 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
JP4238041B2 (ja) 2003-02-06 2009-03-11 アドバンスト ダイシング テクノロジース リミテッド ダイシング装置、ダイシング方法及び半導体装置の製造方法
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7358196B2 (en) 2005-02-07 2008-04-15 Applied Materials, Inc. Wet chemical treatment to form a thin oxide for high k gate dielectrics
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102227001B (zh) * 2011-06-23 2013-03-06 北京大学 一种锗基nmos器件及其制备方法
US9224610B2 (en) * 2013-06-28 2015-12-29 GlobalFoundries, Inc. Integrated circuits having improved high-K dielectric layers and methods for fabrication of same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983264A (en) * 1972-07-20 1976-09-28 Texas Instruments Incorporated Metal-semiconductor ohmic contacts and methods of fabrication
JPS62299077A (ja) * 1986-06-16 1987-12-26 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 半導体装置及びその製造方法
JPS648613A (en) * 1987-06-18 1989-01-12 Ibm Construction of compound semiconductor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180262A (ja) * 2020-05-14 2021-11-18 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
JP7362546B2 (ja) 2020-05-14 2023-10-17 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
US11901430B2 (en) 2020-05-14 2024-02-13 Kabushiki Kaisha Toshiba Semiconductor device, method of manufacturing semiconductor device, inverter circuit, drive device, vehicle, and elevator
JP2022030298A (ja) * 2020-08-06 2022-02-18 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機
JP7273764B2 (ja) 2020-08-06 2023-05-15 株式会社東芝 半導体装置、インバータ回路、駆動装置、車両、及び、昇降機

Also Published As

Publication number Publication date
KR20050056969A (ko) 2005-06-16
EP3043385B1 (en) 2020-06-17
US8766336B2 (en) 2014-07-01
US10950707B2 (en) 2021-03-16
US7884003B2 (en) 2011-02-08
US20210305392A1 (en) 2021-09-30
US20180166552A1 (en) 2018-06-14
US20160372564A1 (en) 2016-12-22
US20200273960A1 (en) 2020-08-27
EP1543561B1 (en) 2017-04-05
US20200243662A1 (en) 2020-07-30
US9905691B2 (en) 2018-02-27
EP1543561A1 (en) 2005-06-22
US10388748B2 (en) 2019-08-20
JP4847699B2 (ja) 2011-12-28
US20110169124A1 (en) 2011-07-14
US11018237B2 (en) 2021-05-25
US20090104770A1 (en) 2009-04-23
US9209261B2 (en) 2015-12-08
EP3043385A1 (en) 2016-07-13
US9461167B2 (en) 2016-10-04
US7462860B2 (en) 2008-12-09
US9812542B2 (en) 2017-11-07
US20200273961A1 (en) 2020-08-27
US10937880B2 (en) 2021-03-02
US20130119446A1 (en) 2013-05-16
US10186592B2 (en) 2019-01-22
US11056569B2 (en) 2021-07-06
US20180033862A1 (en) 2018-02-01
US20160172491A1 (en) 2016-06-16
US20050247956A1 (en) 2005-11-10
US11355613B2 (en) 2022-06-07
US10090395B2 (en) 2018-10-02
US20040026687A1 (en) 2004-02-12
EP3038158B1 (en) 2020-06-24
US20160172492A1 (en) 2016-06-16
CN1675774A (zh) 2005-09-28
US8431469B2 (en) 2013-04-30
US20150287800A1 (en) 2015-10-08
US9425277B2 (en) 2016-08-23
WO2004030104A1 (en) 2004-04-08
AU2003255256A1 (en) 2004-04-19
US20180269298A1 (en) 2018-09-20
EP3038158A1 (en) 2016-06-29
CN100530682C (zh) 2009-08-19
US20120280294A1 (en) 2012-11-08
KR101025378B1 (ko) 2011-03-30
US7084423B2 (en) 2006-08-01
US20190334006A1 (en) 2019-10-31

Similar Documents

Publication Publication Date Title
JP4847699B2 (ja) 電気接合における半導体のフェルミ準位をピン止め解除する方法および同接合を組み入れたデバイス
US7176483B2 (en) Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060808

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110714

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111011

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111014

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141021

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4847699

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees