CN1643642A - 串联蚀刻处理室电浆制程系统 - Google Patents

串联蚀刻处理室电浆制程系统 Download PDF

Info

Publication number
CN1643642A
CN1643642A CNA038063859A CN03806385A CN1643642A CN 1643642 A CN1643642 A CN 1643642A CN A038063859 A CNA038063859 A CN A038063859A CN 03806385 A CN03806385 A CN 03806385A CN 1643642 A CN1643642 A CN 1643642A
Authority
CN
China
Prior art keywords
process chamber
gas
chamber
zone
series connection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038063859A
Other languages
English (en)
Other versions
CN100361265C (zh
Inventor
亚历山大·帕特松
瓦连京·N·托多罗夫
约翰·麦克切斯尼
杰勒德·M·施奈德
大卫·帕拉加雪弗利
约翰·P·霍兰
迈克尔·S·巴尔内斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1643642A publication Critical patent/CN1643642A/zh
Application granted granted Critical
Publication of CN100361265C publication Critical patent/CN100361265C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一种加工处理晶圆的方法与设备,其包含界定数个隔绝制程区域的处理室。该隔绝制程区域具有一上端与一下端。该处理室更包含数个电浆产生装置,每一电浆产生装置邻近每一隔绝制程区域的上端设置,而数个电源供应器中一个连接于每一电浆产生装置。数个电源供应器的输出频率是一起相位锁定与/或频率锁定。此外,该处理室包含数个气体分散组件。每一气体分散组件设于每一隔绝制程区域内。一移动式晶圆支撑件设于每一隔绝制程区域内,以支撑一晶圆并于其上实施电浆处理。该移动式晶圆支撑件包含耦接于一偏压电源供应器的一偏压电极,以控制电浆离子朝向该移动式晶圆支撑件轰击。

Description

串联蚀刻处理室电浆制程系统
技术领域
本发明是关于半导体晶圆制造工艺,明确地说,是关于蚀刻与电浆等相关的半导体晶圆制造工艺与相关的设备。
背景技术
芯片制作设备是由许多技术组成。含有半导体晶圆的卡匣被传送到设备中的各个工作站,并于各工作站中进行加工或检测。半导体制程大致牵涉沉积材料于晶圆上与自晶圆移除(蚀刻)材料等步骤。典型的制程步骤包含化学气相沉积(CVD)、物理气相沉积(PVD)、电镀、化学机械研磨(CMP)、蚀刻及其它步骤。
晶圆制程中为人关切的一项议题为晶圆产出率。一般而言,晶圆产出率愈高制作成本愈低,因而能降低晶圆加工成本。为了增加晶圆在制程中的产出率,故建立传统批式制程处理室。批式制程允许数个晶圆同时在公用流体(如制程气体)、处理室、加工步骤及其相似条件下被制作,藉此降低设备成本并增加产出率。理想状态下,批式制程系统将每一晶圆暴露在相同的制程环境中,每一晶圆因处于均一的批式制程环境中,故同时接收相同的制程气体与电浆密度。不幸的是,批式制程系统内的制程环境难以控制到对每一晶圆而言均为均一的制程环境。因此,批式制程系统一向具有不均一晶圆制程环境的缺点。为了提供更好的制程控制,又研发出在隔绝制程环境中“一次一个(one-at-a-time-type)”式的单一晶圆处理室制程系统,在单一晶圆上进行晶圆处理。不幸的是,当每一晶圆需接续被加工时,单一晶圆制程系统一般并无法提供如批式制程系统相同高的产出率。
因此,亟需提供可控制单一晶圆系统的蚀刻均一性且改善批式制程系统的产出率的晶圆制程系统。
发明内容
本发明的实施例大致提供一晶圆电浆制程系统,该系统提供同时传送至少两片晶圆同时通经制程系统。在一实施例中,本发明提供包含数个隔绝制程区域的处理室的加工晶圆的方法与设备。隔绝制程区域具有一上端与一下端。处理室更包含数个电浆产生装置,每一装置邻近每一隔绝制程区的上端设置,而数个射频电源供应器之一连接至每一电浆产生装置。数个射频电源供应器的输出信号以频率锁定与/或相位锁定的型态锁定彼此。此外,处理室包含数个气体分散组件。每一气体分散组件设置于每一隔绝制程区内。移动式晶圆支撑件设置于每一隔绝制程区内,以支撑晶圆至电浆制程区上。移动式晶圆支撑件包含耦接至偏压电源供应器的偏压电极,以控制电浆离子邻近移动式晶圆支撑件产生。
附图说明
通过参考后附图可了解本发明更特定的描述与上述简短的总结,进一步更可详细了解上述所列举的特征。然而,值得注意的是后附图标仅为了说明本发明代表性的实施例,因此,不应局限本发明的范围,本发明可以其它具相同功效的实施例加以实施。
图1为半导体串联蚀刻处理室系统的一实施例的平面图;
图2为图1的半导体串联蚀刻处理室系统的立体图;
图3为半导体串联蚀刻处理室系统的一实施例的立体图;
图4A至图4D为图3的串联蚀刻处理室系统的横截面图;
图5为图3的部分串联蚀刻处理室的立体图;
图6为含有电极组件的一盖件的一实施例的立体图;
图7为图6的电极组件的横截面图;
图8为图4的晶圆支撑件其中之一的截面图;
图9为气体分散组件的一实施例的简图;
图10A至图10B为帮浦抽泵步骤中气流与压力通经图4的串联蚀刻处理室的简图。
组件代表符号简单说明
100串联蚀刻处理室系统    101主体结构
102前端区域                103多用途供应单元
104传送室                  105晶圆机械传送手臂
106串联制程处理室          109卡匣
112负载锁定室              113晶圆机械传送手臂
200第一串联制程处理室      201第二串联制程处理室
202制程区域                203制程区域
205A侧墙                   205B侧墙
206内墙                    207底部
208晶圆支撑件              209孔径
210绝缘阀                  211负载区域
212晶圆举升销组件          213底部
214凸缘                    215盖件
216气体分散组件            218上部电极组件
218A上部电极组件           218B上部电极组件
219上部分                  220真空源
221帮浦阀                  222喷嘴
223制程控制器              224上部冷却信道
225侧电子偏压绝缘体        226外部晶圆支撑件外罩
227偏压电极                228偏压电极冷却极板
229下部电性偏压绝缘体      230输出口
231下部区域                232制程控制器
233导管                    234静电块
235内密封环                238文件板组件
241柄件                    244第一块电源供应器
245第二块电源供应器        249射频电源供应控制器
250第一射频电源供应器      251匹配网络
252第二射频电源供应器      253第二匹配网络
254第一电性偏压源          255处理室衬垫
256第二电性偏压源          258移除式部分
260狭缝                    261套件
262孔径                    264气体冷却线
265冷却气体分散组件        266孔洞
267集中                    268上部绝缘环
270内部绝缘环              272上部电极
274导引销                  276外部绝缘环
277下部冷却信道            278风箱
279屏蔽组件                280A第一气体注入线
280B第二气体注入线         282气体分流组件
284开口                    285上部冷却输入/输出口
286阻止组件                287窗口
288光学检测器              289光学检测器
290支撑销                  291第一冷却输入线
292气体注入线              293第二冷却输入线
294冷却信道                298冷却线
299射频屏蔽                401同心圆线圈
402同心圆线圈              403石英半圆盖
405石英半圆盖              407射频线圈
411A导管                   411B导管
422A超环面电流路径         422B超环面电流路径
901气体供应器              903气体路径
905气体路径                907气体质量流量计(MFM)
909气体质量流量计          911可变孔洞
具体实施方式
本发明的实施例大致提供用于并行处理数个晶圆的电浆制程系统。本晶圆制程系统结合单一晶圆制程处理室与多晶圆处理的优点,以提供高品质晶圆制程、高晶圆产出率并缩减系统误差。
图1与图2分别说明本发明串联蚀刻处理室系统100的平面图与立体图。系统100大致为一自身满足系统,其具有制程中所必须的功能,并通过可易于装设且提供快速起始操作的主体结构101加以支撑。系统100大致包含四个不同区域,分别为前端区域102、负载锁定室112、传送室104与数个串联制程处理室106,传送室104通过绝缘阀210与串联制程处理室106联系。一般被视为制程区界面或微型环境的前端区域102,大致包含一围绕室,其中具有内含至少一晶圆的卡匣109,该卡匣109并经由装载箱(pod loader)与该围绕室相互耦接。系统100也包含一对前端晶圆传送机械手臂113,该机械手臂大致为单一手臂,在前端区域102与负载锁定室112之间移动晶圆。该对前端晶圆传送机械手臂113大致邻近于卡匣109设置,其设计为自该卡匣移动晶圆以进行制程处理,而一旦晶圆处理完成后再移动晶圆至该卡匣中放置。虽然图中显示两个卡匣109,但本发明并不局限卡匣109的任何特定数目。举例而言,本发明的实施例可使用堆栈式晶圆卡匣进料组件(未显示)。堆栈式晶圆进料组件可以垂直堆栈方式容纳数个卡匣109,并依条件需求独立传送卡匣109至外部卡匣位置/装载箱。前端区域102是选择性地通经如选择性激活阀(未显示)与负载锁定室112耦接。此外,负载锁定室112也是选择性地经由如另一选择性激活阀与传送室104耦接。因此在传送一或多个晶圆进入传送室104以进行处理时,负载锁定室1 2是可隔绝晶圆传送室104内部与前端围绕室102。负载锁定室112如熟习者所知是可为晶圆并行形式处理室(side-by-side wafer type chamber)、单一晶圆形式处理室或为多晶圆形式处理室。
如图1所示,晶圆传送机械手臂105可设置于传送处理室104内部区域的中央。晶圆传送机械手臂105大致用以自负载锁定室112接收晶圆,并传送晶圆置放于传送室104周围的串联制程处理室106的中一个。此外,晶圆传送机械手臂105大致用以传送晶圆至个别串联制程处理室106间,并自串联处理室106回送晶圆至负载锁定室112。晶圆传送机械手臂105大致包含一单一双桨(a single dual-blade),以同时支撑两片晶圆于其上。该桨包含大致设于单一平面的两支撑表面,以握持晶圆于其上。此外,当晶圆传送机械手臂105的基座旋转时,该桨可选择性延伸,以允许该桨可进出任一串联制程处理室106的内部区域、负载锁定室112及/或其它任何围绕传送室104设置的处理室。
如图2与图3所示,系统100包含多用途供应单元103,该单元设置于大致邻近系统100的任何位置。然而,为了维持较小误差,多用途供应单元103可设于负载锁定室112下。多功能供应单元103大致包覆系统100操作所需的支撑组件,如一气体基板、能源分散基板、能源产生器、与其它用于支撑半导体蚀刻步骤的组件。多功能供应单元大致包含用于每一串联制程处理室106的射频电源、偏压电源、与静电源部分。为了易于自处理室106下方支持,多功能供应单元103具有移除式部分258,该部分滑动地装设于主体结构101。
系统100包含制程控制器223,以控制一或多个晶圆制程功能。本发明的一实施例中,制程控制器223包含一计算机或其它控制器,该控制器用于分析与显示系统100的信号输入/输出数据,与显示数据于输出装置如计算机监控屏幕上。大致而言,制程控制器223包含一控制器,诸如可编程序逻辑控制器(PLC)、计算机、或其它微处理器为基础的控制器。制程控制器223包含与内存电性地沟通的一中央处理单元(CPU),其中该内存包含一晶圆制程程序,当藉由CPU执行时,该程序是控制系统100的至少一部份。晶圆制程程序为任何一种不同的程序语言。举例而言,程序语言可以PLC语言(如阶梯逻辑)、对象导向程序语言诸如C、C++、Java、或其它语言写成。因此,制程控制器223可接收自系统100各式组件传送的输入信号,并产生控制信号传送至系统100的各自组件,以控制其操作。图3与图4A分别为本发明串联制程处理室106的前视图与截面图。第一与第二串联制程处理室200、201分别包含一上部区域219与下部区域231,其中该上部区域219大致包含制程区域202与203,而下部区域231大致包含邻近孔径209的负载区域211。第一串联制程处理室200与第二串联制程处理室201分别包含侧墙205A与205B、一内墙206、一底部213与设于其上的一盖件215。侧墙205A、内墙206与盖件215的部分是设于第一串联制程处理室200上以界定一第一制程区域202。侧墙205B、内墙206与盖件215的部分是设于第二串联制程处理室201上以界定一第二制程区域203。内墙206是设于第一串联制程处理室200与第二串联制程处理室201之间,并隔绝制程区域202与203彼此间的制程环境。因此,当制程被隔绝时,分别被界定于处理室200与201中的制程区域202与203,可分享公用压力,此时内墙206的较低部分允许第一串连处理室200与第二串连处理室201彼此联系。内墙206的较低部分通过下述的中央抽泵空间217加以界定。盖件215包含气体分散组件216的一结构,该气体分散组件216包含一喷嘴222以分散气体进入个别的制程区域202与203。盖件215使用一枢纽(未显示)大致贴附于制程处理室106。通过枢纽盖件215使用者可轻易取得诸如处理室衬垫255(示于图5)之类的制程组件。套件261设置于盖件215上以保护其上的组件。
如图4A至图4D与图5所示,为了有助于降低处理室处理时间,移除式处理室衬垫255是邻近侧墙205A与205B与内墙206设置。处理室衬垫255包含形成于内的孔径262并与孔径209联系。孔径262与209的设置是能使晶圆自处理室200与201移入与移出。就孔径209与262本身而论,其大致选择性与如晶圆传送室(如图1所示的传送室104)联系。因此,为了维持每一制程处理室200与201的制程环境,图1的阀210(如闸阀或狭缝阀)是设在每一孔径209与连接处理室之间,或是亦可采用单一阀。
如图4A所示,为了分析制程,窗口287设于每一侧墙205A与205B内,并光学式对准一位于处理室衬垫255内的开口284。每一窗口287是以任何可承受制程环境的光学透明材料组成,同时并提供光学路径予设于邻近每一处理室200与201的光学检测器288。光学检测器288的设置是用以光学式接收并处理制程区域202与203内自电浆传送出的光学信号,并提供电浆代表性数据至图2所述的制程控制器232。
光学窗口287、光学检测器289与制程控制器232一起形成制程分析系统289。操作期间,光学信号通过制程分析系统289加以处理,以检测每一处理室的蚀刻条件。为了检测蚀刻制程终点,制程终点量测值可被储存,例如通过制程控制器232将所储存的制程终点量测值与一现值进行比较。举例而言,一旦制程分析系统289检测自制程处理室200与201传送的光学信号,制程控制器232可提供使用者终点指示。
为了移动晶圆进入与离开一制程位置,包含晶圆举升销组件212的晶圆支撑件208可在制程区域202与203及负载区域211之间移动。晶圆支撑件208以柄件241移动地支撑于第一与第二串联制程处理室200与201内,该柄件241通经处理室200与201的底部延伸。每一晶圆支撑件208可相对于负载区域211的组件负载位置与邻近于各自制程区域202与203的制程位置间移动。进一步以图8为基础,加以讨论晶圆支撑件208。
制程区域202与203及负载区域211的体积因晶圆支撑件208相对于盖件215下边界的位置而改变。在一构形中,晶圆支撑件208可低于孔径209。在此位置中,晶圆是经孔径209与闸阀210而置于晶圆支撑件208上(参见图1)。特定言之,当晶圆支撑件208被降低时,举升销组件212可操作举升销236,自晶圆支撑件208的上表面举起晶圆(参见图8)。因此,机械桨可进入负载区域211,并藉由举升销组件212啮合被举起的晶圆,以自该处移除。相同地,因晶圆支撑件208设于较低位置,晶圆可置于其上以进行处理。因此,晶圆支撑件208可直立式移入制程位置,如设于晶圆支撑件208上表面邻近分别制程区域202与203的位置。
当晶圆支撑件208位于制程位置时,第一与第二串联制程处理室200与201与晶圆支撑件208的上部分219,大致界定各自隔绝的制程区域202与203,以提供一隔绝区于每一处理室200与201间。因此,侧墙205A与205B、内墙206、晶圆支撑件208及盖件215的组合物提供一隔绝区于制程区域202与203间。
处理室200与201的上部分219提供必须的装置与/或设备以产生电浆(plasma)。如图4A所示,气体分散组件216邻近于电浆产生装置设置,该电浆产生装置诸如上部电极组件218,该电极组件218用于在自身与各自晶圆支撑件208间提供射频电源。每一处理室200与201各自的上部电极组件218A与218B是经匹配网络耦接于单一射频源。举例而言,一第一射频源250耦合射频能量至邻近于第一处理室200设置的第一上部电极组件218A,并经由匹配网络251加以匹配第一射频源250的输出阻抗与第一处理室200的阻抗。一第二射频源252耦合射频能量至邻近于第二处理室201设置的第二上部电极组件218B,并经由第二匹配网络253加以匹配第二射频源252的输出阻抗与第二处理室201的阻抗。据此,一旦电浆于各自制程区域202与203内产生,可使用各自上部电极组件218A与218B维持其中的电浆。为了提供相似的制程环境,第一射频源250与第二射频源252的输出频率可以频率锁定与/或相位锁定的型态彼此锁定,但具有独立电源程度控制。射频电源供应控制器249耦接至两射频电源供应器250与252。控制器249控制电源输出与电源供应器250与252的输出信号的相位锁定与/或频率锁定。
盖件215具有其它相邻近设置的电浆产生装置。上部电极组件218配置有经各自匹配网络251与253耦接于第一射频源250与第二射频源252的射频线圈,以于电浆制程区域202与203内感应耦合射频电源。举例而言,图4显示两同心圆线圈401与402设置于盖件215’之上。射频线圈401与射频线圈402分别耦接于第一射频源250与第二射频源252,且于制程区域202与203内诱发制程气体电浆。如图4C所示,盖件215”系包含两石英半圆盖403与405,而射频线圈407分散设置于两石英半圆盖403与405的外部上方。在此一构形中,石英半圆盖403与405分别提供制程区域202与203一上部边界。每一射频线圈407分别耦接至第一射频源250与第二射频源252,并分别于制程区域202与203内诱发制程气体产生电浆。在另一实施例中,盖件215包含两导管411A与411B,两导管不固定地分别耦接于制程区域202与203,以接收制程气体于内。导管411具有一或多个耦接至第一射频源250与第二射频源252的线圈413A与413B。线圈413A与413B的设置使每一导管411A与411B提供封闭循环超环面电流路径422A与422B,以分别于导管411A与411B及制程区域202与203内形成电浆。图4B、图4C与图4D中,射频电源供应控制器249耦接至两射频电源供应器250与252,以提供包含电源级控制、相位控制(锁定)和/或频率控制(锁定)的输出信号控制。
回到图4A,第一串联制程处理室200与第二串联处理室201的下部区域231也包含一邻近处理室并以中央抽泵空间217加以界定的共享区域,其中该中央抽泵空间217经帮浦阀221(pumping valve)流动地与公用真空源220联系。中央抽泵空间217大致包含藉由侧墙205A与205B界定的两部分,该两部分以输出口230加以连接并流动地与帮浦阀221联系。该两部分为第一串联制程处理室200与第二串联处理室201的下部区域231的一部份。当中央抽泵空间217与第一串联制程处理室200与第二串联处理室201的下部区域231成为一体时,其将形成彼此耦接但分离的主体。气体清除或真空制程中,泵浦阀221通经凸缘214耦接真空源220至输出口230。因此,对于处理室200与201,特定言之,为对于制程区域202与203,当使用单一真空源220快速去除废气时,中央抽泵空间217大致维持该处理室或区域于半导体制程中欲求的压力。
在一构形中,输出口230远离制程区域202与203设置,以使其中的射频能量降至最低,藉此使制程处理室201与200中所排放的气体激发产生电浆的情形降至最低。举例而言,输出口230与晶圆支撑件208与制程区域202与203保持一有效距离设置,以使其中的射频能量降至最低。图10A与图10B分别说明每一串联制程处理室201与202内,制程区域202与203及输出口230的气体流分布与气体压力分布。在抽泵步骤期间,如图10A所示,制程区域202内的压力分布为最大值,如图10B所示,邻近输出口230的速率为最大值,而该输出口可快速排除制程区域202内的气体。
图8为图4A至图4D的晶圆支撑件208的部分截面图。分析图8时可参考图4A至图4D。如图4A至图4D所示,晶圆支撑件208可于垂直方向移动,明确言之,其是沿着柄件241的轴向移动,且经由如内部的流体导管或电阻加热器加以加热与/或冷却。柄件241藉由风箱278与制程区域隔绝。屏蔽组件279围绕柄件与风箱设置,并于施加偏压时,隔绝制程气体远离由柄件辐射而生的射频能量。晶圆支撑件208大致以一凹体239的外部晶圆支撑件外罩226所形成。外罩226以具导电性且可承受晶圆制程的不锈钢、铝或其它材料制成。为了电性偏压一电浆,使其朝向与远离晶圆支撑件208,第一电性偏压源254与第二电性偏压源256(示于图4)分别耦接至经由柄件241设于凹体239的偏压电极227。用下部电性偏压绝缘体229与内密封环235以支撑偏压电极227远离底部207。下部电性偏压绝缘体229与内密封环235包含尺寸合适的介电材料如石英与其它绝缘体,以提供一低电容于晶圆支撑件208的底部207与偏压电极227间。一侧电性偏压绝缘体225用来将偏压电极227与侧墙205A与205B间的射频耦合降至最低(参见图4A至图4D)。侧电性偏压绝缘体225包含尺寸合适的介电材料如石英与其它绝缘体,以提供一低电容于偏压电极227与侧墙205A与205B间。
晶圆支撑件208包含一静电块234,以使晶圆稳定地被支撑于其上的工作位置。第一块电源供应器244与第二块电源供应器245经导管233耦接至静电块234,并邻近静电块234产生一静电场以握持晶圆于该处。第一电源供应器244与第二块电源供应器245为直流供应器,并于静电块234与晶圆间提供一静电场。
因晶圆制作期间经常产生热,故设置偏压电极冷却极板228于偏压电极227上,以自该处移除热。偏压电极冷却极板228使用下部冷却信道277耦接于外部冷却源(未显示),该下部冷却信道277设于耦接至各自的冷却线298(参见图4A至图4D)的柄件241中。经由上部冷却信道224施加冷却剂于偏压电极冷却极板228。为了冷却静电块234上的晶圆,耦接一气体冷却线264至冷却气体分散组件265。气体冷却分散组件265经静电块234耦接于数个孔洞266。一气体,如氦气,经气体冷却线264直接传送至晶圆下部并经孔洞266移除热。为了将晶圆支撑件208与制程环境隔绝,设置一上部绝缘体268于电极冷却极板228上并紧邻静电块234。为了将偏压电极冷却极板与电浆隔绝,上部绝缘体268包含低介电材料如石英与其它绝缘体。为了将晶圆置于静电块234的中央,集中环267设于上部绝缘体268上。集中环267大致包含绝缘材料,以避免传导电浆至晶圆支撑件208,其并具有倾斜内缘,以帮助晶圆置放于静电块234上的中心位置。
文件板组件238(即电浆屏蔽)设于晶圆支撑件208的外缘上,并如由辐射状围绕晶圆支撑件208的狭缝260延伸。据此,晶圆支撑件与邻近侧墙205A与205B及内墙206(参见图4A至图4D)或与处理室衬垫255间产生移动时,文件板组件238系同时在制程区域202与203内向上与向下滑动。当废气欲自制程区域排放时,文件板组件238是在制程区域与各自制程处理室200与201的下部区域间,提供可变的电浆密闭区。文件板组件238内包含数个孔径(如孔、狭缝等),当防止电浆泄漏时,其允许制程气体与废气在制程区域202与203及下部区域231间移动。
图6及图7分别为包含上部电极组件218的盖件215的立体图与截面图。盖件215与/或第一串联制程处理室200与第二串联制程处理室201包含冷却信道(未显示),以循环自上部冷却输入/输出口285接收的冷却剂。如图6所示,上部电极组件218包含邻近制程区域的一第一上部电极组件218A与一第二电极组件218B,并提供射频能量至各自制程区域202与203(参见图4A)。为了控制上部电极组件218的热量,第一与第二上部电极组件218A与218B的冷却信道294分别藉由第一冷却输入线291与第二冷却输入线293耦接至外部冷却源(未显示)。
上部电极组件218包含架设于第一与第二上部电极组件218A与218B间的射频屏蔽299,以藉由隔绝其间的电磁场将射频泄漏降至最小。
射频屏蔽299包含适于吸收或反射射频能量的材料。举例而言,射频屏蔽299包含如钢铁与铝等材料也可包含磁电绝缘材料。射频屏蔽299跨越盖件215的宽度,并如图4A所示延伸至套件261的顶部。为了附加隔绝射频,屏蔽组件299包含设于屏蔽299与盖件215间的射频衬垫(未显示)。
如图6所示,气体分流组件282耦接制程气体供应器(未显示)至气体分散组件216。气体分流组件282包含耦接至第一与第二气体注入线280A与280B的阻止组件286。阻止组件286对自气体分流组件282传送的气流提供一障碍,以使等量气流流经气流分散组件216进入制程区域202与203。如图7所示,气体分散组件216包含一气体注入线292,该注入线是自制程气体供应器(未显示)耦接气体通经上部电极组件218A与218B传送至喷嘴222。
气流量测装置如气体质量流量计与气流控制器,是与阻止组件286连接或分开,其中气流量测装置与气流控制器系耦接于制程控制器223(参见图3),以控制通经气体分散组件216进入每一制程区域202与203的气体流量。举例而言,图9说明气体分流组件282的一构形。如图所示,气体供应器901如气体基板,耦接一或多种气体至气体路径903与气体路径905。气体路径903包含气体质量流量计907(MFM)。在一构形中,MFM907耦接至阻止组件(如可变孔洞911)以调整气体路径903与905的流量。MFM909耦接至气体路径905,以量测流经的气流量。MFM907、MFM909与可变孔洞911耦接至制程控制器232,以控制气体路径903与905内的气体流量。
参阅图7,第一与第二上部电极组件218A与218B使用一外部绝缘环276及一内部绝缘环270与盖件215电性隔绝。制作外部绝缘环276与内部绝缘环270的材料包含陶瓷、玻璃与其它可提供电性隔绝材料。第一与第二上部电极组件218A与218B包含可提供射频能量的上部电极272,该上部电极272对于设于喷嘴222与晶圆支撑件208间的各自制程区域202与203间提供射频能量。数个支撑销290设于上部电极272与喷嘴222间,以将传送至其间的热降至最低。第一与第二上部电极组件218A与218B也包含内含数个冷却信道294的冷却极板297。冷却极板297耦接至上部电极272以吸取热量。在一实施例中,藉由量测冷却流体与/或冷却极板297并据此增加/缩减冷却极板297的热吸收量,以维持上部电极272的温差介于+/-5℃范围。当组件重组时,数个导引销274(未显示)允许上部电极组件218与气体分散组件216自外部与内部绝缘环276与270及盖件215移除。
在操作中,本发明的实施例大致为同时导入晶圆制程于至少两半导体晶圆上的一制程系统。本系统的实施制程包含材料沉积、蚀刻、电浆处理、热处理及相似制程。特定言之,使用本发明如图1所示的实施例,欲加工的数个晶圆可自卡匣109置放入晶圆制程系统100。而后,大致两片晶圆通经传送机械手臂113传送至负载锁定室112,而负载锁定室112经由如处理室间的选择性激活闸阀210与内含卡匣109的处理室隔离。之后,提供负载锁定室112至预定压力并开启面对晶圆传送处理室104的入口。一旦第一与第二串联制程处理室200与201流动式彼此联系,负载锁定室112内的两晶圆系同时经由晶圆传送机械手臂105传送入晶圆传送处理室104,其中该机械手臂大致包含可同时支撑两晶圆的机械桨。开启设于传送处理室104与制程处理室106间的一对闸阀210,插入两晶圆至制程处理室106中,并于其上实施晶圆制程。
一旦机械桨嵌入制程处理室106,晶圆即同时置放于串联处理室200与201。第一与第二串联制程处理室200与201的接收晶圆步骤大致包含,如降低邻近于负载区域211的晶圆支撑件208进入负载位置。负载位置为晶圆支撑件208啮合一举升销组件212处,而负载位置大致位于基板下方,且机械桨于该基板下方经闸阀210与进入孔径209进入各自处理室。因此,机械桨是藉由置放晶圆于举升销组件208上,而将晶圆置放入各自处理室200与201。一旦晶圆置放于举升销组件212上,机械桨即由各自处理室200与201抽回,而闸阀210关闭以使处理室200、201与传送室104隔绝。
一旦负载步骤完成,各自晶圆支撑件208自负载位置移动至晶圆处理位置。由负载位置传送至晶圆处理位置的步骤大致包含垂直升起晶圆支撑件于各自处理室200与201内,以使晶圆支撑件208的上部表面至喷嘴222的下部表面间的距离设于一欲求值。举例而言,该欲求距离值约为一英时至三又二分之一英时。当晶圆支撑件208的上部表面界定各自制程区域202与203的下边界时,晶圆支撑件208的移动系也用以定义各制程区域202与203于处理室20与201内的体积。此外,当晶圆支撑件208于各自处理室的下部区域23 1内与举升销组件212的部分分离时,举升销组件212系升起与降低晶圆至各自晶圆支撑件208的上表面上。携带各自晶圆支撑件208进入制程位置的步骤更包含经由上述真空源(泵)220抽离各自处理室的大气,以使各自处理室的压力达到制程压力需求。
一旦各自晶圆被负载并送入制程位置,且各自处理室200与201的压力达到欲求的制程压力时,在各自制程区域202与203内产生电浆。电浆的产生是通过喷嘴222导入制程气体,并调整帮浦阀221以于各自处理室200与201内输入气体至欲求压力,而后以射频能量点燃气体以完成电浆产生步骤。特定言之,电浆的产生是通过施加射频能量于第一与第二上部电极组件218A与218B,而后由导入各自制程区域202与203内的制程气体产生电浆。
在产生电浆的一操作实施例中,处理室压力介于约20毫托尔至约2托尔。制程气体诸如CF4、C4F8、C4F6、C8F4、CHF3、Cl2、HBr、NF3、N2、Ar、He、O2及/或其组合的群组,以介于约200标准立方公分至1000标准立方公分的流速导入第一与第二串联制程处理室200与201。施加介于约20瓦至约1000瓦的偏压于上部电极组件218A与218B,而相对处理室的基础偏压为约40MHz至200MHz。上部电极组件218A与218B的基础为侧墙205A与205B及内墙206及偏压电极227。偏压电极227的偏压值介于50瓦至5000瓦间与介于2MHz至13.56MHz间。偏压电极227的基础为侧墙205A与205B及内墙206及上部电极组件218A与218B。当使用上述参数时,如蚀刻具有多晶硅、氧化物、金属及相似物的晶圆,可考虑其它气体与能量设定以用于其它在此未述的制程。
当使用文件板组件238使电浆自制程区域泄漏情形降至最低时,可调整电浆密度,以提供相较于传统系统更高均一度的电浆。此外,当使用内墙206与射频屏蔽299使射频泄漏降至最低时,射频电源程度可于射频在串联制程处理室200与201间产生的干扰降至最低时调整至最大输出值。因此,当制程区域与环境及磁电隔绝时,系统设定的组合相较于传统系统可提供高产出率且更为均一的制程。举例而言,晶圆支撑件208可独立地接近或远离各自喷嘴222,射频源250与251的输出功率程度与晶圆支撑件208的电性偏压可独立地调整以用于每一处理室201与202,进而于处理室内提供约1×109至1×1010离子数的均一电浆密度。本发明人已证实本发明所架设的处理室对于200毫米至300毫米晶圆可提供大于每分钟10,000埃的蚀刻速率与低于约2百分比的均一度,并可预期其它晶圆尺寸与蚀刻速率。此外,本发明人已证实本发明所架设的处理室对于两并行处理晶圆系可提供制程均一偏差度低于约2.5百分比,而其它较低数值系可预期。
电浆制程操作通过以图4A至图4D为基础所述的制程分析系统289加以检测,以决定每一制程处理室200与201达至终点值与完成步骤的时间判断。一旦各自制程步骤完成后,终止电浆产生,而各自晶圆自各自制程处理室200与201移除。卸载制程大致包含自制程位置降低晶圆支撑件208至晶圆负载/卸载位置。一旦晶圆支撑件208位于负载/卸载位置,阀210开启以使机械桨进入制程处理室200与201并自该处移除已处理的晶圆。当移除晶圆后,晶圆被移转至另一组串联制程处理室106,以进行另一制程处理步骤。相同地,导入另外两晶圆于上述两晶圆刚移除的制程处理室内以进行处理步骤。本发明的实施态样大致如图1至图8所述,其允许两晶圆同时于串联制程处理室200与201内进行制程处理。
以上是直接描述本发明的实施例,本发明其它与进一步的实施例可于不偏离本发明的基础范围下加以设计,而本发明的范围是通过权利要求范围加以决定。

Claims (43)

1.一种用于加工处理晶圆的设备,该设备至少包含;
一处理室,是界定数个隔绝制程区域,每一该隔绝制程区域具有一上端与一下端;
数个电浆产生装置,每一该电浆产生装置邻近每一该隔绝制程区域的上端设置;
数个射频电源供应器,每一该射频电源供应器连接于每一该电浆产生装置,其中该射频电源供应器的输出信号是一起锁定;
数个气体分散组件,每一个该气体分散组件被耦接至位于每一该隔绝制程区域的每一个别的电浆产生装置上;
一移动式晶圆支撑件,设于每一该隔绝制程区域内,其中每一该移动式晶圆支撑件包含耦接于一偏压电源供应器的一偏压电极。
2.如权利要求1所述的设备,该设备更包含一射频屏蔽组件,该射频屏蔽组件设于邻近一第一隔绝制程区域的一第一电浆产生装置与邻近一第二隔绝制程区域的一第二电浆产生装置间,并使该第一电浆产生装置与该第二电浆产生装置彼此成磁电性地隔绝,
3.如权利要求1所述的设备,该设备更包含耦接至数个射频电源供应器的一射频电源供应控制器,以使用一相位锁定与一频率锁定的至少其中之一来锁定每一该射频电源供应器的输出频率。
4.如权利要求1所述的设备,其中上述移动式晶圆支撑件至少包含设于其上的一外罩与一隔绝物以支撑该偏压电极。
5.如权利要求1所述的设备,其中上述电浆产生装置包含一用于自该装置移除热的一热冷却极板。
6.如权利要求5所述的设备,其中上述热冷却极板用于维持电浆产生装置的温度于约+/-5℃间。
7.如权利要求1所述的设备,其中上述数个隔绝制程区域通过公用真空源加以连接。
8.如权利要求7所述的设备,其中上述隔绝处理区域是连接至一空间,该空间用于均等该数个隔绝制程区域间的处理室压力。
9.如权利要求1所述的设备,该设备更包含耦接至该移动式晶圆支撑件的一文件板组件。
10.如权利要求9所述的设备,其中上述文件板组件是用以与该移动式晶圆支撑件同时移动,藉以于该隔绝制程区域的上端与该隔绝制程区域的下端间形成一电浆阻障。
11.如权利要求9所述的设备,其中上述文件板组件包含数个孔径以允许制程气体流经该孔径。
12.如权利要求1所述的设备,该设备更包含一气体分流设备,该气体分流设备用于均等分散一或多种制程气体于每一隔绝制程区域间。
13.如权利要求12所述的设备,其中该气体分流设备包含至少一阻挡组件以用于提供一大致均等气流进入每一该数个隔绝制程区域内。
14.如权利要求12所述的设备,其中该气体分流设备包含至少一气流控制器以用于提供一大致均等气流进入每一该数个隔绝制程区域内。
15.如权利要求12所述的设备,其中该气体分流设备至少包含流动式耦接于一第一气体路径的一气体流量计与气流控制器,其中该气体流量计与该气流控制器用于控制每一该数个隔绝制程区域间的气流量。
16.如权利要求1所述的设备,该设备更包含一制程分析系统。
17.如权利要求16所述的设备,其中该制程分析系统至少包含光学式耦接于每一制程区域的一光学检测器以自该处接受光学信号。
18.如权利要求1所述的设备,其中每一该电浆产生装置至少包含一电极、一线圈、与一超环面电浆产生导管的至少其中之一。
19.一种串联蚀刻处理室,该处理室至少包含;
一第一串联制程处理室,是界定一第一制程区域,该第一串联制程处理室至少包含:
一第一移动式晶圆支撑件,位于该第一串联制程处理室内;
一第一气体分散组件,设于该第一制程区域的上端;
一第二串联制程处理室,邻近于该第一串联制程处理室,该第二串联制程处理室藉由一共享内墙定义自该处隔绝的一第二制程区域,该第二串联制程处理室至少包含:
一第二移动式晶圆支撑件,位于该第二串联制程处理室内;
一第二气体分散组件,设于该第二制程区域的上端;及
一帮浦设备与该第一串联制程处理室与该第二串联制程处理室间成流体相通;
一第一电浆产生装置,其是与该第一串联制程处理室相通,一第二电浆产生装置,其与该第二串联制程处理室相通,其中由该第一电浆产生装置驱动的一第一信号与由第二电浆产生装置驱动的一第二信号频率锁定或相位锁定;
一射频屏蔽组件,位于该第一电浆产生装置与该第二电浆产生装置间;及
一气体分流装置,其耦接至该第一气体分散组件与该第二气体分散组件,其中该气体分流设备是用于平均分配一输入气流至该第一气体分散组件与该第二气体分散组件间。
20.如权利要求19所述的串联处理室,该串联处理室更包含耦接至该第一移动式晶圆支撑件的一第一电性偏压源。
21.如权利要求19所述的串联处理室,该串联处理室更包含耦接至该第二移动式晶圆支撑件的一第二电性偏压源。
22.如权利要求19所述的串联处理室,其中该第一电浆产生装置与该第二电浆产生装置至少包含一第一射频源与一第二射频源。
23.如权利要求19所述的串联处理室,该串联处理室更包含耦接至该第一移动式晶圆支撑件的一第一文件板组件,与耦接至该第二移动式晶圆支撑件的一第二文件板组件。
24.如权利要求23所述的串联处理室,其中每一该第一及第二文件板组件系可于该个别的第一及第二制程区域及一与该第一及第二串联处理室间成流动相通的该个别的邻近部分间提供一电浆屏蔽。
25.如权利要求19所述的串联处理室,其中该共享内墙可分隔该第一串联制程处理室与该第二串联制程处理室各自的一上部区域,同时并容许该第一串联制程处理室与该第二串联制程处理室各自的一相邻部分彼此成流体相通状态。
26.如权利要求25所述的串联处理室,其中该相邻部分是藉由以该第一与第二电浆产生装置为基础设置的空间加以界定,以使该相邻部分内的电浆产生降至最低。
27.如权利要求19所述的串联处理室,其中该第一与第二电浆产生装置至少包含一电极、一线圈及一超环面电浆产生导管中至少一个。
28.一蚀刻制程系统,该蚀刻制程系统至少包含;
一负载锁定室;
一晶圆传送室,是选择性与该负载锁定室相通;及
至少一串联蚀刻制程处理室,其是选择性地与该晶圆传送室相通,该串联蚀刻处理室至少包含;
一第一与第二相邻设置的制程处理室;
一第一与第二气体分散组件分别与该第一与第二制程处理室成流体相通状态;
一第一电浆产生装置与该第一相邻设置的制程处理室相通,而一第二电浆产生装置与该第二相邻设置的制程处理室相通,其中该第一电浆产生装置驱动的一第一信号与该第二电浆产生装置驱动的一第二信号以频率锁定或相位锁定,且其中该第一与第二相邻设置的制程处理室共享一公用墙,当流体于两处理室间交流时,制程是分开于各自制程处理室进行;及
一气体分流设备,耦接于该第一与第二气体分散组件,其中该气体分流设备用于均分一输入气流于该第一与第二气体分散组件间。
29.如权利要求28所述的系统,其中该晶圆传送室包含一设于其中的晶圆传送机械手臂,该晶圆传送机械手臂用于在一时间内传送两晶圆至至少一串联蚀刻制程处理室与该负载锁定室。
30.如权利要求28所述的系统,该系统更包含位于中央并与一真空帮浦相通的一抽泵孔,该位于中央的抽泵孔系用于同时于该第一与第二相邻设置的制程处理室间抽泵气体至一相同压力。
31.如权利要求28所述的系统,其中该第一与第二相邻设置的制程处理室的一下部区域包含一选择性开启阀,以使晶圆穿越进入该第一与第二相邻设置的制程处理室的下部区域。
32.如权利要求28所述的系统,其中每一该第一与第二相邻设置的制程处理室至少包含一选择性开启晶圆支撑件以在一制程位置与一负载位置间移动,其中该负载位置对应于一位于各自制程处理室一下部分的位置,该位置邻近于一可联晶圆进出该制程处理室的一孔径,且其中该制程位置对应于一位于邻近该各自气体分散组件的各自制程处理室的一上部分内的位置。
33.如权利要求32所述的系统,该系统更包含一可滑动进入每一该制程处理室内的文件板组件,该文件板组件耦接至该选择性开启的晶圆支撑件上,其中该选择性开启的晶圆支撑件、气体分散组件与文件板组件是于制程处理内形成一可变体积的电浆制程区域。
34.如权利要求33所述的系统,其中每一文件板组件系于该可变体积的电浆制程区域与一各自相邻区域间提供一电浆屏蔽,该各自相邻区域系与该第一与第二相邻设置的制程处理室间成流体相通状态。
35.如权利要求28项所述的系统,其中该第一与第二电浆产生装置至少包含一电极、一线圈及一超环面电浆产生导管至少其中之一。
36.一种用于蚀刻晶圆的方法,该方法至少包含;
负载两晶圆于耦接至一第一制程区域与一第二制程区域的一第一处理室,该第一制程区域与该第二制程区域系彼此隔绝;
同时移动该晶圆,由该第一处理室进入该制程区域并置放于每一处理室内的各自晶圆支撑件上;
藉由放置该晶圆支撑件邻近于一上部射频源,以调整每一制程区域的体积;
导入一或多种制程气体于每一隔绝制程区域内;及
设置一电浆屏蔽邻近于每一晶圆支撑件并与每一晶圆支撑件一起将电浆限制于各自的隔绝制程区域中,同时并容许制程气体于该处流动可。
37.如权利要求36所述的方法,更包含于该射频源间提供一射频屏蔽,以于其间提供射频屏蔽。
38.如权利要求36所述的方法,更包含使用一相位锁定与一频率锁定至少一者,来锁定该射频源的一输出信号。
39.如权利要求36所述的方法,其中该制程气体是经耦接于一单一帮浦的一空间加以排放,其中该空间的输出设置使该输出内的电浆产生降至最低。
40.如权利要求36所述的方法,其中该制程气体使用一气体分流组件导入于该制程区域内,该组件用于提供均等气流进入每一制程区域。
41.如权利要求36所述的方法,其中该第一与第二制程区域共享一公用墙,该公用墙可分隔该各自制程区域,同时并使该各自制程区域间能成流体相通状态。
42.如权利要求36所述的方法,更包含自每一该隔绝制程区域接收光学电浆信号并处理该光学电浆信号,进而以每一该隔绝制程区域为基础定出一制程终点。
43.如权利要求42所述的方法,更包含使用该光学电浆信号,控制用于每一各自制程区域的一电浆蚀刻制程。
CNB038063859A 2002-03-18 2003-03-05 串联蚀刻处理室等离子工艺系统 Expired - Fee Related CN100361265C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36478202P 2002-03-18 2002-03-18
US60/364,782 2002-03-18
US10/241,653 US6962644B2 (en) 2002-03-18 2002-09-10 Tandem etch chamber plasma processing system
US10/241,653 2002-09-10

Publications (2)

Publication Number Publication Date
CN1643642A true CN1643642A (zh) 2005-07-20
CN100361265C CN100361265C (zh) 2008-01-09

Family

ID=28044577

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038063859A Expired - Fee Related CN100361265C (zh) 2002-03-18 2003-03-05 串联蚀刻处理室等离子工艺系统

Country Status (4)

Country Link
US (1) US6962644B2 (zh)
CN (1) CN100361265C (zh)
TW (1) TWI291202B (zh)
WO (1) WO2003081633A2 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
CN101809708A (zh) * 2007-07-27 2010-08-18 马特森技术公司 先进多工件处理室
CN101845621A (zh) * 2010-06-07 2010-09-29 刘忆军 大面积平板式等离子体增强化学气相沉积系统
CN102741974A (zh) * 2010-04-30 2012-10-17 应用材料公司 具有共享资源的工艺腔室及其使用方法
CN103632998A (zh) * 2013-11-22 2014-03-12 沈阳拓荆科技有限公司 等离子体处理装置
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
US9204950B2 (en) 2013-08-16 2015-12-08 Industrial Technology Research Institute Plasma generator, surface treatment method using the same and surface treatment method using the same for bio-tissue
CN107424900A (zh) * 2012-08-27 2017-12-01 朗姆研究公司 加强等离子体处理系统中的等离子体增强蚀刻
CN107610993A (zh) * 2016-07-11 2018-01-19 北京北方华创微电子装备有限公司 控制晶圆进出大气真空转换腔室方法及大气真空转换腔室
CN107995994A (zh) * 2016-12-29 2018-05-04 深圳市柔宇科技有限公司 干蚀刻设备
CN108573849A (zh) * 2017-03-08 2018-09-25 应用材料公司 具有串接处理区域的等离子体腔室
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN112216586A (zh) * 2019-07-12 2021-01-12 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US7861667B2 (en) * 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
CN1695061A (zh) * 2002-10-29 2005-11-09 纳幕尔杜邦公司 对多个试样进行化学反应的方法和装置
KR20050038134A (ko) * 2003-10-21 2005-04-27 삼성전자주식회사 기판 스토킹 시스템
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
KR100569604B1 (ko) * 2003-12-30 2006-04-10 주식회사 아이피에스 트윈 공정챔버를 이용한 클러스터 툴 시스템 및 이를 통한 박막 증착 방법
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
SG172675A1 (en) * 2006-03-05 2011-07-28 Blueshift Technologies Inc Wafer center finding
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
KR100830850B1 (ko) * 2006-11-22 2008-05-20 피에스케이 주식회사 기판 처리 장치
WO2008106499A2 (en) * 2007-02-28 2008-09-04 Applied Materials, Inc. Rigid rf transmission line with easy removal section
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US9165587B2 (en) * 2007-12-06 2015-10-20 Intevac, Inc. System and method for dual-sided sputter etch of substrates
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
KR20150050066A (ko) * 2013-10-31 2015-05-08 삼성전기주식회사 플라즈마 발생 장치
US10580623B2 (en) 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101585928B1 (ko) * 2014-04-29 2016-01-18 피에스케이 주식회사 기판 처리 장치
JP6541374B2 (ja) 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016179479A1 (en) * 2015-05-07 2016-11-10 Applied Materials, Inc. Apparatus for selectively sealing a gas feedthrough
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108091587B (zh) * 2016-11-21 2021-01-29 北京北方华创微电子装备有限公司 一种工艺腔室及半导体装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102306567B1 (ko) 2017-05-18 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 열 균일성을 갖는 열 챔버
US11201036B2 (en) * 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11355367B2 (en) 2019-07-12 2022-06-07 Applied Materials, Inc. Robot for simultaneous substrate transfer
CN114072897A (zh) 2019-07-12 2022-02-18 应用材料公司 用于同时基板传输的机械手
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
KR20220038717A (ko) 2019-07-29 2022-03-29 에이이에스 글로벌 홀딩스 피티이 리미티드 다수의 부하의 펄스 구동을 위한 채널 오프셋을 갖는 멀티플렉싱된 전력 발생기 출력
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11790942B2 (en) 2019-08-20 2023-10-17 International Business Machines Corporation Process for forming magnetic recording layer for tape media
US12014760B2 (en) 2019-08-20 2024-06-18 International Business Machines Corporation Process for forming tape media having synergistic magnetic recording layer and underlayer
US11158339B2 (en) 2019-08-20 2021-10-26 International Business Machines Corporation Magnetic recording layer formulation for tape media
US11158340B2 (en) 2019-08-20 2021-10-26 International Business Machines Corporation Underlayer formulation for tape media
US11158337B2 (en) 2019-08-20 2021-10-26 International Business Machines Corporation Tape cartridge having tape media having synergistic magnetic recording layer and underlayer
US11152027B2 (en) 2019-08-20 2021-10-19 International Business Machines Corporation Tape media having synergistic magnetic recording layer and underlayer
US11410697B2 (en) 2019-08-20 2022-08-09 International Business Machines Corporation Process for forming underlayer for tape media
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093426A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Movable semiconductor processing chamber for improved serviceability
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
JP2023548039A (ja) * 2020-10-28 2023-11-15 ラム リサーチ コーポレーション 回転可能なトッププレートアセンブリを有するマルチステーションツール
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220069148A (ko) * 2020-11-19 2022-05-27 삼성전자주식회사 반도체 소자의 제조 장치 및 반도체 소자의 제조 방법
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TW202403086A (zh) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 用於前驅物遏制的改進的噴頭泵送幾何形狀
CN114975054A (zh) * 2021-07-16 2022-08-30 北京屹唐半导体科技股份有限公司 等离子处理装置的多头处理腔室的压力控制系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3291715A (en) 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4341582A (en) * 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
US4431898A (en) 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE68912400T2 (de) 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5444207A (en) 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
EP0566143B1 (en) 1992-04-17 1999-11-24 Matsushita Electric Industrial Co., Ltd. Apparatus and method for generating plasma
US5534108A (en) 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
EP0854669B1 (en) 1997-01-20 2003-03-26 Daido Steel Company Limited Soft magnetic alloy powder for electromagnetic and magnetic shield, and shielding members containing the same
US5877090A (en) 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
JP2927284B2 (ja) 1997-12-22 1999-07-28 日本電気株式会社 磁気シールドルーム
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6223755B1 (en) * 1998-04-23 2001-05-01 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
KR100368236B1 (ko) 1998-12-18 2003-04-21 주식회사 포스코 자기차폐성이 우수한 이너쉴드용 극박냉연강판의 제조방법
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6541353B1 (en) 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
CN101809708A (zh) * 2007-07-27 2010-08-18 马特森技术公司 先进多工件处理室
CN101809708B (zh) * 2007-07-27 2013-04-17 马特森技术公司 先进多工件处理室
CN102741974A (zh) * 2010-04-30 2012-10-17 应用材料公司 具有共享资源的工艺腔室及其使用方法
CN101845621A (zh) * 2010-06-07 2010-09-29 刘忆军 大面积平板式等离子体增强化学气相沉积系统
CN107424900A (zh) * 2012-08-27 2017-12-01 朗姆研究公司 加强等离子体处理系统中的等离子体增强蚀刻
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9204950B2 (en) 2013-08-16 2015-12-08 Industrial Technology Research Institute Plasma generator, surface treatment method using the same and surface treatment method using the same for bio-tissue
CN103632998A (zh) * 2013-11-22 2014-03-12 沈阳拓荆科技有限公司 等离子体处理装置
CN103632998B (zh) * 2013-11-22 2016-05-04 沈阳拓荆科技有限公司 等离子体处理装置
CN107610993A (zh) * 2016-07-11 2018-01-19 北京北方华创微电子装备有限公司 控制晶圆进出大气真空转换腔室方法及大气真空转换腔室
CN107610993B (zh) * 2016-07-11 2020-07-17 北京北方华创微电子装备有限公司 控制晶圆进出大气真空转换腔室方法及大气真空转换腔室
CN107995994A (zh) * 2016-12-29 2018-05-04 深圳市柔宇科技有限公司 干蚀刻设备
CN108573849A (zh) * 2017-03-08 2018-09-25 应用材料公司 具有串接处理区域的等离子体腔室
CN108573849B (zh) * 2017-03-08 2023-02-28 应用材料公司 具有串接处理区域的等离子体腔室
CN112216586A (zh) * 2019-07-12 2021-01-12 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备
CN112216586B (zh) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备

Also Published As

Publication number Publication date
US20030176074A1 (en) 2003-09-18
TW200305217A (en) 2003-10-16
CN100361265C (zh) 2008-01-09
TWI291202B (en) 2007-12-11
WO2003081633A3 (en) 2003-12-18
US6962644B2 (en) 2005-11-08
WO2003081633A2 (en) 2003-10-02

Similar Documents

Publication Publication Date Title
CN1643642A (zh) 串联蚀刻处理室电浆制程系统
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
CN101199036B (zh) 具有可调电极面积比的受约束等离子体
TW483067B (en) Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
CN101194340B (zh) 使用电极片独立运动的蚀刻率均一性的改进
EP1079671A2 (en) Antenna device for generating inductively coupled plasma
KR101838943B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
TWI337382B (zh)
CN101043784A (zh) 混合等离子体反应器
US20040255863A1 (en) Plasma process apparatus
CN103069560A (zh) 用于控制工艺腔室中的气体流动的设备
US20090314208A1 (en) Pedestal heater for low temperature pecvd application
CN103890928A (zh) 静电夹盘
CN101032002A (zh) 用于处理衬底的等离子体处理系统
TW200402795A (en) Capacitively coupled plasma reactor with magnetic plasma control
US20120222618A1 (en) Dual plasma source, lamp heated plasma chamber
CN106298425B (zh) 提高等离子体径向均匀性的等离子体腔室
CN107516626A (zh) 用于原位晶片边缘和背侧等离子体清洁的系统和方法
TW201737294A (zh) 用以蝕刻高深寬比特徵部之功率調變
CN100576438C (zh) 增强磁控制等离子体径向分布的约束挡板和流动均衡器
KR20100019469A (ko) 마이크로파 플라즈마 처리 장치 및 마이크로파 플라즈마 처리 방법, 및 마이크로파 투과판
US20230230804A1 (en) Process control for ion energy delivery using multiple generators and phase control
CN101061572A (zh) 处理装置
KR20140098092A (ko) Rf 스트랩 입력을 갖는 대칭적 rf 리턴 및 주변 rf 피드
US20170200585A1 (en) Source rf power split inner coil to improve bcd and etch depth performance

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080109