JP2023548039A - 回転可能なトッププレートアセンブリを有するマルチステーションツール - Google Patents

回転可能なトッププレートアセンブリを有するマルチステーションツール Download PDF

Info

Publication number
JP2023548039A
JP2023548039A JP2023524556A JP2023524556A JP2023548039A JP 2023548039 A JP2023548039 A JP 2023548039A JP 2023524556 A JP2023524556 A JP 2023524556A JP 2023524556 A JP2023524556 A JP 2023524556A JP 2023548039 A JP2023548039 A JP 2023548039A
Authority
JP
Japan
Prior art keywords
top plate
plate assembly
semiconductor processing
configuration
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023524556A
Other languages
English (en)
Inventor
リーサー・カール・フレデリック
ラボア・エイドリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023548039A publication Critical patent/JP2023548039A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Machine Tool Units (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

【課題】【解決手段】マルチステーション処理チャンバを有する半導体処理ツールが提供され、半導体処理ツールは、メンテナンスおよびサービス作業中にそのトッププレートアセンブリを回転させることを可能にする回転軸受機構を含む。いくつかの実施態様では、第1の構成と第2の構成との間でトッププレートアセンブリを並進させるために使用され得る垂直変位機構を提供することができ、トッププレートアセンブリは、少なくとも第2の構成において回転可能である。【選択図】図6

Description

参照による援用:
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
半導体処理ツールは、一般に、その中で半導体ウエハを処理するための隔離された環境を提供する1つまたは複数の半導体処理チャンバを含む。一部の半導体処理ツールでは、複数の半導体ウエハが単一のチャンバ内で処理され得る。この半導体処理ツールでは、そのようなチャンバは、各々が独自のウエハ支持体と、例えば、その上に位置決めされたガス分配器とを有する複数のウエハ処理ステーションを含むことができる。
そのようなマルチステーションチャンバについての1つの一般的なアーキテクチャは、単一の大きなチャンバ内に正方形パターンで配置された4つのウエハ処理ステーションを特徴とするクワッドステーションモジュール(QSM)である。典型的には、回転インデクサがそのようなQSMツールに設けられ、ウエハが処理チャンバ内でステーション間を移動することを可能にする。一部のそのようなQSMツールでは、ウエハステーション間のある程度の隔離を可能にするフィーチャが設けられてもよく、例えば、処理ガスがあるウエハステーションから別のウエハステーションに移動する可能性を低減するために、不活性ガスカーテンがウエハステーション間に設けられてもよい。
QSMツールは、典型的には、QSMチャンバハウジングの上部における大きな開口部をシールするために使用される大きなトッププレートアセンブリを含み、トッププレートアセンブリは、典型的には、開口部および4つのウエハステーションすべてを覆い、ガス分配器(業界ではシャワーヘッドとも呼ばれる)、弁、センサ、および様々な他の機器などのシステムのためのサポートを提供する。QSMツールはまた、典型的には、トップアセンブリを含み、これはトッププレートアセンブリの上に位置決めされ、線形アクチュエータの使用により垂直に並進することができる大きな機器ベイであり得る。そのようなQSMツールにおけるトッププレートアセンブリは、多くの場合、トップアセンブリと取り外し可能に接続され、トッププレートアセンブリをチャンバハウジングから持ち上げることを可能にし、例えば、メンテナンス、洗浄、校正、および他の活動のためにチャンバハウジングの内部へのアクセスを容易にすることができる。
本明細書に記載される主題の1つまたは複数の実施態様の詳細は、以下の添付の図面および説明に記載される。他の特徴、態様、および利点は、説明、図面、および特許請求の範囲から明らかになるであろう。
本発明者らは、一部のマルチステーションツールが、それらのサイズ、およびツールの一部の潜在的なアクセス不可能性のため、サービスがやや困難であり得ることを認識した。例えば、一部のマルチステーションツールは、実際には、複数のマルチステーション処理チャンバ、例えば、中央ハブの周りに配置された3つのQSM処理チャンバを特徴とすることがあり、マルチステーションチャンバの一部は、他の部分よりもアクセスしにくい場合がある。例えば、上述の3つのQSM構成では、3つのQSM処理チャンバは各々、QSM処理チャンバの各々の間でウエハを移送するために使用され得る概して正方形の移送チャンバの異なる縁部に沿って位置決めされ得る。移送チャンバの第4の縁部は、例えば、半導体ウエハをマルチステーションツールに導入したり、マルチステーションツールから半導体ウエハを取り外したりするために使用される機器フロントエンドモジュールまたは他のシステムに隣接することができる。したがって、移送チャンバの近くに位置する各QSM処理チャンバ用の機器は、一般にマルチステーションツールの外側境界から離れているため到達するのがより困難である一方、移送チャンバから遠くに位置する機器は、一般にマルチステーションツールの外側境界に近くなり得る。
そのような処理チャンバ用の機器のアクセス可能性、またはその欠如は、特にトッププレートアセンブリ上に位置する機器に関して、メンテナンスまたはサービス作業中に課題を提示する可能性がある。したがって、側方から容易にアクセスできない、マルチステーション処理チャンバの縁部に近いトッププレートアセンブリ上に位置決めされた機器は、一般に上からのみ容易にアクセス可能であるが、そのようなトッププレートアセンブリ上の垂直空間は、場合によっては、トッププレートアセンブリと、例えば、トップアセンブリとの間に存在し得る垂直隙間によって制限される可能性がある。
本発明者らは、追加のフィーチャをマルチステーションツールに組み込むことによって、メンテナンスおよびサービス作業中にトッププレートアセンブリを容易に回転させ、アクセスが困難な場所に通常は位置する機器をよりアクセスしやすい場所に移動させることが可能であり、それによってメンテナンスおよびサービス作業が容易になることを認識した。
回転可能なトッププレートアセンブリを有するマルチステーションツールの様々な実施態様が上記の説明および以下の説明から明らかになるが、本開示は少なくとも以下の具体的な実施態様を含み、これらは明確にするために提供されるが、限定することを意図するものではない。
いくつかの実施態様では、その上面に開口部を有するチャンバハウジングであって、開口部は、開口部の周囲に延びるチャンバハウジングの内縁によって画定されるチャンバハウジングを含むことができる半導体処理装置が提供される。半導体処理装置は、チャンバハウジングの内部容積内の異なる場所に位置決めされた複数の台座と、開口部を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたトッププレートアセンブリと、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリを支持するように構成された回転軸受機構とをさらに含むことができる。トッププレートアセンブリは、第1の構成では、開口部をシールするようにチャンバハウジングと係合することができ、第2の構成では、第1の構成よりもチャンバハウジングから遠くに位置決めされ、回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる。
いくつかのそのような実施態様では、トッププレートアセンブリは、貫通する複数の開口を有するトッププレートを含んでもよく、各台座は、トッププレートアセンブリが第1の回転位置にあるときに対応する異なる開口と位置合わせされてもよい。
いくつかのさらなるそのような実施態様では、半導体処理装置は、複数のガス分配アセンブリをさらに含んでもよく、各ガス分配アセンブリは、開口の1つをシールするようにトッププレートに取り付けられ、トッププレートアセンブリが第1の構成または第2の構成のいずれかにあり、かつ第1の回転位置にあるとき、台座に面するその表面上に位置する複数のガス分配ポートを有する。
半導体処理装置のいくつかの実施態様では、複数の台座は、正方形パターンに配置された4つの台座を含んでもよい。
半導体処理装置のいくつかの実施態様では、複数の台座における各台座の少なくとも中心は、上から見たときに開口部と重なってもよく、各台座は、チャンバハウジング内で実施される半導体処理動作中にウエハを支持するように構成されてもよい。
半導体処理装置のいくつかの実施態様では、回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含んでもよく、半導体処理装置は、第1の構成から第2の構成へのトッププレートアセンブリの移行中、直接的または間接的に、トッププレートアセンブリの重量よりも大きい全体の上方の力をトッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含んでもよく、第1の部分、第2の部分、および1つまたは複数の垂直変位機構は、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリによって圧縮荷重をかけられてもよい。
半導体処理装置のいくつかのそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のばねを含んでもよい。
半導体処理装置のいくつかの代替のそのような実施態様では、1つまたは複数のばねは、開口部の外側の場所で開口部の周囲に分散される複数の圧縮ばねである。
半導体処理装置のいくつかの代替のそのような実施態様では、1つまたは複数のばねは、開口部を取り囲む円周方向波形ばねを含んでもよい。
半導体処理装置のいくつかの代替のそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含んでもよい。
半導体処理装置のいくつかの代替のそのような実施態様では、1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含んでもよい。
半導体処理装置のいくつかの実施態様では、装置は、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャ(receiver feature)を含む戻り止めシステムをさらに含んでもよい。a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの一方は、トッププレートアセンブリに対して固定的に取り付けられてもよく、a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの他方は、チャンバハウジングに対して固定的に取り付けられてもよい。各係合機構は、係合構成と係合解除構成との間で移行するように構成されてもよく、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャは、トッププレートアセンブリが第1の回転位置にあるとき、1つまたは複数の係合機構の少なくとも1つが、i)係合解除構成から係合構成に移行することができるように構成され、ii)係合構成にあるときに1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)1つまたは複数の受け入れフィーチャのうちの1つの受け入れフィーチャと係合している間にトッププレートアセンブリがチャンバハウジングに対して回転することを防止するように位置決めされてもよい。
半導体処理装置のいくつかの実施態様では、装置は、トップアセンブリと、1つまたは複数の垂直リフトアクチュエータと、1つまたは複数のロッキングアクチュエータと、1つまたは複数のリフトフィーチャとをさらに含んでもよい。1つまたは複数のリフトフィーチャは、トッププレートアセンブリの一部であってもよく、1つまたは複数の垂直リフトアクチュエータは、少なくとも着座位置と第1の上昇位置との間でトップアセンブリを垂直に並進させるように構成されてもよく、1つまたは複数のロッキングアクチュエータは、トップアセンブリが着座位置にあるときに1つまたは複数のリフトフィーチャと解放可能に係合可能であるように位置決めされてもよく、トッププレートアセンブリは、トップアセンブリが1つまたは複数の垂直リフトアクチュエータによって着座位置から第1の上昇位置に並進され、1つまたは複数のロッキングフィーチャが1つまたは複数のリフトフィーチャと係合するとき、トップアセンブリと共に並進してもよい。
半導体処理装置のいくつかのそのような実施態様では、装置は、トッププレートアセンブリの一部であり、トッププレートアセンブリから上方に延びる支持構造をさらに含んでもよい。装置はまた、トップアセンブリの一部である軸受座をさらに含んでもよい。そのような実施態様では、1つまたは複数の垂直リフトアクチュエータは、第1の上昇位置と、第1の上昇位置よりも高い第2の上昇位置との間でトップアセンブリを垂直にも並進させるようにさらに構成されてもよく、回転軸受機構は、軸受座と支持構造の最上端との間に位置してもよく、回転軸受機構は、第1の上昇位置と第2の上昇位置との間のトップアセンブリの並進中、軸受座および支持構造によって圧縮荷重をかけられてもよく、トッププレートアセンブリは、トップアセンブリが第2の上昇位置にあり、トッププレートアセンブリを支持していないときに第2の構成にあってもよい。
いくつかの実施態様では、その底面にシール界面を有するチャンバハウジングを含む半導体処理装置が提供される。装置は、チャンバハウジングの下に位置決めされ、シール界面を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたボトムプレートアセンブリをさらに含むことができる。装置はまた、ボトムプレートアセンブリが第1の構成にあるとき、チャンバハウジングの内部容積内の少なくとも部分的に異なる場所に位置決めされた複数の台座、ならびに少なくともボトムプレートアセンブリが第2の構成にあるときにボトムプレートアセンブリを支持するように構成された回転軸受機構を含んでもよい。そのような実施態様では、ボトムプレートアセンブリは、第1の構成では、チャンバハウジングのシール界面と係合することができ、第2の構成では、第1の構成よりもチャンバハウジングから遠くに位置決めされ、回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる。
いくつかのそのような実施態様では、ボトムプレートアセンブリは、複数の台座を支持してもよい。
いくつかの追加のそのような実施態様では、装置は、複数のガス分配アセンブリをさらに含んでもよく、各ガス分配アセンブリは、ボトムプレートアセンブリが少なくとも第1の回転位置にあるとき、対応する台座に面し、かつ対応する台座の上の中心に配置されたその表面上に位置する複数のガス分配ポートを有する。
いくつかの実施態様では、複数の台座は、正方形パターンに配置された4つの台座を含んでもよい。
いくつかの実施態様では、回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含んでもよく、半導体処理装置は、第1の構成から第2の構成へのボトムプレートアセンブリの移行中、直接的または間接的に、ボトムプレートアセンブリの重量よりも大きい全体の上方の力をボトムプレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含んでもよく、第1の部分、第2の部分、および1つまたは複数の垂直変位機構は、第1の構成と第2の構成の両方でボトムプレートアセンブリによって圧縮荷重をかけられてもよい。
いくつかのそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のばねを含んでもよい。
いくつかの代替のそのような実施態様では、1つまたは複数のばねは、シール界面によって画定された領域の外側の場所でボトムプレートアセンブリの周囲に分散される複数の圧縮ばねであってもよい。
いくつかの他の代替のそのような実施態様では、1つまたは複数のばねは、シール界面を取り囲む円周方向波形ばねを含んでもよい。
いくつかの他の代替のそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む。
いくつかの他の代替のそのような実施態様では、1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含んでもよい。
装置のいくつかの実施態様では、装置は、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含んでもよい。a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの一方は、ボトムプレートアセンブリに対して固定的に取り付けられてもよく、a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの他方は、チャンバハウジングに対して固定的に取り付けられてもよい。各係合機構は、係合構成と係合解除構成との間で移行するように構成されてもよく、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャは、ボトムプレートアセンブリが第1の回転位置にあるとき、1つまたは複数の係合機構の少なくとも1つが、i)係合解除構成から係合構成に移行することができるように構成され、ii)係合構成にあるときに1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)1つまたは複数の受け入れフィーチャのうちの1つの受け入れフィーチャと係合している間にボトムプレートアセンブリがチャンバハウジングに対して回転することを防止するように位置決めされてもよい。
装置のいくつかの実施態様では、装置は、回転軸受機構支持構造と、1つまたは複数のボトムプレート垂直リフト機構とをさらに含んでもよい。回転軸受機構は、ボトムプレートと回転軸受機構支持構造との間に介在されてもよく、回転軸受機構支持構造は、回転軸受機構と1つまたは複数のボトムプレート垂直リフト機構との間に介在されてもよく、1つまたは複数のボトムプレート垂直リフト機構は、第1の構成および第2の構成に関連付けられた位置の間で少なくとも回転軸受機構支持構造および回転軸受機構を並進させるように構成されてもよい。
いくつかの実施態様では、その上面に開口部を有するチャンバハウジングであって、開口部は、開口部の周囲に延びるチャンバハウジングの内縁によって画定されるチャンバハウジングを含む半導体処理装置を提供することができる。装置は、チャンバハウジングの内部容積内に位置決めされた台座と、開口部を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたトッププレートアセンブリと、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリを支持するように構成された回転軸受機構とをさらに含むことができる。トッププレートアセンブリは、第1の構成では、開口部をシールするようにチャンバハウジングと係合することができ、第2の構成では、第1の構成よりもチャンバハウジングから遠くに位置決めされ、回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる。
装置のいくつかの実施態様では、トッププレートアセンブリは、トッププレートアセンブリが第1の構成または第2の構成のいずれかにあり、かつ第1の回転位置にあるとき、台座に面するその表面上に位置する複数のガス分配ポートを含んでもよい。
装置のいくつかの実施態様では、回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含んでもよく、半導体処理装置は、第1の構成から第2の構成へのトッププレートアセンブリの移行中、直接的または間接的に、トッププレートアセンブリの重量よりも大きい全体の上方の力をトッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含んでもよく、第1の部分、第2の部分、および1つまたは複数の垂直変位機構は、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリによって圧縮荷重をかけられてもよい。
装置のいくつかのそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む。
装置のいくつかの代替のそのような実施態様では、1つまたは複数のばねは、開口部の外側の場所で開口部の周囲に分散される複数の圧縮ばねであってもよい。
装置のいくつかのそのような実施態様では、1つまたは複数のばねは、開口部を取り囲む円周方向波形ばねを含んでもよい。
装置のいくつかのそのような実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含んでもよい。
装置のいくつかのそのような実施態様では、1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含んでもよい。
装置のいくつかの実施態様では、装置は、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含んでもよい。a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの一方は、トッププレートアセンブリに対して固定的に取り付けられ、a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの他方は、チャンバハウジングに対して固定的に取り付けられる。各係合機構は、係合構成と係合解除構成との間で移行するように構成されてもよく、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャは、トッププレートアセンブリが第1の回転位置にあるとき、1つまたは複数の係合機構の少なくとも1つが、i)係合解除構成から係合構成に移行することができるように構成され、ii)係合構成にあるときに1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)1つまたは複数の受け入れフィーチャのうちの1つの受け入れフィーチャと係合している間にトッププレートアセンブリがチャンバハウジングに対して回転することを防止するように位置決めされてもよい。
いくつかの実施態様では、中央チャンバ構造と、中央チャンバ構造内に位置決めされたウエハハンドリングロボットと、中央チャンバ構造の周囲に配置された複数のサテライトチャンバ構造とを含む半導体処理装置を提供することができる。各サテライトチャンバ構造は、ウエハがウエハハンドリングロボットによって中央チャンバ構造からそのサテライトチャンバ構造に移されることを可能にするようなサイズにされた対応する通路を介して中央チャンバ構造と接続することができる。各サテライトチャンバ構造はまた、対応する開口部を有することができる。半導体処理装置はまた、サテライトチャンバ構造の上に延び、少なくとも第1の構成と第2の構成との間で並進可能に構成されたトッププレートアセンブリと、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリを支持するように構成された回転軸受機構とを含むことができる。トッププレートアセンブリは、第1の構成では、その開口部をシールするようにサテライトチャンバ構造の各々と係合することができ、トッププレートアセンブリは、第2の構成では、第1の構成よりもサテライトチャンバ構造から遠くに位置決めされ、回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる。
半導体処理装置のいくつかの実施態様では、トッププレートアセンブリは、トッププレートを含んでもよく、貫通する複数の開口を有してもよく、各開口は、トッププレートアセンブリが第1の回転位置にあるときに対応する異なるサテライトチャンバ構造と位置合わせされてもよい。
半導体処理装置のいくつかの実施態様では、装置は、複数のガス分配アセンブリをさらに含んでもよく、各ガス分配アセンブリは、開口の1つをシールするようにトッププレートに取り付けられ、トッププレートアセンブリが第1の構成および第1の回転位置、または第2の構成および第1の回転位置のいずれかにあるとき、対応するサテライトチャンバ構造の内部容積に面するその表面上に位置する複数のガス分配ポートを有する。
半導体処理装置のいくつかの実施態様では、装置は、複数のガス分配アセンブリをさらに含んでもよく、各ガス分配アセンブリは、開口の1つを通って延びるステムと、トッププレートアセンブリが第1の構成および第1の回転位置、または第2の構成および第1の回転位置のいずれかにあるとき、少なくとも部分的に、対応するサテライトチャンバ構造およびトッププレートアセンブリによって画定された容積内に位置する複数のガス分配ポートを備えたガス分配器とを有する。
半導体処理装置のいくつかの実施態様では、中央チャンバ構造の中心に配置された非直交X構成に配置された4つのサテライトチャンバ構造が存在してもよい。
半導体処理装置のいくつかの実施態様では、中央チャンバ構造の中心に配置された+構成に配置された4つのサテライトチャンバ構造が存在してもよい。
半導体処理装置のいくつかの実施態様では、中央チャンバ構造の周囲に配置された5つ以上のサテライトチャンバ構造が存在してもよい。
半導体処理装置のいくつかの実施態様では、回転軸受機構は、回転可能に接続された第1の部分および第2の部分を含み、半導体処理装置は、第1の構成から第2の構成へのトッププレートアセンブリの移行中、直接的または間接的に、トッププレートアセンブリの重量よりも大きい全体の上方の力をトッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含んでもよく、第1の部分、第2の部分、および1つまたは複数の垂直変位機構は、少なくともトッププレートアセンブリが第2の構成にあるときにトッププレートアセンブリによって圧縮荷重をかけられてもよい。
半導体処理装置のいくつかの実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のばねを含んでもよい。半導体処理装置のいくつかのそのような実施態様では、1つまたは複数のばねは、回転軸受機構に沿って分散される複数の圧縮ばねであってもよい。
半導体処理装置のいくつかの実施態様では、1つまたは複数のばねは、回転軸受機構の回転軸と同心の円周方向波形ばねを含んでもよい。
半導体処理装置のいくつかの実施態様では、1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含んでもよい。
半導体処理装置のいくつかの実施態様では、1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含んでもよい。
半導体処理装置のいくつかの実施態様では、装置は、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含んでもよい。a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの一方は、トッププレートアセンブリに対して固定的に取り付けられてもよく、a)1つまたは複数の係合機構およびb)1つまたは複数の受け入れフィーチャのうちの他方は、中央チャンバ構造に対して固定的に取り付けられてもよい。各係合機構は、係合構成と係合解除構成との間で移行するように構成されてもよく、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャは、トッププレートアセンブリが第1の回転位置にあるとき、1つまたは複数の係合機構の少なくとも1つが、i)係合解除構成から係合構成に移行することができるように構成され、ii)係合構成にあるときに1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)1つまたは複数の受け入れフィーチャのうちの1つの受け入れフィーチャと係合している間にトッププレートアセンブリが中央チャンバ構造に対して回転することを防止するように位置決めされてもよい。
半導体処理装置のいくつかの実施態様では、装置は、トップアセンブリと、1つまたは複数の垂直リフトアクチュエータと、1つまたは複数のロッキングアクチュエータと、1つまたは複数のリフトフィーチャとをさらに含んでもよい。1つまたは複数のリフトフィーチャは、トッププレートアセンブリの一部であってもよく、1つまたは複数の垂直リフトアクチュエータは、少なくとも着座位置と第1の上昇位置との間でトップアセンブリを垂直に並進させるように構成されてもよく、1つまたは複数のロッキングアクチュエータは、トップアセンブリが着座位置にあるときに1つまたは複数のリフトフィーチャと解放可能に係合可能であるように位置決めされてもよく、トッププレートアセンブリは、トップアセンブリが1つまたは複数の垂直リフトアクチュエータによって着座位置から第1の上昇位置に並進され、1つまたは複数のロッキングフィーチャが1つまたは複数のリフトフィーチャと係合するとき、トップアセンブリと共に並進してもよい。
半導体処理装置のいくつかの実施態様では、装置は、トッププレートアセンブリの一部であり、トッププレートアセンブリから上方に延びる支持構造と、トップアセンブリの一部である軸受座とをさらに含んでもよい。1つまたは複数の垂直リフトアクチュエータは、第1の上昇位置と、第1の上昇位置よりも高い第2の上昇位置との間でトップアセンブリを垂直にも並進させるようにさらに構成されてもよく、回転軸受機構は、軸受座と支持構造の最上端との間に位置してもよく、回転軸受機構は、第1の上昇位置と第2の上昇位置との間のトップアセンブリの並進中、軸受座および支持構造によって圧縮荷重をかけられてもよく、トッププレートアセンブリは、トップアセンブリが第2の上昇位置にあり、トッププレートアセンブリを支持していないときに第2の構成にあってもよい。
図1は、例示的なマルチステーション処理チャンバの図である。
図2は、トップアセンブリおよびトッププレートアセンブリが浮上位置にある、図1の例示的なマルチステーション処理チャンバを図示する図である。
図3は、トップアセンブリおよびトッププレートアセンブリが下降され、トップアセンブリがトッププレートアセンブリから係合解除されている、図1の例示的なマルチステーション処理チャンバを図示する図である。
図4は、トップアセンブリが浮上され、トッププレートアセンブリが依然としてチャンバハウジング上の所定の位置にある、図1の例示的なマルチステーション処理チャンバを図示する図である。
図5は、トッププレートアセンブリがメンテナンスおよびサービス作業中に回転することを可能にする回転軸受機構を有する例示的なマルチステーション処理チャンバを図示する図である。
図6は、トップアセンブリが浮上位置にあり、トッププレートアセンブリが回転可能な構成にある、図5の例示的なマルチステーション処理チャンバを図示する図である。
図7は、チャンバハウジングおよびトッププレートアセンブリが示されている、マルチステーション処理チャンバの一部の上面図である。
図8は、トッププレートアセンブリが部分的に回転されている、図7のマルチステーション処理チャンバの一部の別の上面図である。
図9は、トッププレートアセンブリがさらに部分的に回転されている、図7のマルチステーション処理チャンバの一部のさらに別の上面図である。
図10は、5つのマルチステーション処理チャンバを有するマルチステーション半導体処理ツールの概略図である。
図11は、いくつかの実施態様で使用され得る例示的な回転軸受機構の詳細図であり、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示す図である。 図12は、いくつかの実施態様で使用され得る例示的な回転軸受機構の詳細図であり、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す図である。
図13は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の詳細図であり、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示す図である。 図14は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の詳細図であり、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す図である。
図15は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の分解斜視図である。
図16は、いくつかの実施態様で使用され得るさらに別の例示的な回転軸受機構の詳細図であり、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示す図である。 図17は、いくつかの実施態様で使用され得るさらに別の例示的な回転軸受機構の詳細図であり、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す図である。
図18は、いくつかの実施態様で使用され得る例示的な回転軸受機構の詳細図であり、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示す図である。 図19は、いくつかの実施態様で使用され得る例示的な回転軸受機構の詳細図であり、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す図である。
図20は、異なる例示的な回転軸受機構を特徴とする別の例示的なマルチステーション処理チャンバの側面図である。
図21は、トップアセンブリが第1の上昇位置まで浮上されている、図20の例示的なマルチステーション処理チャンバを図示する図である。
図22は、トップアセンブリが第2の上昇位置まで浮上されている、図20の例示的なマルチステーション処理チャンバを図示する図である。
図23は、トッププレートアセンブリがメンテナンスおよびサービス作業中に回転することを可能にする回転軸受機構を有する別の例示的なマルチステーション処理チャンバを図示する図である。
図24は、トッププレートアセンブリが回転することを可能にする位置までチャンバが下降されている、図23の例示的なマルチステーション処理チャンバを図示する図である。
図25は、トッププレートアセンブリがチャンバから持ち上げられている、図23の例示的なマルチステーション処理チャンバを図示する図である。
図26は、ボトムプレートアセンブリ、ならびにボトムプレートアセンブリがメンテナンスおよびサービス作業中に回転することを可能にする回転軸受機構を有する例示的なマルチステーション処理チャンバを図示する図である。
図27は、ボトムプレートアセンブリがチャンバハウジングに対して回転することを可能にする位置までボトムプレートアセンブリが下降されている、図26の例示的なマルチステーション処理チャンバを図示する図である。
図28は、チャンバハウジングに対してトッププレートアセンブリを回転させるために使用され得る回転軸受機構を特徴とする例示的なシングルステーション処理チャンバを図示する図である。
図29は、中央チャンバ構造および複数のサテライトチャンバ構造を有する半導体処理ツールの一例を図示する図である。
図30は、トッププレートアセンブリが第2の構成にある、図29の例示的な半導体処理ツールを図示する図である。
図31は、図29の半導体処理ツールの上面図である。
図32は、トッププレートアセンブリが第2の回転位置に回転されている、図29の半導体処理ツールの上面図である。
図33は、中央チャンバ構造および複数のサテライトチャンバ構造を有する半導体処理ツールの別の例を図示する図である。
図34は、トッププレートアセンブリが第2の構成にある、図33の例示的な半導体処理ツールを図示する図である。
本明細書で説明される図は、単に説明のための参照を提供することを意図しており、本開示を限定することを意図していないことが理解されるであろう。本明細書に具体的に示されていないが、本開示の全体から明らかな他の実施態様もまた、本開示の範囲内に含まれることを意図している。
前述したように、マルチステーション処理チャンバを有する半導体処理ツールには、メンテナンスおよびサービス作業中にトッププレートアセンブリの回転を容易にする回転軸受機構を備えることができる。説明を容易にするために、マルチステーション処理チャンバについての一般的な例示的なアーキテクチャが、そのようなマルチステーション処理チャンバを改善するために使用され得る回転軸受機構の説明の前に図1~図4に関して説明される。
図1は、例示的なマルチステーション処理チャンバの側面図である。図1には、少なくとも部分的に、チャンバハウジング104およびトッププレートアセンブリ116によって提供されるマルチステーション処理チャンバを有する半導体処理ツール100が示されている。チャンバハウジング104は、地面からチャンバハウジング104を上昇させることができるベース102によって支持され得る。チャンバハウジング104は、この例では、4つの処理ステーションを含み、各処理ステーションは、対応するウエハ支持体106であって、そのウエハ支持体106の上に載置され得るウエハ110を収容するようなサイズにされ得る対応するウエハ支持体106を有する。本明細書の例は、ウエハ支持体を特徴とする、例えば、円形の半導体ウエハ(例えば直径200mm、300mm、または450mmのウエハ)を支持するために使用され得る半導体処理ツールに焦点を当てていることが理解されるべきであるが、本明細書に開示される概念は、他のタイプの基板、例えば、フラットパネルディスプレイ基板などの長方形または他の非円形基板を処理するために使用されるチャンバにも拡張され得ることが理解されるであろう。これを認識して、「台座」という用語は、本明細書では、その構造が円形ウエハであるワークピースを支持するように設計されているかどうか、またはその構造が異なる形状のワークピースを支持するように設計されているかどうかに関係なく、半導体処理チャンバ内で処理されるワークピースに対する支持を提供する任意の構造を指すために使用され得る。また、詳細な説明における説明に関連して本明細書で行われる「ウエハ支持体」(または「ウエハ支持アクチュエータ」)へのあらゆる言及は、「ウエハ支持体」(または「ウエハ支持アクチュエータ」)が「台座」(または「台座アクチュエータ」)に置き換えられる等価な実施態様もその範囲内に含むことが理解されるであろう。
いくつかの実施態様では、ウエハ支持体106は、例えば、ウエハ支持アクチュエータ108を介して支持することができ、ウエハ支持アクチュエータ108は、ウエハ搭載および/または半導体処理動作中にウエハ支持体106を垂直に上下に移動させるように構成することができる。他の実施態様では、ウエハ支持体106は、チャンバハウジング104に対して固定的に取り付けられてもよい。また、前述したように、ウエハ支持体106間のウエハ110の移送を容易にするために、チャンバハウジング104内に回転インデクサまたは他のシステム(図示せず)が設けられてもよい。
チャンバハウジング104は、トッププレートアセンブリ116によって使用中にシールされ得る開口部112を介してアクセス可能な内部容積を有し得る。開口部は、複数のウエハ支持体106における各ウエハ支持体106の少なくとも中心が、上から見たときに開口部112と重なるようなサイズにすることができ、多くの実施態様では、各ウエハ支持体106の全体、またはその少なくとも大部分、例えば、少なくともウエハ110に直接接触するウエハ支持体106の部分は、上から見たときに開口部112と完全に重なっていてもよい。
トッププレートアセンブリ116は、ウエハ支持体106がチャンバハウジング104内にどのように配置されるかに対応して配置される複数の開口120を有するトッププレート118を含むことができる。したがって、各開口120は、一般に、対応するウエハ支持体106の上に位置決めされ得る。開口120は、次に別の構造、例えば、ガス分配アセンブリ122によってシールされ得る。各ガス分配アセンブリ122は、例えば、ガス分配プレート124を有することができ、ガス分配プレート124は、例えば、各々が処理ガスを複数のガス分配ポート128に供給し、次いで処理ガスをその下に位置決めされたウエハ110に向かって導く1つまたは複数の内部プレナムを有することができる。業界ではシャワーヘッドとも呼ばれ得るガス分配プレート124の内部プレナムには、ガス分配アセンブリ122の一部であり得る1つまたは複数の弁130を介して処理ガスが供給され得る。
いくつかの代替の実施態様では、開口120は、ガス分配アセンブリ122以外の構造によってシールされてもよいことが理解されるであろう。例えば、いくつかの実施態様では、マルチステーション処理チャンバを紫外線硬化動作に使用することができ、代わりに開口120をUV透過窓によってシールすることができる。他の実施態様では、マルチステーション処理チャンバはウエハを熱処理するために使用することができ、開口120はヒータユニットによってシールすることができる。さらに他の実施態様では、開口120は、遠隔プラズマ源、例えば、プラズマドームを有する遠隔プラズマ源によってシールされてもよい。一般的に言えば、開口120は各々、少なくとも部分的に、対応する構造、例えば、トッププレート118に取り付けられ、その開口をシールするために使用されるガス分配アセンブリ、窓、プラズマドーム、ヒータアセンブリなどによって画定される形状およびサイズを有するように構成され得る。
トッププレートアセンブリ116は、全体として構造が一体となるように構築することも可能であり、例えば、トッププレートアセンブリ116は、開口120を有さず、代わりにトッププレートアセンブリ116の全範囲にわたって概して連続しているトッププレート118を含んでもよい。そのような実施態様では、トッププレート118は、例えば、ガス分配プレート124に設けられたフィーチャを含むことができる。トッププレート118の典型的なサイズ、例えば、幅36インチ~48インチ、およびその一体型バージョンの製造コストを考慮すると、トッププレート118が開口120を含む場合が多く、それによってガス分配プレート124に見られ得るようなより複雑なフィーチャを別々に製造し、次いでトッププレート118に組み立ててトッププレートアセンブリ116を提供することが可能になる。他のそのような実施態様では、ガス分配プレートのフィーチャ以外のフィーチャ、またはガス分配プレートのフィーチャに加えてさらなるフィーチャが、そのようなトッププレート118に含まれていてもよい。
適切なシーリングを提供するために、開口部112を画定するチャンバハウジングの内縁を取り囲み、トッププレートアセンブリ116がチャンバハウジング104の上に載置されたときにトッププレートアセンブリ116に接触し得るシール114が設けられてもよい。シール114は、例えば、トッププレートアセンブリ116とチャンバハウジング104の上面との間で圧縮され得るエラストマシール、例えば、Oリングであり得る。同様に、開口120がトッププレート118に設けられる場合、各開口120は、同様の様式でトッププレート118とガス分配プレート124(または他の構造)との間で圧縮され得る対応するシール126によって取り囲まれ得る。
トッププレートアセンブリ116は、多数の機構のいずれかによって所定の位置に保持され得る。例えば、いくつかの実施態様では、ねじ付き締結具を使用して、トッププレートアセンブリ116をチャンバハウジング104にクランプすることができる。しかし、他の実施態様では、トッププレートアセンブリ116は、別の機構を通じてチャンバハウジング104に対してクランプされてもよい。
例えば、図1では、1つまたは複数のガスラインを介して弁130に流体接続され得る追加の機器、例えば、高周波(RF)発生器144およびガス分配システム142を含むトップアセンブリ134が提供される。トップアセンブリ134はまた、トップアセンブリハウジング136を含むことができ、トップアセンブリハウジング136は、トッププレートアセンブリ116の上の容積を部分的または全体的に囲むことができ、例えば、構造的支持を追加の機器に提供することができ、トップアセンブリハウジングはまた、追加の機器を同様に支持するオープンフレームワークまたは他の支持構造であってもよい。それにもかかわらず、トップアセンブリ134およびその中の追加の機器は、例えば、垂直リフトアクチュエータ138(これは垂直に上下にトップアセンブリ134を移動させることができる油圧アクチュエータ、スクリュードライブ、または他のシステムであってもよい)によって、垂直に並進可能であるように構成され得る。トップアセンブリ134は、例えば、ロッキンピンの他のフィーチャをリフトフィーチャ132と解放可能に係合させるように作動され得るロッキングアクチュエータ140を介して、トッププレートアセンブリ116と解放可能に係合可能であってもよい。この例では、リフトフィーチャ132は、内部に水平孔を有するフィーチャであり、トップアセンブリ134が適切に位置決めされると、ロッキングアクチュエータ140が作動されることでそれらのアクチュエータのロッキングピンが半径方向内側に水平孔内に延び、したがってトッププレートアセンブリ116をトップアセンブリ134にピン留めすることが可能になる。任意の適切な解放可能なロッキング機構およびリフトフィーチャを使用して、トッププレートアセンブリ116とトップアセンブリ134との間のそのような解放可能な係合を提供することができることが理解されるであろう。さらに、ロッキングアクチュエータ140は、電子制御または手動で動作させることができ、例えば、ロッキングアクチュエータは、対応するリフトフィーチャ内に手動で伸長または後退されるねじ付き締結具であってもよい。他の実施態様では、ロッキングアクチュエータおよびリフトフィーチャは、例えば、ラッチの一部がロッキングアクチュエータとして機能し、他の部分がリフトフィーチャとして機能するラッチ機構によって設けられてもよい。
いくつかの実施態様では、トップアセンブリ134によりトッププレートアセンブリ116が押し下げられ、それによってトッププレートアセンブリ116がシール114に対してシールされるようにすることができる。そのような下方の力は、例えば、リフトフィーチャ132およびロッキングアクチュエータ140を介して伝達される荷重を通じて、または、例えば、トップアセンブリハウジング136の下面もしくはトップアセンブリ134の他の部分の間の接触を通じて伝達される荷重によって提供され得る。最も典型的な半導体処理動作では、チャンバハウジング104の内部容積は、例えば、チャンバハウジング104の内部容積からガスをポンピングして真空を引くことによって、大気圧以下のレベルに保持され得る。その結果、十分な真空が引き込まれると、トッププレートアセンブリにかかる大気圧は、一般に、シール114に対してトッププレートアセンブリ116を圧縮するように作用することができ(例えば、トッププレート118が円形で直径が3フィートである場合、真空がチャンバハウジング104内に完全に引かれている際に大気圧はトッププレートアセンブリ116にほぼ15,000ポンドのクランプ力を及ぼす)、それによってシール114を通過してチャンバハウジング104の内部に至るガスの漏れがほとんどまたは全くないことが確実にされる。比較的低い圧力差、例えば、1psiであっても、トッププレートアセンブリ116に対して十分なクランプ力を生成し、シール114を介して概して気密なシールを確実にするためには十分であり得る。
図1に示すようなトップアセンブリを有するマルチステーション処理チャンバのメンテナンスまたはサービス作業中、トップアセンブリ134は、トッププレートアセンブリ116をチャンバハウジング104から容易に持ち上げることを可能にするリフトデバイスとして使用することができる。例えば、ロッキングアクチュエータ140は、トッププレートアセンブリ116におけるリフトフィーチャ132と係合するように作動することができ、それによってトッププレートアセンブリ116を垂直リフトアクチュエータ138によってトップアセンブリ134と連動して浮上および下降させることが可能である。トッププレートアセンブリ116がチャンバハウジング104に固定されなくなると、例えば、所定の位置にアセンブリを固定していたクランプ機構を取り外すことによって、および/またはチャンバハウジング104の内部と外部からトッププレートアセンブリ116を押す周囲圧力との間の圧力を均等化することによってトッププレートアセンブリ116がチャンバハウジング104に固定されなくなると、垂直リフトアクチュエータ138を作動させてチャンバハウジング104の上部からトップアセンブリ134およびトッププレートアセンブリ116を持ち上げることができ、それによってチャンバハウジング104の内部へのアクセスが可能になる。このような構成は図2に示されており、トップアセンブリ134とトッププレートアセンブリ116は両方とも、垂直リフトアクチュエータ138によってユニットとして上方に垂直に並進されている。
また、例えば、弁130またはガス分配アセンブリ122など、トッププレートアセンブリ116の上部に位置する構成要素またはシステムに対するサービスまたはアクセスを可能にするために、トッププレートアセンブリ116を持ち上げることなくトップアセンブリ134を垂直に持ち上げることを可能にすることも望ましい場合がある。
マルチステーションチャンバをそのような構成にするために、ロッキングアクチュエータ140は、図3に示すように、トッププレートアセンブリがチャンバハウジング104上に載っている間にリフトフィーチャ132から係合解除することができ、続いてトップアセンブリ134は、図4に示すように、上昇位置まで浮上される。いくつかの実施態様では、トップアセンブリ134を上昇位置に移行させる前に、トップアセンブリ134とトッププレートアセンブリ116との間の特定のハードウェア接続を切断する必要がある場合がある。例えば、トッププレートアセンブリ116とは別々にトップアセンブリ134を移動させる前に、弁130をガス分配システム142に接続するガスラインを切断する必要がある場合がある(図4においてガス分配システム142をガス分配アセンブリ122に接続するガスラインを分離する対角破断線によって示すように)。トップアセンブリ134は、例えば、トップアセンブリ134を上昇させる前に技術者によってそのような構成要素へのアクセスを切断することが可能になるように、その側面に開口部またはドアを有してもよい。
上記の説明は、例えば、QSMタイプのツールのマルチステーション処理チャンバの1つと同様である4つのステーションを有するマルチステーション処理チャンバに焦点を当ててきたが、これは、それらがおそらく最も一般的に遭遇するマルチステーションチャンバであるためである。しかし、本明細書で説明される技法は、5ステーションおよび6ステーションの処理チャンバなど、他のタイプのマルチステーション処理チャンバを特徴とする他のツールまたは機器にも実装することができることが認識されるであろう。一部のそのようなマルチステーションチャンバは、共通の中心点の周りで円形アレイ内に等間隔で配置された複数のステーションを特徴とする場合がある。いくつかのそのようなマルチステーションチャンバでは、各ステーションに載置されたウエハをまとめて次のステーションにシフトさせるために、この共通の中心点の周りを回転するように構成された回転インデクサまたはカルーセルが設けられ得る。いくつかの他のマルチステーションチャンバでは、ステーションは必ずしも円形アレイを形成する場所に配置されなくてもよく、例えば、ステーションは互いに異なる角度間隔を有してもよく、かつ/または共通の中心点から異なる半径方向間隔を有してもよい。そのような実施態様では、例えば、1つのロボットアームまたは複数のロボットアームなどの別の機構を使用して、様々なステーションとの間でウエハを移動させることができる。上記の説明は、回転軸受機構を備え得る様々な例示的なマルチステーション処理チャンバの以下の説明についての場面を提供するが、以下に説明される概念は、上述のマルチステーションチャンバの実施態様の少なくともいずれかに関連して使用されてもよいことが理解されるであろう。
図5は、トッププレートアセンブリがメンテナンスおよびサービス作業中に垂直軸の周りを回転することを可能にする回転軸受機構を有する例示的なマルチステーション処理チャンバを図示する。図6は、トップアセンブリが浮上位置にあり、トッププレートアセンブリが回転可能な構成にある、図5の例示的なマルチステーション処理チャンバを図示する。
図5および図6に見られるように、回転軸受機構146が半導体処理ツール100に追加されており、回転軸受機構146を収容するためにトッププレート118およびチャンバハウジング104に加えられた修正を除けば、半導体処理ツール100の残りの部分は図1~図4と同じままである。回転軸受機構146は、少なくともトッププレートアセンブリ116が第1の構成(トッププレートアセンブリ116が開口部112をシールするようにチャンバハウジング104と係合される(図5参照))から第2の構成(図6参照)に上昇した後、トッププレートアセンブリ116がチャンバハウジング104に対して少なくとも第1の回転位置と第2の回転位置との間で回転することが可能なように設計されている。第2の構成では、トッププレートアセンブリ116は、第1の構成よりもチャンバハウジング104から離れた距離に位置決めすることができ、回転軸受機構146によって完全にまたは大部分を支持することができ、回転軸受機構146は、トッププレートアセンブリ116を所定の位置で回転させることを可能にする低摩擦回転機構を提供することができる。
そのような回転運動は図7~図9に示されており、これらはチャンバハウジングおよびトッププレートアセンブリが示されている、マルチステーション処理チャンバの一部の上面図を図示する。図7に見られるように、チャンバハウジング704が、上部に位置決めされたトッププレート718と共に示されている。トッププレート718は、4つの開口720(破線の円によって示される)を有し、各々が別々のガス分配プレート724によって覆われている(異なる開口720/ガス分配プレート724は、A/B/C/D標識によって示される)。図示された処理ツールは、任意選択で、1つまたは複数の係合機構754および1つまたは複数の受け入れフィーチャ(図7では別々に呼ばれていないが、右下の係合機構754の一部を受け入れるように示されている)を含む戻り止めシステムを含んでもよく、これらはチャンバハウジング704に対して1つまたは複数の特定の回転配向にトッププレート718を位置決めおよび位置合わせするのを助けるために使用され得る。また、図7では、トッププレート718によって覆われたチャンバハウジング704における開口部712を示す破線の輪郭を見ることができる。
トッププレート718が、例えば、図5に示すものと同様の第1の構成にあるとき、トッププレート718は、チャンバハウジング704および/または開口部712を取り囲むシール(図示されていないが、シール114と同様)の上に載っており、所定の位置に回転可能にロックされるか、または摩擦により一般に回転不能(または容易に回転することができない)のいずれかであり得る。トッププレート718が、例えば、図6に示すものと同様の第2の構成にあるとき、トッププレート718は、第1の構成よりもチャンバハウジング704からある程度遠くに、例えば、図5と同様に図6の配向に関して観察者に近くに位置決めされ得る。これにより、図8および図9に示すように、トッププレート718をチャンバハウジング794に対して回転させることが可能になり、図9では、トッププレート718は、チャンバハウジング704に対して90°回転されている。このような回転により、例えば、ガス分配プレート724(B)は、ガス分配プレート724(C)が以前に占有していた位置に移動することが可能になり得、例えば、さらにもう90°回転させると、ガス分配プレート724(B)は、ガス分配プレート724(D)が以前に占有していた位置に移動し、ガス分配プレート724(A)は、ガス分配プレート724(C)が以前に占有していた位置に移動することが可能になる。
戻り止めシステムがトッププレート718と共に使用される場合、係合機構754は、「係合」状態と「係合解除」状態との間で移行することができるように構成され得る任意のデバイスまたはシステムによって提供されてもよく、例えば、ばね荷重戻り止めまたはプランジャ、それ自体の軸に沿って並進可能なピン、回転ラッチなどはすべて、係合機構としての使用に適している可能性がある。係合機構は、図8に示す受け入れフィーチャ756などの受け入れフィーチャと連動するように構成され得る(同じフィーチャが図7および図9にも存在するが、これらの図では係合機構754の1つが係合しているためにはっきりと見ることができない)。この例における係合機構754はばね荷重戻り止めであり、受け入れフィーチャ756はトッププレート718の外周にある凹部または切り欠きであり、位置合わせされたときに戻り止めプランジャの先端を受け入れるようなサイズにされている。係合機構が対応する受け入れフィーチャと連動されると、2つのデバイスは、協働してチャンバハウジング704に対するトッププレート718の回転を防止するように作用することができる。係合機構754および受け入れフィーチャは、図7~図9に示すように、それぞれチャンバハウジング704およびトッププレート718に対して固定することができ、これらはそれぞれ逆も可能であることが理解されるべきである。また、係合機構754および受け入れフィーチャ756の各々は、例えば、トッププレート718を特定の基準位置、例えば、トッププレート718が処理動作中にある通常の位置に容易に回転させるのに十分な数だけあればよく、トッププレート718は、すべての他の位置を通じて一般に自由に回転可能であることも理解されるべきである。
説明したように、回転可能なトッププレートアセンブリは、サービスおよびメンテナンス作業中に利点を提供することができる。このような利点をより良好に理解するために、5つのマルチステーションチャンバハウジング1004A/B/C/D/Eを有するマルチステーション半導体処理ツール1000の概略図を図示する図10が提供される。チャンバハウジングは各々4つのウエハ処理ステーションを含み、例えば、1004AはステーションA/B/C/Dを有し、1004BはステーションE/F/G/Hを有し、1004CはステーションI/J/K/Lを有し、1004DはステーションM/N/O/Pを有し、1004BはステーションQ/R/S/Tを有する。様々なチャンバハウジング1004は、例えば、移送チャンバ1084A/Bを介して互いに接続され得、移送チャンバ1084A/Bは、ウエハをあるチャンバハウジング1004から別のチャンバハウジング1004に移送するように制御され得る、内部に位置するウエハハンドリングロボットを有し得る。機器フロントエンドモジュール(EFEM)が、ウエハが半導体処理ツール1000に導入され、かつ半導体処理ツール1000から取り外されることを可能にするために設けられてもよい。
半導体処理ツール1000のサイズ(例えば、幅10~12フィート程度以上であってもよい)により、特に、ステーションG/L/N/Qの上に位置決めされた機器は、少なくとも1つの他のステーションに対する機器がこれらのステーションとツール1000の最も近い外周縁との間に介在しているため、メンテナンス中にアクセスすることが特に困難である可能性がある。しかし、チャンバハウジング1004A/B/C/D/Eが本明細書に記載される回転軸受機構と対になっている場合、そのトッププレートアセンブリを回転させ、例えば、ステーションGの上の機器をステーションH、E、またはFの上の位置に移動させ、それによって容易にアクセスすることを可能にすることができる。同様の回転を実施し、ステーションL/N/Qへのアクセス可能性も向上させることが可能である。本明細書で説明される回転軸受機構によって提供される回転機能はまた、半導体処理ツール内に隣接していないマルチステーション処理チャンバがある場合あっても(チャンバハウジング1004Aの場合など)アクセス可能性の利点を提供することができる。例えば、処理チャンバハウジング1004の全側面へのアクセスを困難にする他の機器が存在する場合があり、またはサービスを実施するために特殊な機器が必要となる場合があり、その特殊な機器は処理チャンバの最外縁に隣接することしかできない場合がある。
回転軸受機構の様々な構成が、回転可能なトッププレートアセンブリを有するマルチステーション処理ツールで使用され得る。以下の説明は、そのような潜在的な回転軸受機構のいくつかの例を扱う。
図11および図12は、いくつかの実施態様で使用され得る例示的な回転軸受機構の一部の詳細図を図示し、図11は、例えば、第1の構成において、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示し、図12は、例えば、第2の構成において、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す。図示される詳細図は、詳細図13~19と同様に、そのような例示的な回転軸受機構の一部のみを示す断面図であり、示されている実際の回転軸受機構は、それぞれのチャンバハウジングの開口部を概して取り囲んでいることが理解されるであろう。
図11では、チャンバハウジング1104の内部に隣接して位置するチャンバハウジング1104の一部、例えば、開口部1112がチャンバハウジング1104と接する部分、および開口部1112を取り囲むシール1114が位置する部分が図示されている。また、図11では、トッププレート1118を、例えば、トップアセンブリハウジング1136(その一部のみが示されている)に固定するために、トッププレート1118がロッキングアクチュエータ1140(図11ではその延長ピンのみが見える)と解放可能に係合することを可能にするリフトフィーチャ1132を有するトッププレート1118の一部を見ることができる。トッププレート1118はまた、1つまたは複数のガス分配プレート1124と連動することができ(再度、そのようなガス分配プレート1124の一部のみが示されている)、これらの各々は、それぞれの開口1120をシールで覆い、それぞれのガス分配プレート1124とトッププレート1118との間に気密な界面を提供するシール1126に接触することができる。そのような特徴はすべて、図1~図4、ならびに図5および図6に関して論じた処理ツール100に対して説明された同様の特徴に類似している。
また、図11および図12では、軸受1164および任意選択で支持リング1160を含むことができる回転軸受機構1146を見ることができる。軸受1164は、例えば、ボール軸受、テーパスラスト軸受、またはニードルスラスト軸受などの大径軸受であってもよく、これらは開口部1112およびシール1114を取り囲むのに十分な大きさであることが適切であり、トッププレート1118がその一部であるトッププレートアセンブリの全重量を支持するのに十分に大きな定格荷重を有するように選択される。軸受1164(および一般に回転軸受機構)は、第1の部分および第2の部分を有することができ、これらは互いに対して回転可能であり(もちろん、第1の部分と第2の部分の両方に対して回転可能な軸受内のボールまたはローラ要素などの追加の要素が存在してもよい)、軸受1164を使用して互いに対して回転可能であることを意図している、対応するより大きな構造に対して定位置に一般的に固定されることが意図されている。例えば、図11では、軸受1164の第1の部分は、図示のボール軸受の左側に示す軸受レースであってもよく、例えば、圧入または摩擦負荷を通じて、チャンバハウジング1104に対して定位置に固定されてもよく、一方で軸受1164の第2の部分は、例えば、摩擦負荷または何らかの他の機構を介して、トッププレート1118に対して定位置に一般的に固定されてもよい。
回転軸受機構1146はまた、上記のように、任意選択で支持リング1160を含んでもよい。支持リング1160は、例えば軸受1164の直径を効果的に拡張し、軸方向に、すなわち、軸受1164の回転軸に沿って軸受1164に荷重を加えるためにより大きな領域を提供することを可能にするように機能し得る。例えば、図11および図12の実施態様では、垂直変位機構1162が、支持リング1160とトッププレート1118との間に介在され、支持リング1160の円周に沿って間隔を置いて配置される一組のばね1168、例えば、ダイばねの形態で設けられる。例えば、支持リング1160の円周に沿って間隔を置いて配置された3、4、5、6、7、8つなどのばね1168が存在してもよい。あるいは、軸受1164が、ばね1168が接触して支持され得る表面を提供するのに十分な半径方向の幅がある第2の部分を有する場合、ばね1168は単に直接第2の部分上に載っていてもよく、支持リング1160または同等の構造は必要とされない可能性がある。実際、支持リング1160は、回転軸受機構1146の第2の部分の延長部として作用することができる。
垂直変位機構1152は、トッププレート1118(およびそれが一部であるトッププレートアセンブリ)を第1の構成、すなわち、トッププレート1118がチャンバハウジング1104の上に載っている構成と第2の構成、すなわち、第1の構成と比較して、トッププレート1118がチャンバハウジング1104から垂直上方に変位している構成との間で垂直に変位させることができる機構であってもよい。この移行により、チャンバハウジング1104とトッププレート1118との間に垂直ギャップ1166が存在するようになる(図12参照)。例えば、上述のように、図11および図12の垂直変位機構1152は、トッププレート1118とチャンバハウジング1104との間に介在される複数のばね1168の使用により設けられる。ばね1168は、トッププレートアセンブリがばね1168によって全体的に(またはほぼ全体的に)支持されるとき、そのような荷重条件下でのばね1168の圧縮長さがトッププレート1118を第2の構成に位置決めするのに十分であるような十分な剛性および長さを有するように選択され、それによって回転軸受機構1146を使用してトッププレートアセンブリを回転させることが可能になる。十分なさらなる下方の力がトッププレート1118に加えられると、トッププレートアセンブリが第2の構成から第1の構成に移行されるように、ばね1168がさらに圧縮され得る。例えば、トップアセンブリハウジング1136が、トップアセンブリハウジング1136またはトップアセンブリがトッププレートアセンブリまたはトッププレート1118の上向きの表面を押すように(または、任意選択で/代わりに、ロッキングアクチュエータ1140がリフトフィーチャ1132と係合することができるように)垂直リフトアクチュエータ(図示されていないが、図1~図6の同様の構造を参照)を使用して下降される場合、トップアセンブリのさらなる下方への移動は、下方にトッププレート1118を押すように作用し、それによってばね1168をさらに圧縮し、トッププレート1118をシール1114に対してシールさせることが可能である。
ばね1168を利用するいくつかの実施態様では、ばね1168は、トッププレート1118が第1の構成にあるとき、例えば、第1の長さに圧縮されたとき、ばねが存在する長さに圧縮された際にばね1168によって及ぼされる総力が、トッププレートアセンブリの総重量よりも大きいが、開口部1112の断面積に標準大気圧、例えば、約14.7psiを乗じたものよりも小さくなるように選択され得る。同様に、トッププレートアセンブリおよびトッププレート1118が第2の構成にある場合、トッププレート1118が第2の構成にあるとき、例えば、第1の長さよりも長い第2の長さに圧縮されたとき、ばねが存在する長さに圧縮された際にばね1168によって及ぼされる総力は、トッププレートアセンブリ(トッププレート1118を含む)の総重量にほぼ等しくなり得る。
したがって、図11および図12の実施態様では、通常動作中に所定の位置にトッププレート1118を保持するトッププレート1118に対する外部荷重が除去されるとき、例えば、チャンバハウジング1104内の圧力とチャンバハウジング1104の外側の周囲圧力が等しくなるとき、および/またはトップアセンブリがトッププレート1118をもはや押し下げないように浮上されると、ばね1168は、トッププレート1118を第1の構成から第2の構成へと垂直上方に押すように作用することができ、それによって回転軸受機構1146を使用してチャンバハウジング1104に対してトッププレート1118を容易に回転させること可能になる。これにより、技術者またはツールによるアクセスを容易にするために、トッププレート1118に取り付けられた構成要素、例えば、ガス分配プレート1124および/または弁、センサ、取付具などの関連する構成要素をそれらが通常位置している場所とは異なる場所に回転させることを可能にすることによって、これらの構成要素のサービスまたは取り外しをより容易にすることができる。
回転軸受機構および垂直変位機構を実現することができる多くの異なる方法があり、そのすべてが本開示の範囲内に含まれることを意図していることは明らかである。いくつかの追加のそのような実施態様について、以下に説明する。
例えば、図13および図14は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の詳細図を図示する。図13は、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示し、図14は、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す。
図13および図14の構成要素は、図11および図12の吹き出しの同じ最後の2つの番号を共有する対応する構成要素と一般に類似しており、そのような要素の議論および説明については、以下の議論が以前の議論と特に矛盾しない限り、読者は図11および図12に関するそのような類似の構成要素の以前の議論を参照されたい。
図13および図14の実施態様では、回転軸受機構1346および垂直変位機構1352は、図11および 図12と比較して事実上上下逆になっている。したがって、ばね1368は、支持リング1360とトッププレート1318との間ではなく、支持リング1360とチャンバハウジング1304との間に介在され、軸受1364は、支持リング1360とチャンバハウジング1304との間ではなく、支持リング1360とトッププレート1318との間に介在される。動作中、図13および図14の実施態様は図11および図12の実施態様が機能する方法と概して同様に機能し得るが、図11および図12の実施態様は、回転軸受機構1146および垂直変位機構1162がチャンバハウジング1104によって下から支持され得るという点でわずかに好ましいものであり得、それによってトッププレート1118が第2の構成にあるときにそのような構成要素が緩んで落下する可能性を排除するが、対照的に、図13および図14の実施態様は、回転軸受機構1346および潜在的には垂直リフト機構1362もトッププレート1318に接続する可能性があり得、それによってそのような構成要素が緩んでトッププレート1318から脱落するリスクが生じる場合がある。このような可能性は、それらを防止するように設計された設計特徴を含めることによって緩和または排除することができるが、これにより図11および12の実施態様と比較して追加のコストが発生する場合がある。しかし、どちらのアプローチも実行可能である。
図15は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の分解斜視図を図示する。図15の実施態様は図11および図12に示すものと同様であり、図11および図12に示す構成要素の多くは図15には示されていないが、そのような構成要素、例えば、ガス分配プレート、シールなども図15の実施態様に含むことができると想定され得る。
図15では、垂直変位機構1562は、支持リング1560の上に載っている単一の円周方向波形ばね1576によって提供され、次いで支持リング1560は、シール1514を取り囲む大きな軸受1564、例えば、薄型ニードルスラスト軸受上に載っている。波形ばね1576は、形状は環状であるが「波状」または正弦波状(または他の周期的な)円周方向プロファイルを有する材料のストリップで作製される。波形ばねにおける各「波形」は、板ばねの単一のリーフのように作用することができ、「波形」は、実際、円形配列の板ばねとして作用し、協働して、トッププレート1518に対して円周方向に加えられる上方の力を提供するように作用する(ばね1168および1368が円周方向に分散してトッププレートに対して上方の力を加える方法と同様)。実際、波形ばね1576は、単一の大きな直径のばねとして機能してもよいが、同じエンベロープ内に適合し、同じ公称直径を有する単一の従来のばねスプリングで達成することができるよりもはるかに高いばね剛性を有する。波形ばね1576は、単層波形ばねとして示されているが、例えば、各層における波形が隣接する層における波形と位置合わせされるように、すなわち、各巻線が複数の隣接する巻線(または1つの隣接する巻線)と概して連続的に接触するように配置された複数の巻線を有する多層波形ばねであってもよい。このような多層波形ばねにより、波形ばねにおけるばね剛性を高めることが可能である。
この場面では、トッププレート1518は開口1520およびウエハ支持体1506と共に示されているが、上述したように、図面が過密になることを避けるために他の変形例に示す他の構成要素は図15から省略されている。しかし、所望に応じて、そのような構成要素は図15に示す実施態様に含めることもできる。
図5~図15に示す実施態様はすべて、垂直変位機構と対になった回転軸受機構を特徴としており、これは本質的に受動的であり、すなわち、トッププレートアセンブリが、圧力差を介して、または例えば、トップアセンブリもしくは別のクランプ機構によってトッププレートアセンブリに外部から加えられる下方の力を介して所定の位置にクランプされなくなると、トッププレートアセンブリを第1の構成から第2の構成に移行させるための外部制御または入力を必要としない。
しかし、回転軸受機構はまた、本質的に能動的な垂直変位機構、例えば、トッププレートアセンブリを第1の構成から第2の構成に移行させるために、油圧式、空気圧式、ねじ駆動式、歯車式、または何らかの形態の制御入力、例えば、電力、空圧、または水力の供給を必要とするものと対になることもできることが理解されるであろう。そのような実施態様のいくつかの例が、図16~図19に図示されている。
図16および図17は、いくつかの実施態様で使用され得るさらに別の例示的な回転軸受機構の詳細図を図示する。図16は、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示し、図17は、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す。
図16および図17の構成要素は、図11~図14の吹き出しの同じ最後の2つの番号を共有する対応する構成要素と一般に類似しており、そのような要素の議論および説明については、以下の議論が以前の議論と特に矛盾しない限り、読者は図11~図14に関するそのような類似の構成要素の以前の議論を参照されたい。
図16に見られるように、複数の線形アクチュエータ、例えば、ピストン駆動アクチュエータ1670、例えば、油圧または空気圧駆動アクチュエータを含む垂直変位機構1662が提供され、これらのアクチュエータは、開口部1612の周囲で間隔を置いた場所に位置決めされる。ピストン駆動アクチュエータ1670は、ピストン駆動アクチュエータを後退状態と伸長状態との間で移行させるように、例えば、コントローラ(図示せず)または他の制御機構によって作動されてもよい。後退状態にあるとき、ピストン駆動アクチュエータ1670は、トッププレート1618がシール1614に接触し、チャンバハウジング1604の上部に対してクランプされることを可能にする長さを有し得る。(図17に示すように)伸長状態にあるとき、ピストン駆動アクチュエータ1670は、トッププレート1618をチャンバハウジング1604から持ち上げ、トッププレート1618とチャンバハウジング1604との間に垂直ギャップ1666を生じさせる長さを有し得、それによってトッププレートアセンブリは、回転軸受機構1646を介してチャンバハウジング1604に対して回転することが可能になる。
図16の実施態様はまた、位置合わせピン1674を特徴とし、これは、例えば、チャンバハウジング1604およびトッププレート1618の一方または他方に対して所定の位置に固定され得、ほとんどまたは全く傾斜なしで、チャンバハウジング1604およびトッププレート1618の他方における対応するサイズの位置合わせ孔と係合するサイズであり得る。所望であれば、複数のそのような位置合わせピン1674を使用して、チャンバハウジング1604に対するトッププレート1618の潜在的な場所を制約し、トッププレート1618が第1の構成にあるときに2つの構成要素が互いに正確に位置合わせされることを確実にすることができる。位置合わせピン1674は、例えば、トッププレート1618が第2の構成にあるときにトッププレート1618とチャンバハウジング1604との間に生じる可能性のある小さな回転または並進の位置ずれを補償するために、それらが取り付けられる構成要素の表面から突出する丸形の端部および/またはテーパ状の端部を有することができる。例えば、トッププレート1618が第2の構成から第1の構成に移行されると、位置合わせピン1674は位置合わせ孔の縁部と係合し、続いてトッププレート1618をわずかにシフトさせ、トッププレートが第1の構成に下降されるときに位置合わせピン1674が位置合わせ孔と完全に位置合わせされるようにすることができる。いくつかの実施態様では、位置合わせ孔は、代替的または追加的に、同様の結果を提供するために丸形の縁部または面取りされた縁部を有してもよい。位置合わせピン1674は、前述の係合機構および受け入れフィーチャと併せて使用することができ、または例えば、1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを有する戻り止めシステムを使用せずに単独で使用することができることが理解されるであろう。
図18および図19は、いくつかの実施態様で使用され得る別の例示的な回転軸受機構の詳細図を図示する。図18は、トッププレートアセンブリがチャンバハウジングにシールされている場合の回転軸受機構を示し、図19は、トッププレートアセンブリが回転することができる場合の回転軸受機構を示す。
図16および図17の構成要素と同様に、図18および図19の構成要素は、図11~図17の吹き出しの同じ最後の2つの番号を共有する対応する構成要素と一般に類似しており、そのような要素の議論および説明については、以下の議論が以前の議論と特に矛盾しない限り、読者は図11~図17に関するそのような類似の構成要素の以前の議論を参照されたい。
図18および図19に図示される実施態様では、垂直変位機構1862は、線形ねじ駆動装置1872などのねじフィーチャを使用する線形アクチュエータの形態をとり、線形ねじ要素を垂直に上下に移動させ、それによって垂直ギャップ1866がトッププレート1818とチャンバハウジング1804との間で形成される(または除去される)ようにトッププレート1818を浮上または下降させるように作動され得る。別の関連する実施態様では、図示のねじ駆動装置の代わりに、手動で駆動されるジャッキングねじを使用することができる。
上述の回転軸受機構の様々な例、または同様のそのような回転軸受機構は、一般に、本明細書で説明される様々な例示的な半導体処理チャンバ構成に関して説明した回転軸受機構の代わりに使用することができることが理解されるであろう。
上記の例では、回転軸受機構はすべてトッププレートアセンブリとチャンバハウジングとの間に介在されるが、いくつかの実施態様は、実際、トッププレートとトップアセンブリの一部との間に介在される回転軸受機構を利用することができる。
図20は、異なる例示的な回転軸受機構を特徴とする別の例示的なマルチステーション処理チャンバの側面図を図示する。
図5および図6の構成要素と同様に、図20の構成要素は、図5および図6の吹き出しの同じ最後の2つの番号を共有する対応する構成要素と一般に類似しており、そのような要素の議論および説明については、以下の議論が以前の議論と特に矛盾しない限り、読者は図5および図6に関するそのような類似の構成要素の以前の議論を参照されたい。
図20に図示される実施態様では、トッププレート2018またはトッププレートアセンブリ2016は、例えば、トッププレート2018またはトッププレートアセンブリ2016のほぼ中心から上方に突出する支持構造2078を有することができる。支持構造2078は、いくつかの実施態様では、トッププレートアセンブリ2016の質量中心に位置するように位置決めされ得る。
トップアセンブリハウジング2036はまた、支持構造2078に向かって下方に延びる対応する構造を有し得る。この対応する構造は、支持構造2078を取り囲むことができる軸受座2080を一端に有することができる。したがって、支持構造2078は、トップアセンブリハウジング2036の対応する構造と概して同軸であるものとして説明することができ、それによって支持構造2078が対応する構造に対して内側または外側に伸縮することを可能にする。
図20に示す実施態様では、軸受2058を有する回転軸受機構2046が、支持構造の最上部分2082と対応する構造の軸受座2080との間に介在される。一般的に言えば、支持構造の最上部分2082および軸受座2080は各々、回転軸受機構2046が、実際、最上部分2082と軸受座2080との間に閉じ込められるようなサイズにすることができる。支持構造2078の最上部分2082と軸受座2080との間のギャップから回転軸受機構2046の垂直高さを引いた値は、一般に、回転軸受機構2046が軸受座2080と支持構造の上側部分2082の両方に接触する前に支持構造2078と軸受座2080を有する対応する構造との間で発生し得る相対垂直移動量を表し、それによって支持構造2078と対応する構造との間のさらなる垂直相対運動を防止することができる。図20は、トッププレートが第1の構成にあり、すなわち、チャンバハウジング2004と接触し、トップアセンブリ2034が着座位置にあり、例えば、通常の処理動作中の場合と同様に位置決めされる、図20のマルチステーション処理チャンバを図示する。
図21は、対照的に、トップアセンブリ2034が第1の上昇位置まで浮上されている、図20の例示的なマルチステーション処理チャンバを図示する。第1の上昇位置では、トップアセンブリ2034は、軸受2064および任意選択で支持リング2060を含むことができる回転軸受機構2046が、軸受座2080とトップアセンブリハウジング2036の対応する構造の上側部分の両方と接触するように十分に浮上されている。トッププレートアセンブリ2016は、着座位置から第1の上昇位置へのトップアセンブリ2034の移行中、第1の構成で静止したままであり得ることが理解されるであろう。トップアセンブリ2034における機器とトッププレートアセンブリ2016との間のあらゆる接続は、同様の移動を図示する以前の図に関して前述したように、トップアセンブリ2034がトッププレートアセンブリ2016に対して移動する前に切断され得ることが理解されるであろう。
図22は、トップアセンブリが第2の上昇位置まで浮上されている、図20の例示的なマルチステーション処理チャンバを図示する。トップアセンブリ2034を第1の上昇位置から第2の上昇位置に移行させる際、対応する構造は、回転軸受機構2046を介して、支持構造2078に上方の力を及ぼし、それによってトッププレートアセンブリ2016をチャンバハウジングから持ち上げ、すなわち、図22に示す第2の構成にすることで、垂直ギャップ2066がトッププレート2018とチャンバハウジング2004との間に生成され得る。
トッププレートアセンブリ2016が第2の構成になると、軸受2064は、本明細書で説明した前の例示的な実施態様と同様に、トッププレートアセンブリ2016が所定の位置で回転することを可能にすることができる。
そのような実施態様に対する変形例もまた実施されてもよく、例えば、支持構造2078がトップアセンブリハウジング2036から下方に延びてもよく、例えば、対応する構造がトッププレート2018から上方に延びてもよいことが理解されるであろう。他の変形例も本明細書で説明される例から明らかであり得、同様に本開示の範囲内にあるとみなされる。
例えば、上記の例では、チャンバハウジングは常に固定されたままであり、トップアセンブリおよび/またはトッププレートアセンブリは、様々な状態、例えば、トッププレートアセンブリがチャンバハウジングに対して回転され得る状態にツールを載置するために浮上または下降される。しかし、上述の概念は、チャンバハウジングが垂直方向に移動することが可能なツールに実装することも可能である。
例えば、図23は、トッププレートアセンブリがメンテナンスおよびサービス作業中に回転することを可能にする回転軸受機構を有する別の例示的なマルチステーション処理チャンバを図示する。図23に示す実施態様は、図5および図6に示すものとほぼ同様であり、簡潔にするために、示される様々な構成要素のすべてについての説明は提供されないことが理解されるであろう。読者は、そのような構成要素の説明については、図5および図6に関して提供されるそのような構成要素の説明を代わりに参照されたい。図5および図6の対応する要素の吹き出しと同じ最後の2桁を共有する吹き出しを有する図23の要素については、図5および図6に関してそのような要素について提供される説明が、文脈が別段の指示をしない限り、図23のそれらの吹き出しに同じ最後の2桁を有する対応する要素にも同様に適用可能であることが一般に理解されるであろう。
図23に見られるように、図5のベース102は、ハウジングリフトアクチュエータ2388を特徴とするベースと置き換えられている。ハウジングリフトアクチュエータ2388は、例えば、チャンバハウジング2304を支持し、チャンバハウジング2304を浮上または下降させるために作動され得る、油圧式、歯車駆動式、またはねじ駆動式のアクチュエータであってもよい。図23では、ハウジングリフトアクチュエータ2388は、チャンバハウジング2304をトッププレートアセンブリ2316の下面に対して押し付ける状態に作動される。
図23に示すマルチステーション半導体処理ツール2300は、図5および図6の対応する半導体処理ツール100と同様に、垂直リフトアクチュエータ2338の動作により垂直に移動させることができるトップアセンブリ2334を有する。したがって、図23のトッププレートアセンブリ2316は、トップアセンブリ2334とチャンバハウジング2304との間にクランプされ、チャンバハウジング2304とトッププレートアセンブリ2316との間の界面がシールされる第1の構成に載置されてもよいが、トッププレートアセンブリ2316がチャンバハウジング2304とトップアセンブリ2334との間にクランプされなくなり、したがってチャンバハウジング2304に対して回転され得る第2の構成に移行することもできる(そのような回転移動を妨げる可能性のある他の接続、例えばガスライン、電気接続などが切断されているか、他の方法で対処されていると想定して)。トッププレートアセンブリ2316の移行は、垂直リフトアクチュエータ2338を使用してチャンバハウジング2304に対してトップアセンブリ2334を上方に移動させることにより、ハウジングリフトアクチュエータ2388を使用してトップアセンブリ2334に対してチャンバハウジング2304を下方に移動させることにより、または垂直リフトアクチュエータ2338を使用してトップアセンブリ2334を上方に移動させ、同時にハウジングリフトアクチュエータ2388を使用してチャンバハウジング2304を下方に移動させることにより達成され得る。また、チャンバハウジング2304が、例えば、ハウジングリフトアクチュエータ2388または他の適切なシステムによって垂直に移動することができるシステムでは、トップアセンブリ2334(存在する場合)は、例えば、垂直リフトアクチュエータ2338などの作動システムによって支持されずに、所定の位置に固定され得ることも理解されるであろう。そのような実施態様では、トップアセンブリ2334は単に所定の位置に固定されてもよく、代わりにチャンバハウジング2304がトップアセンブリ2334に対して上下に移動されてもよい。
図24は、トッププレートアセンブリ2316が回転することを可能にする位置までチャンバハウジング2304が下降されている、図23の例示的なマルチステーション処理チャンバを図示する。見られるように、ハウジングリフトアクチュエータ2388は、トップアセンブリ2334に対してチャンバハウジング2304を下降させるように作動されている。
これにより、以前に垂直変位機構2352を圧縮し、トッププレートアセンブリ2316をチャンバハウジング2304の上部およびシール2326に対して押し付けた状態に保持していた圧縮荷重が除去される。圧縮荷重が除去されると、垂直変位機構2352(この場合、ばね)は、トッププレートアセンブリ2316に上方の力を自由に及ぼすことができ、それによってチャンバハウジング2304との接触からトッププレートアセンブリ2316を持ち上げ、回転軸受機構2346を介してチャンバハウジング2304に対して回転させることが可能になる。
図25は、トッププレートアセンブリがチャンバから持ち上げられている、図23の例示的なマルチステーション処理チャンバを図示する。この点において、マルチステーション半導体処理ツール2300は前述の例と同様に動作するが、チャンバハウジング2304はまた、トップアセンブリ2334(およびトッププレートアセンブリ2316)が上方に移動する間、ハウジングリフトアクチュエータ2388の動作により下方に移動することができ、それによってトッププレートアセンブリ2316とチャンバハウジング2304との間に潜在的により多くの隙間が提供され、半導体処理ツール2300の内部により容易にアクセスすることが可能になることに留意されたい。
また、上記の説明は、回転軸受機構を使用してチャンバハウジングに対するトッププレートアセンブリの回転移動を容易にし得る例に完全に焦点を当ててきたが、同様の構造および概念を使用して、半導体処理ツールの分離可能なボトムプレートとチャンバハウジングとの間の相対回転移動を提供することができることも理解されるべきである。例えば、図1~図6の例示的なチャンバハウジングは一体のボトムプレート、すなわち、チャンバハウジング104自体の一部であることを特徴とするが、他のタイプのマルチステーション半導体処理ツールは、チャンバハウジングの「床」自体がトッププレートと同様に取り外し可能であるチャンバハウジングを特徴とする場合がある。そのような半導体処理システムでは、同様に、実施形態では、アクセスが困難な場所にあるボトムプレートアセンブリの部分をより容易にアクセス可能な場所に移動させるために、そのようなボトムプレート(およびボトムプレートアセンブリを共に形成し得るボトムプレートに取り付けられた構成要素)の回転を容易にすることができることが望ましい場合がある。
図26は、ボトムプレートアセンブリ、ならびにボトムプレートアセンブリがメンテナンスおよびサービス作業中に回転することを可能にする回転軸受機構を有する例示的なマルチステーション処理チャンバを図示する。
図26に示す実施態様は、図5および図6(ならびに本明細書で説明される他の図)に示すものとほぼ同様であり、簡潔にするために、示される様々な構成要素のすべてについての説明は提供されないことが理解されるであろう。読者は、そのような構成要素の説明については、図5および図6に関して提供されるそのような構成要素の説明を代わりに参照されたい。図5および図6の対応する要素の吹き出しと同じ最後の2桁を共有する吹き出しを有する図26の要素については、図5および図6に関してそのような要素について提供される説明が、文脈が別段の指示をしない限り、図26のそれらの吹き出しに同じ最後の2桁を有する対応する要素にも同様に適用可能であることが一般に理解されるであろう。
図示の例では、マルチステーション半導体処理ツール2600は、ボトムプレートアセンブリ2692を含むことに加えて、本明細書で説明した前の例と同様に、回転可能なトッププレートアセンブリ2616も特徴とする。しかし、いくつかのそのような実施態様は、そのようなトッププレートアセンブリを省略してもよく、代わりに、ボトムプレートアセンブリ2692を介してチャンバハウジング2604の内部へのアクセスを提供してもよいことが理解されるであろう。
図26では、ボトムプレートアセンブリ2692は、ウエハ支持体2606およびウエハ支持アクチュエータ2608を支持し、チャンバハウジング2604の内部容積をシール(または部分的にシール)するために、ボトムプレートアセンブリ2692とチャンバハウジング2604との間で圧縮され得るシール2696を含む。
見られるように、この例の半導体処理ツール2600は、ボトムプレート垂直リフト機構2698を含み、ボトムプレート垂直リフト機構2698は、支持を回転軸受機構2646’に提供する回転軸受機構支持構造2690を支持するために使用され得、回転軸受機構2646’は、この例ではトッププレートアセンブリ2616に設けられる回転軸受機構2646と構造および/または機能が同様である。
この例の回転軸受機構2646’は、この例では、1つまたは複数のばねを含む垂直変位機構2652’と結合される。ボトムプレート2694がチャンバハウジング2604の下面に押し付けられて接触すると、垂直変位機構2652’のばねが圧縮され、それによって回転軸受機構支持構造2690がボトムプレート2694の下面と接触することが可能になる。これによりボトムプレート垂直リフト機構2698からボトムプレート2694への直接的かつ非コンプライアンスな負荷経路が設けられ、それによって、例えば、回転軸受機構2646’に過負荷をかけることなくボトムプレート2694をチャンバハウジング2604の下面に対して押し付けることが可能になる(垂直変位機構2652’のばねは、回転軸受機構2646’に及ぼす力が回転軸受機構2646’に過負荷をかけない程度に十分に低いように選択することができるが、ばね力が回転軸受機構支持構造2690との接触からボトムプレート2694を(ボトムプレートが支持するすべてのハードウェアと共に)持ち上げるのに十分であるように選択されてもよく、したがって、ボトムプレートアセンブリ2692が、例えば、チャンバハウジング2604と回転軸受機構支持構造体2690との間にクランプされ、これによりチャンバハウジング2604とボトムプレート2694との間の界面をシールする第1の構成と、ボトムプレート2694がチャンバハウジング2604とボトムプレート2694との間にクランプされなくなり、チャンバハウジング2604に対して回転することができる(存在しなくなったクランプ力以外のこの回転に対する他の障害が除去または対処された後)第2の構成との間で移行するとき、ボトムプレートアセンブリ2692がチャンバハウジング2604に対して回転することが可能になる。
図27は、ボトムプレートアセンブリがチャンバハウジングに対して回転することを可能にする位置、例えば、第2の構成までボトムプレートアセンブリが下降されている、図26の例示的なマルチステーション処理チャンバを図示する。図27では、ボトムプレート垂直リフト機構2698は、例えば、1つまたは複数の油圧式、ねじ式、または歯車駆動式のアクチュエータによって提供され得、回転軸受機構支持構造2690およびボトムプレートアセンブリ2692を、ボトムプレート2694がチャンバハウジング2604に対してシールされている第1の構成から下降させるように作動されており、それによりボトムプレートアセンブリ2692はチャンバハウジング2604の下面に接触しなくなり、例えば、ボトムプレートアセンブリは第2の構成となる。回転軸受機構支持構造2690およびチャンバハウジング2604の下面によってもたらされる圧縮力がなくなると、垂直変位機構2652’は、回転軸受機構支持構造2690からボトムプレートアセンブリ2692を持ち上げるように作用し、したがってボトムプレートアセンブリ2692を支持する主要なまたは唯一の構造として回転軸受機構2646’を残すことができる。したがって、ボトムプレートアセンブリ2692は、そのような構成にあるときに回転軸受機構2646’を使用して所定の位置で回転することができる。
回転可能なトッププレートアセンブリに関して上述した様々な概念のいずれも、一般に、回転可能なボトムプレートアセンブリに関連して同様の方式で同じく実装することができ、本開示は、そのような代替の実施態様も同じく包含することが理解されるであろう。
加えて、本明細書で説明されるシールは任意の適切なタイプのものであってもよく、前述のエラストマOリングシールに限定されないことが理解されるであろう。チャンバハウジングとトッププレートおよび/もしくはボトムプレートとの間、またはトッププレートとガス分配プレートとの間をシールするために使用されるシールは、例えば、エラストマOリング、ガスケット、ばね付勢シール、金属シール、クラッシャブルシールなどであってもよい。加えて、示されたもの以外のシーリング技術が使用されてもよい。例えば、複数の円周方向シールが各シール界面に設けられてもよく、場合によっては、差動ポンプ式シール配置の形態で設けられてもよい。
また、上記の説明はマルチステーション処理チャンバの実施態様に広範囲に焦点を当ててきたが、本明細書で説明される様々な回転軸受機構の概念は、シングルステーション処理チャンバの状況にも適用することができることも理解されるであろう。シングステーション処理チャンバは、典型的には、アクセスが制限される可能性がほとんどないはるかに小さいトッププレートアセンブリ(または同等物)を特徴とするが、シングルステーションチャンバまたは半導体処理ツールに本明細書で説明されるような回転軸受機構を含めることは依然として有利であり得る。
図28は、チャンバハウジングに対してトッププレートアセンブリを回転させるために使用され得る回転軸受機構を特徴とする例示的なシングルステーション処理チャンバを図示する。図28に見られるように、チャンバハウジング2804を有する半導体処理システム2800が示されており、チャンバハウジング2804は、その内部に、ウエハ支持アクチュエータ2808によってチャンバハウジング2804内で支持され、処理動作中にチャンバハウジング2804内でウエハ2810を支持するために使用され得る単一のウエハ支持体2806を有する。
この例では、実際、ガス分配プレート(前の例のガス分配プレート124など)としても機能するトッププレート2818が設けられる。トッププレート2818は、トッププレート2818の下面にわたって分散された複数のガス分配ポート2828と流体接続された内部プレナムを含み、処理ガスが内部プレナムからガス分配ポート2828を通ってウエハ2810全体に流れることを可能にする。トッププレート2818は、例えば、弁2830および他のハードウェアなどの様々な構成要素を含み得るより大きなトッププレートアセンブリ2816の一部であってもよい。
この例には示されていないが、トッププレート2818は、例えばねじ付き締結具などの複数の締結具によってチャンバハウジング2804上の所定の位置に保持され得る。例えば、複数のねじまたはボルトがトッププレート2818における孔に挿入され、チャンバハウジング2804に設けられたねじ孔にねじ込まれ、その後、トッププレート2818をチャンバハウジング2804およびシール2826と接触させるために締め付けることができる。
例えばねじ付き締結具が取り外される場合のように、トッププレート2818上のクランプ負荷が除去されると、垂直変位機構2852によりトッププレート2818がチャンバハウジング2804から持ち上げられ、したがってトッププレート2818がチャンバハウジング2804との接触によって回転運動を拘束されないようにすることができる。
いくつかのさらなる実施態様では、本明細書で説明される概念は、別々の処理チャンバ構造を有するマルチステーション半導体処理ツール、例えば、各ウエハ処理ステーションがウエハ処理動作中に他の処理ステーションから密閉することができる容積内に位置する半導体処理ツールの場面で実装することができる。そのような半導体処理ツールは、例えば、ウエハハンドリングロボットを収容する中央チャンバ、および1つまたは複数のサテライトチャンバを特徴とし、サテライトチャンバの各々は、中央チャンバと対応するサテライトチャンバとの間でウエハを移送することが可能なサイズにされた対応する通路によって中央チャンバと接続される。各通路には、対応するサテライトチャンバが中央チャンバから(したがって、中央チャンバを介して他のサテライトチャンバのいずれかと流体連通しないように)密閉されることを可能にするスリット弁または他の同様のデバイスが備えられてもよい。
図29は、中央チャンバ構造2903aおよび複数のサテライトチャンバ構造2903bを有する半導体処理ツール2900の一例を図示する。サテライトチャンバ構造2903bは各々、シールされていないときにそのサテライトチャンバ構造2903bの内部へのアクセスを可能にする開口部2912を有することができる。
中央チャンバ構造2903aは、ウエハハンドリングロボット2909(1つまたは複数のロボットアームを有し得、1つだけが図示されているが、追加のそのようなアームも同様に設けられ得る)を収容し得る中央ハブとして作用することができる。ウエハハンドリングロボット2909は、通路2905のいずれかに面するように回転することができるように構成され得る。各通路2905は、中央チャンバ構造2903aを対応するサテライトチャンバ構造2903bと接続し、半導体処理ツール2900によって処理される半導体ウエハが中央チャンバ構造2903aから対応するサテライトチャンバ構造2903bに(またはその逆に)通過させることを可能にするようなサイズにすることができる。各通路2905はまた、いくつかの実施態様では、2つの状態の間で切り替えることができるスリット弁2907または同様の開閉可能な機構を備えることができ、1つはその通路2905が密閉されている状態であり、もう1つはその通路2905がシールされずに流体の流れ(およびウエハの移行)が可能な状態である。中央チャンバ構造2903aおよびサテライトチャンバ構造2903bは、いくつかの実施態様では、単一の材料片から機械加工され得、すなわち、連続構造を有し得る。しかし、他の実施態様では、中央チャンバ構造2903aおよびサテライトチャンバ構造2903bは、別々の構成要素として機械加工され、続いて共に組み立てられてより大きなチャンバ構造を形成することができる。
サテライトチャンバ構造2903bは、半導体処理ツール2900を使用して実施される処理動作中に個々の半導体ウエハを収容するために使用され得る。したがって、各サテライトチャンバ構造2903bは、一般に、処理中に半導体ウエハを支持するように構成された台座2906または他の構造を含むことができる。台座2906は、いくつかの実施態様では、ウエハ支持アクチュエータ2908によって対応するサテライトチャンバ構造2903bに対して支持され得、ウエハ支持アクチュエータ2908は、台座2906を上下に移動させるように制御され、これにより例えば、ウエハローディング動作を容易にし、かつ/または処理動作中、複数のガス分配ポート2928を通して1つまたは複数のプロセスガスをウエハ上に導くことができるガス分配プレート2924に対してウエハを位置決めすることが可能である。ガス分配プレート2924はまた、シャワーヘッドとも呼ぶことができ、図示の半導体処理ツール2900では、ガス分配プレート2924は、ガス分配プレート2924がステム2925によってサテライトチャンバ構造2903b内に支持される「シャンデリア」シャワーヘッドの一部である。他の実施態様では、本明細書で前述した他の例と同様に、ガス分配プレート2924は、実際、サテライトチャンバ構造2903b(「フラッシュマウント」シャワーヘッド)の蓋を形成することができる。
ガス分配プレート2924には、例えば、ガス分配システム2942からガスを受け入れる1つまたは複数の弁2930を含むガス分配アセンブリによって1つまたは複数のプロセスガスが供給され得る。
図示の例示的な半導体処理ツール2900はまた、前述のトッププレートアセンブリと同様に、少なくとも第1の構成と第2の構成との間で移行可能なトッププレートアセンブリ2916を含む。図29に示す第1の構成では、トッププレートアセンブリ2916は、開口部2912をシールするようにサテライトチャンバ構造2903bと係合する。トッププレートアセンブリ2916は、第1の構成では、トッププレートアセンブリ2916とサテライトチャンバ構造2903bとの間に気密なシール界面を提供し得る1つまたは複数のシール2914と係合することができる。図示の実施形態では、トッププレートアセンブリ2916はまた、中央チャンバ構造2903aと係合してその開口部をシールするものとして第1の構成で示されている。しかし、いくつかの実施態様では、トッププレートアセンブリ2916が中央チャンバ構造2903aをシールしなくてもよいことが理解されるであろう。例えば、中央チャンバ構造2903aは、トッププレートアセンブリ2916がどのような構成であるかに関係なく、シールされたままである別々の蓋構成要素によってシールされてもよい。
トッププレートアセンブリは、トッププレートアセンブリ2916の1つまたは複数の他の構成要素、例えば、弁2930、電子機器、ガス供給システムなどを支持するトッププレート2918を含んでもよい。
図30は、第2の構成における半導体処理ツール2900のトッププレートアセンブリ2916を図示する。第2の構成では、トッププレートアセンブリ2916は、1つまたは複数の垂直変位機構2952の動作によってサテライトチャンバ構造2903bから持ち上げられている。垂直変位機構2952は、トッププレートアセンブリ2916によって支持され、かつ通常はサテライトチャンバ構造2903b内にある構成要素がサテライトチャンバ構造2903bの壁と衝突することなく、トッププレートアセンブリ2916が軸2915の周りを回転することを可能にするのに少なくとも十分な距離だけトッププレートアセンブリ2916を垂直に変位させるように構成され得る(かかる構成要素は、場合によっては、軸2915の周りのそのような回転の前にトッププレートアセンブリ2916の残りの要素に対して特定の位置に移動することができ、例えば、ガス分配プレート2924を上方に移動させ、サテライトチャンバ構造2903bの縁部をより容易に通過することができる)。
トッププレートアセンブリ2916が第2の構成にあるとき、トッププレートアセンブリ2916は、垂直変位機構2952および回転軸受機構2946によって支持され得る。回転軸受機構2946は、トッププレートアセンブリ2916が少なくとも第1の回転位置と第2の回転位置との間で中央チャンバ構造2903aおよびサテライトチャンバ構造2903bに対して回転することを可能にするように構成することができる。回転軸受機構2946は、中央チャンバハウジング2903aによって支持されるか、またはサテライトチャンバ構造2903bの最も内側の内縁内に概して位置する基準円内の任意の他の適切な場所に位置決めされてもよい。
いくつかの実施態様では、半導体処理ツール2900は、トッププレートアセンブリ2916のすべてまたは一部を覆うことができるトップアセンブリ2934をさらに含むことができる。トップアセンブリ2934は、例えば、高周波発生器2944(または、前の例に示すように、ガス分配システム2942)、電子機器などのさらなる構成要素を含んでもよい。トップアセンブリ2934を有するいくつかの実施態様では、トップアセンブリ2934は、ロッキングアクチュエータ2940、例えば、ソレノイド、ねじ、または他の手動もしくは電子制御デバイスを含んでもよく、これらは作動位置またはロック位置にあるときにトッププレートアセンブリ2916上のリフトフィーチャ2932と連動することができ、それによってトッププレートアセンブリ2916がトップアセンブリ2934とロックされ、共に移動可能となる。ロッキングアクチュエータ2940が後退位置またはロック解除位置にあるとき、ロッキングアクチュエータ2940は、トップアセンブリ2934がトッププレートアセンブリ2916を持ち上げることなく、トップアセンブリ2934を浮上させることが可能になり得る(しかし、垂直変位機構2952が、トップアセンブリ2934の上方への移動に連動してトッププレートアセンブリ2916を垂直上方に移動させることができる)。垂直リフトアクチュエータ2938を設けることで、垂直並進能力をトップアセンブリ2934(およびロッキングアクチュエータ2940が係合したときのトッププレートアセンブリ2916)に提供することができる。垂直リフトアクチュエータ2938は、中央チャンバ構造2903aおよびサテライトチャンバ構造29bを支持するベースまたはフレームワーク2902の一部に取り付けることができる。
図31は、図29および図30の半導体処理ツール2900の平面図を図示する。図31では、トッププレートアセンブリ2916、およびトッププレートアセンブリ2916にまたはその上に取り付けられた構成要素は、中央チャンバ2903aの周りのサテライトチャンバ構造2903bの配置をより良好に示すために省略されている。ウエハハンドリングロボット2909は、後退位置および伸長位置(点線)でも示されている。見られるように、ウエハハンドリングロボット2909は、サテライトチャンバ構造2903bへの任意の所与の通路2905と位置合わせするために適切に回転されると、サテライトチャンバ構造2903bの各々の中に延びることができる。見られるように、スリット弁2907は、通路2905を横切って延び、サテライトチャンバ構造2903bと中央チャンバ構造2903aとの間の流体の流れを防止することができる(右下隅のスリット弁2907は、ウエハハンドリングロボット2909が右下のサテライトチャンバ構造2903b内にエンドエフェクタを伸長させることができるように、開状態に後退している。線A-Aは、名目上、図29および図30の切断平面を表す断面平面を示す。
見られるように、サテライトチャンバ構造2903bは、非直交「X」配置に配置され、例えば、中央チャンバ構造2903aの中心を通り、互いに斜角をなす2つの軸に沿って中心に配置される。しかし、そのような半導体処理ツール2900の他の配置は、直交「+」形状に配置されたサテライトチャンバ構造2903a、または中央チャンバ構造2903aの周りに配置された5つ以上のサテライトチャンバ構造2903bを含んでもよい。
図32は、トッププレートアセンブリ2916(太い実線の輪郭である程度の陰影を付けて示す)が2つの異なる回転位置にあるときの(第2の構成にあるときの間で移行する可能性があるため)例示的な半導体処理ツール2900の上面図を図示する。図32の左側に見られるように、トッププレートアセンブリ2916が第1の回転位置にあるとき、トッププレートアセンブリ2916の一部は、サテライトチャンバ2903bの各々の上に位置決めすることができる。サテライトチャンバ2903b1~4の各々は、その上に第1の回転位置に位置決めされたトッププレートアセンブリ2916の対応する部分A~Dを有する。
図32の右側に見られるように、トッププレートアセンブリ2916は、トッププレートアセンブリ2916の部分AおよびCがそれぞれサテライトチャンバ構造2903b2および4の上に位置決めされるように、120°回転されている。トッププレートアセンブリ2916の部分Bは、サテライトチャンバ構造2903b3と4との間のギャップに位置決めされ、部分Dは、番号1と2のサテライトチャンバ構造2903bの間のギャップに位置決めされる。これは、例えば、半導体処理ツールが、例えば、側Xに位置するが側Yでもアクセス可能なトッププレートアセンブリ2916の部分への容易な人員のアクセスを妨げる追加の機器と側Xで接続されている場合に有利であることを証明し得る。例えば、これにより、部分B上のトッププレートアセンブリ2916に取り付けられた機器を、部分Bに取り付けられた構成要素に容易にアクセスすることができる場所Yの位置に回転させることが可能になり得る。そのような各部分には、トッププレートアセンブリ2916を適切に回転させることにより同様にアクセスすることができることが理解されるであろう。
図33は、多くの点で図29の例示的な半導体処理ツール2900と同様である別の半導体処理ツール3300を図示する。簡潔にするために、半導体処理ツール2900における対応する要素についての前の説明を考慮して、半導体処理ツール3300の要素の完全な説明は提供されないことが理解されるであろう。さらに、図33(および図34)における対応する要素と同じ最後の2桁を共有する図29における要素の説明は、以下に別段の記載がない限り、図33(および図34)における対応する要素にも同様に適用可能であることが理解されるべきである。
半導体処理ツール2900は、ステム2925によってサテライトチャンバ構造2903b内に吊り下げられたガス分配プレート2924を有するシャンデリア型シャワーヘッドを特徴とするのに対し、半導体処理ツール3300は、トッププレート3318に取り付けられたフラッシュマウントガス分配フェースプレート3324を特徴とし、それによってトッププレート3318における開口をシールする。トッププレート2918も同様に開口を含んでいたが、これらの開口は、ステム2925によるトッププレート2918の通過を可能にするために使用されていた。
図34は、第2の構成におけるトッププレートアセンブリ3316を示す。見られるように、必要とされ得るトッププレートアセンブリ3316の垂直変位の量、および/またはトッププレート3318の厚さは、シャワーヘッドがサテライトチャンバ構造3303bの壁と衝突する可能性がないように取り付けられ得るため、シャンデリア型シャワーヘッドを使用するシステムと比較して減少させることができる。例えば、図5~図28に関して本明細書で説明された他の実施態様もまた、シャンデリアまたはフラッシュマウントシャワーヘッドを有するトッププレートアセンブリを使用するように実装することができることが理解されるであろう。さらに、トッププレートアセンブリおよび/またはトップアセンブリ(存在する場合)に取り付けられ得る、またはそれらによって支持され得る機器の様々な部品は、様々な実施態様において変化する場合がある。
いくつかの実施態様では、コントローラは、上述の例の一部であり得るマルチステーションチャンバまたはツールの一部として設けることができる。そのようなシステムは、上述したような1つまたは複数の処理ツールおよび/もしくは1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、ならびに/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示される機器のいずれかを制御するようにプログラムされてもよい。そのような機器としては、例えば、垂直リフトアクチュエータ、能動垂直変位機構、または他の機器が含まれる。例えば、コントローラは、着座位置と1つまたは複数の第1の上昇位置との間でトップアセンブリを移動させるように垂直リフトアクチュエータを制御してもよく、または垂直リフト機構を制御して異なる高さの間で同様に移動させ、トッププレートアセンブリが第1の構成と第2の構成との間で移行することを可能にしてもよい。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施態様では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、マルチステーションプラズマエッチングチャンバまたはモジュール、マルチステーション堆積チャンバまたはモジュール、マルチステーションスピンリンスチャンバまたはモジュール、マルチステーション金属めっきチャンバまたはモジュール、マルチステーション洗浄チャンバまたはモジュール、マルチステーションベベルエッジエッチングチャンバまたはモジュール、マルチステーション物理気相堆積(PVD)チャンバまたはモジュール、マルチステーション化学気相堆積(CVD)チャンバまたはモジュール、マルチステーション原子層堆積(ALD)チャンバまたはモジュール、マルチステーション原子層エッチング(ALE)チャンバまたはモジュール、マルチステーションイオン注入チャンバまたはモジュール、マルチステーション追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよいマルチステーション半導体処理チャンバを有する任意の他のシステムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
「1つまたは複数の<項目>の各<項目>のための」、「1つまたは複数の<項目>の各<項目>」などの語句は、本明細書で使用される場合、単一項目群と複数項目群の両方を含むと理解されるべきであり、すなわち、「各…のための」という語句は、プログラミング言語で、参照される項目の母集団の各項目を参照するために使用されるという意味で使用されることを理解されたい。例えば、参照される項目の母集団が単一項目である場合、「各」はその単一項目のみを参照し(「each」の辞書定義はしばしば「2つ以上のもののうちの1つ1つ」を指す用語を定義するという事実にもかかわらず)、それらの項目の少なくとも2つがなければならないことを意味するものではない。同様に、「セット」または「サブセット」という用語は、それ自体、複数の項目を必然的に包含するものと見なされるべきではなく、セットまたはサブセットは、(文脈が別段のことを指示しない限り)1つの部材のみまたは複数の部材を包含することができることが理解されるであろう。
本開示および特許請求の範囲における序数標識、例えば(a)、(b)、(c)、…などの使用は、存在する場合、特定の順序または順番を伝えていないと理解されるべきである(そのような順序または順番が明示的に示されている範囲を除いて)。例えば、(i)、(ii)、および(iii)とラベル付けされた3つのステップがある場合、これらのステップは、別段の指示がない限り、任意の順序で(または禁忌でなければ同時に)実施され得ることが理解されるべきである。例えば、ステップ(ii)がステップ(i)で形成された要素の取り扱いを伴う場合、ステップ(ii)は、ステップ(i)の後のある時点で起こると見なすことができる。同様に、ステップ(i)がステップ(ii)で形成された要素の取り扱いを伴う場合、逆が理解されるべきである。
「約」、「およそ」、「実質的に」、「公称」などの用語は、量または同様の定量化可能な性質に関して使用される場合、別段の指示がない限り、指定された値または関係の±10%以内の値を含む(ならびに指定された実際の値または関係を含む)と理解されるべきである。
前述の概念のすべての組み合わせ(そのような概念が相互に矛盾しないことを条件とする)は、本明細書に開示される発明の主題の一部であると考えられることを理解されたい。特に、本開示の最後に現れる特許請求される主題のすべての組み合わせは、本明細書に開示される発明の主題の一部であると考えられる。参照により組み込まれる任意の開示に現れる可能性がある、本明細書で明示的に用いられる専門用語は、本明細書で開示される特定の概念と最も一致する意味を与えられるべきであることも理解されたい。
上記の開示は、複数の特定の例示的な実施態様に焦点を当てているが、説明された例のみに限定されるものではなく、同様の変形および機構にも同じく適用され得ることがさらに理解されるべきであり、そのような同様の変形および機構もまた、本開示の範囲内にあると見なされる。特に、本開示は、少なくとも以下の番号が付けられた実施態様を対象とするが、これらは実施態様の排他的または限定的なリストではないと理解されるべきではない。他の実施態様は、本開示全体から明らかになるであろう。
実施態様1:その上面に開口部を有するチャンバハウジングであって、前記開口部は、前記開口部の周囲に延びる内縁によって画定されるチャンバハウジングと、
前記チャンバハウジングの内部容積内の異なる場所に位置決めされた複数の台座と、
前記開口部を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたトッププレートアセンブリと、
少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリを支持するように構成された回転軸受機構と
を含み、
前記トッププレートアセンブリは、前記第1の構成では、前記開口部をシールするように前記チャンバハウジングと係合し、
前記トッププレートアセンブリは、前記第2の構成では、前記第1の構成よりも前記チャンバハウジングから遠くに位置決めされ、前記回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる、
半導体処理装置。
実施態様2:実施態様1に記載の半導体処理装置であって、
前記トッププレートアセンブリは、貫通する複数の開口を有するトッププレートを含み、
各台座は、前記トッププレートアセンブリが前記第1の回転位置にあるときに対応する異なる前記開口と位置合わせされる、
半導体処理装置。
実施態様3:実施態様2に記載の半導体処理装置であって、
複数のガス分配アセンブリをさらに含み、各ガス分配アセンブリは、前記開口の1つをシールするように前記トッププレートに取り付けられ、前記トッププレートアセンブリが前記第1の構成または前記第2の構成のいずれかにあり、かつ前記第1の回転位置にあるとき、前記台座に面するその表面上に位置する複数のガス分配ポートを有する、半導体処理装置。
実施態様4:実施態様1に記載の半導体処理装置であって、
前記複数の台座は、正方形パターンに配置された4つの台座を含む、半導体処理装置。
実施態様5:実施態様1に記載の半導体処理装置であって、
前記複数の台座における各台座の少なくとも中心は、上から見たときに前記開口部と重なり、各台座は、前記チャンバハウジング内で実施される半導体処理動作中にウエハを支持するように構成される、半導体処理装置。
実施態様6:実施態様1に記載の半導体処理装置であって、
前記回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含み、
前記半導体処理装置は、前記第1の構成から前記第2の構成への前記トッププレートアセンブリの移行中、直接的または間接的に、前記トッププレートアセンブリの重量よりも大きい全体の上方の力を前記トッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含み、
前記第1の部分、前記第2の部分、および前記1つまたは複数の垂直変位機構は、少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリによって圧縮荷重をかけられる、
半導体処理装置。
実施態様7:実施態様6に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む、半導体処理装置。
実施態様8:実施態様7に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記開口部の外側の場所で前記開口部の周囲に分散される複数の圧縮ばねである、半導体処理装置。
実施態様9:実施態様7に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記開口部を取り囲む円周方向波形ばねを含む、半導体処理装置。
実施態様10:実施態様6に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む、半導体処理装置。
実施態様11:実施態様6に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含む、半導体処理装置。
実施態様12:実施態様1に記載の半導体処理装置であって、
1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含み、
a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの一方は、前記トッププレートアセンブリに対して固定的に取り付けられ、a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの他方は、前記チャンバハウジングに対して固定的に取り付けられ、
各係合機構は、係合構成と係合解除構成との間で移行するように構成され、
前記1つまたは複数の係合機構および前記1つまたは複数の受け入れフィーチャは、前記トッププレートアセンブリが前記第1の回転位置にあるとき、前記1つまたは複数の係合機構の少なくとも1つが、i)前記係合解除構成から前記係合構成に移行することができるように構成され、ii)前記係合構成にあるときに前記1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)前記1つまたは複数の受け入れフィーチャのうちの1つの前記受け入れフィーチャと係合している間に前記トッププレートアセンブリが前記チャンバハウジングに対して回転することを防止するように位置決めされる、
半導体処理装置。
実施態様13:実施態様1に記載の半導体処理装置であって、
トップアセンブリと、
1つまたは複数の垂直リフトアクチュエータと、
1つまたは複数のロッキングアクチュエータと、
1つまたは複数のリフトフィーチャと
をさらに含み、
前記1つまたは複数のリフトフィーチャは、前記トッププレートアセンブリの一部であり、
前記1つまたは複数の垂直リフトアクチュエータは、少なくとも着座位置と第1の上昇位置との間で前記トップアセンブリを垂直に並進させるように構成され、
前記1つまたは複数のロッキングアクチュエータは、前記トップアセンブリが前記着座位置にあるときに前記1つまたは複数のリフトフィーチャと解放可能に係合可能であるように位置決めされ、
前記トッププレートアセンブリは、前記トップアセンブリが前記1つまたは複数の垂直リフトアクチュエータによって前記着座位置から前記第1の上昇位置に並進され、前記1つまたは複数のロッキングフィーチャが前記1つまたは複数のリフトフィーチャと係合するとき、前記トップアセンブリと共に並進する、
半導体処理装置。
実施態様14:実施態様13に記載の半導体処理装置であって、
前記トッププレートアセンブリの一部であり、前記トッププレートアセンブリから上方に延びる支持構造と、
前記トップアセンブリの一部である軸受座と
をさらに含み、
前記1つまたは複数の垂直リフトアクチュエータは、前記第1の上昇位置と、前記第1の上昇位置よりも高い第2の上昇位置との間で前記トップアセンブリを垂直にも並進させるようにさらに構成され、
前記回転軸受機構は、前記軸受座と前記支持構造の最上端との間に位置し、
前記回転軸受機構は、前記第1の上昇位置と前記第2の上昇位置との間の前記トップアセンブリの並進中、前記軸受座および前記支持構造によって圧縮荷重をかけられ、
前記トッププレートアセンブリは、前記トップアセンブリが前記第2の上昇位置にあり、前記トッププレートアセンブリを支持していないときに前記第2の構成にある、
半導体処理装置。
実施態様15:その底面にシール界面を有するチャンバハウジングと、
前記チャンバハウジングの下に位置決めされ、前記シール界面を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたボトムプレートアセンブリと、
前記ボトムプレートアセンブリが前記第1の構成にあるとき、前記チャンバハウジングの内部容積内の少なくとも部分的に異なる場所に位置決めされた複数の台座と、
少なくとも前記ボトムプレートアセンブリが前記第2の構成にあるときに前記ボトムプレートアセンブリを支持するように構成された回転軸受機構と
を含み、
前記ボトムプレートアセンブリは、前記第1の構成では、前記チャンバハウジングの前記シール界面と係合し、
前記ボトムプレートアセンブリは、前記第2の構成では、前記第1の構成よりも前記チャンバハウジングから遠くに位置決めされ、前記回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる、
半導体処理装置。
実施態様16:実施態様15に記載の半導体処理装置であって、
前記ボトムプレートアセンブリは、前記複数の台座を支持する、半導体処理装置。
実施態様17:実施態様16に記載の半導体処理装置であって、
複数のガス分配アセンブリをさらに含み、各ガス分配アセンブリは、前記ボトムプレートアセンブリが少なくとも前記第1の回転位置にあるとき、対応する前記台座に面し、かつ対応する前記台座の上の中心に配置されたその表面上に位置する複数のガス分配ポートを有する、半導体処理装置。
実施態様18:実施態様15に記載の半導体処理装置であって、
前記複数の台座は、正方形パターンに配置された4つの台座を含む、半導体処理装置。
実施態様19:実施態様15に記載の半導体処理装置であって、
前記回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含み、
前記半導体処理装置は、前記第1の構成から前記第2の構成への前記ボトムプレートアセンブリの移行中、直接的または間接的に、前記ボトムプレートアセンブリの重量よりも大きい全体の上方の力を前記ボトムプレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含み、
前記第1の部分、前記第2の部分、および前記1つまたは複数の垂直変位機構は、前記第1の構成と前記第2の構成の両方で前記ボトムプレートアセンブリによって圧縮荷重をかけられる、
半導体処理装置。
実施態様20:実施態様19に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む、半導体処理装置。
実施態様21:実施態様20に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記シール界面によって画定された領域の外側の場所で前記ボトムプレートアセンブリの周囲に分散される複数の圧縮ばねである、半導体処理装置。
実施態様22:実施態様20に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記シール界面を取り囲む円周方向波形ばねを含む、半導体処理装置。
実施態様23:実施態様19に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む、半導体処理装置。
実施態様24:実施態様19に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含む、半導体処理装置。
実施態様25:実施態様15に記載の半導体処理装置であって、
1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含み、
a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの一方は、前記ボトムプレートアセンブリに対して固定的に取り付けられ、a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの他方は、前記チャンバハウジングに対して固定的に取り付けられ、
各係合機構は、係合構成と係合解除構成との間で移行するように構成され、
前記1つまたは複数の係合機構および前記1つまたは複数の受け入れフィーチャは、前記ボトムプレートアセンブリが前記第1の回転位置にあるとき、前記1つまたは複数の係合機構の少なくとも1つが、i)前記係合解除構成から前記係合構成に移行することができるように構成され、ii)前記係合構成にあるときに前記1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)前記1つまたは複数の受け入れフィーチャのうちの1つの前記受け入れフィーチャと係合している間に前記ボトムプレートアセンブリが前記チャンバハウジングに対して回転することを防止するように位置決めされる、
半導体処理装置。
実施態様26:実施態様15に記載の半導体処理装置であって、
回転軸受機構支持構造と、
1つまたは複数のボトムプレート垂直リフト機構と
をさらに含み、
前記回転軸受機構は、前記ボトムプレートと前記回転軸受機構支持構造との間に介在され、
前記回転軸受機構支持構造は、前記回転軸受機構と前記1つまたは複数のボトムプレート垂直リフト機構との間に介在され、
前記1つまたは複数のボトムプレート垂直リフト機構は、前記第1の構成および前記第2の構成に関連付けられた位置の間で少なくとも前記回転軸受機構支持構造および前記回転軸受機構を並進させるように構成される、
半導体処理装置。
実施態様27:その上面に開口部を有するチャンバハウジングであって、前記開口部は、前記開口部の周囲に延びる内縁によって画定されるチャンバハウジングと、
前記チャンバハウジングの内部容積内に位置決めされた台座と、
前記開口部を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたトッププレートアセンブリと、
少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリを支持するように構成された回転軸受機構と
を含み、
前記トッププレートアセンブリは、前記第1の構成では、前記開口部をシールするように前記チャンバハウジングと係合し、
前記トッププレートアセンブリは、前記第2の構成では、前記第1の構成よりも前記チャンバハウジングから遠くに位置決めされ、前記回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる、
半導体処理装置。
実施態様28:実施態様27に記載の半導体処理装置であって、
前記トッププレートアセンブリは、前記トッププレートアセンブリが前記第1の構成または前記第2の構成のいずれかにあり、かつ前記第1の回転位置にあるとき、前記台座に面するその表面上に位置する複数のガス分配ポートを含む、半導体処理装置。
実施態様29:実施態様27に記載の半導体処理装置であって、
前記回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含み、
前記半導体処理装置は、前記第1の構成から前記第2の構成への前記トッププレートアセンブリの移行中、直接的または間接的に、前記トッププレートアセンブリの重量よりも大きい全体の上方の力を前記トッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含み、
前記第1の部分、前記第2の部分、および前記1つまたは複数の垂直変位機構は、少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリによって圧縮荷重をかけられる、
半導体処理装置。
実施態様30:実施態様29に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む、半導体処理装置。
実施態様31:実施態様30に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記開口部の外側の場所で前記開口部の周囲に分散される複数の圧縮ばねである、半導体処理装置。
実施態様32:実施態様30に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記開口部を取り囲む円周方向波形ばねを含む、半導体処理装置。
実施態様33:実施態様29に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む、半導体処理装置。
実施態様34:実施態様29に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含む、半導体処理装置。
実施態様35:実施態様27に記載の半導体処理装置であって、
1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含み、
a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの一方は、前記トッププレートアセンブリに対して固定的に取り付けられ、a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの他方は、前記チャンバハウジングに対して固定的に取り付けられ、
各係合機構は、係合構成と係合解除構成との間で移行するように構成され、
前記1つまたは複数の係合機構および前記1つまたは複数の受け入れフィーチャは、前記トッププレートアセンブリが前記第1の回転位置にあるとき、前記1つまたは複数の係合機構の少なくとも1つが、i)前記係合解除構成から前記係合構成に移行することができるように構成され、ii)前記係合構成にあるときに前記1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)前記1つまたは複数の受け入れフィーチャのうちの1つの前記受け入れフィーチャと係合している間に前記トッププレートアセンブリが前記チャンバハウジングに対して回転することを防止するように位置決めされる、
半導体処理装置。
実施態様36:中央チャンバ構造と、
前記中央チャンバ構造内に位置決めされたウエハハンドリングロボットと、
前記中央チャンバ構造の周囲に配置された複数のサテライトチャンバ構造であって、各サテライトチャンバ構造は、
ウエハが前記ウエハハンドリングロボットによって前記中央チャンバ構造からそのサテライトチャンバ構造に移されることを可能にするようなサイズにされた対応する通路を介して前記中央チャンバ構造と接続され、
対応する開口部を有する
複数のサテライトチャンバ構造と、
前記サテライトチャンバ構造の上に延び、少なくとも第1の構成と第2の構成との間で並進可能に構成されたトッププレートアセンブリと、
少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリを支持するように構成された回転軸受機構と
を含み、
前記トッププレートアセンブリは、前記第1の構成では、その前記開口部をシールするように前記サテライトチャンバ構造の各々と係合し、
前記トッププレートアセンブリは、前記第2の構成では、前記第1の構成よりも前記サテライトチャンバ構造から遠くに位置決めされ、前記回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる、
半導体処理装置。
実施態様37:実施態様36に記載の半導体処理装置であって、
前記トッププレートアセンブリは、トッププレートを含み、貫通する複数の開口を有し、
各開口は、前記トッププレートアセンブリが前記第1の回転位置にあるときに対応する異なる前記サテライトチャンバ構造と位置合わせされる、
半導体処理装置。
実施態様38:実施態様37に記載の半導体処理装置であって、
複数のガス分配アセンブリをさらに含み、各ガス分配アセンブリは、前記開口の1つをシールするように前記トッププレートに取り付けられ、前記トッププレートアセンブリが前記第1の構成および前記第1の回転位置、または前記第2の構成および前記第1の回転位置のいずれかにあるとき、対応する前記サテライトチャンバ構造の内部容積に面するその表面上に位置する複数のガス分配ポートを有する、半導体処理装置。
実施態様39:実施態様37に記載の半導体処理装置であって、
複数のガス分配アセンブリをさらに含み、各ガス分配アセンブリは、前記開口の1つを通って延びるステムと、前記トッププレートアセンブリが前記第1の構成および前記第1の回転位置、または前記第2の構成および前記第1の回転位置のいずれかにあるとき、少なくとも部分的に、対応する前記サテライトチャンバ構造および前記トッププレートアセンブリによって画定された容積内に位置する複数のガス分配ポートを備えたガス分配器とを有する、半導体処理装置。
実施態様40:実施態様36に記載の半導体処理装置であって、
前記中央チャンバ構造の中心に配置された非直交X構成に配置された4つのサテライトチャンバ構造が存在する、半導体処理装置。
実施態様41:実施態様36に記載の半導体処理装置であって、
前記中央チャンバ構造の中心に配置された+構成に配置された4つのサテライトチャンバ構造が存在する、半導体処理装置。
実施態様42:実施態様36に記載の半導体処理装置であって、
前記中央チャンバ構造の周囲に配置された5つ以上のサテライトチャンバ構造が存在する、半導体処理装置。
実施態様43:実施態様36に記載の半導体処理装置であって、
前記回転軸受機構は、回転可能に接続された第1の部分および第2の部分を含み、
前記半導体処理装置は、前記第1の構成から前記第2の構成への前記トッププレートアセンブリの移行中、直接的または間接的に、前記トッププレートアセンブリの重量よりも大きい全体の上方の力を前記トッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに含み、
前記第1の部分、前記第2の部分、および前記1つまたは複数の垂直変位機構は、少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリによって圧縮荷重をかけられる、
半導体処理装置。
実施態様44:実施態様43に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む、半導体処理装置。
実施態様45:実施態様44に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記回転軸受機構に沿って分散される複数の圧縮ばねである、半導体処理装置。
実施態様46:実施態様45に記載の半導体処理装置であって、
前記1つまたは複数のばねは、前記回転軸受機構の回転軸と同心の円周方向波形ばねを含む、半導体処理装置。
実施態様47:実施態様43に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む、半導体処理装置。
実施態様48:実施態様43に記載の半導体処理装置であって、
前記1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含む、半導体処理装置。
実施態様49:実施態様36に記載の半導体処理装置であって、
1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに含み、
a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの一方は、前記トッププレートアセンブリに対して固定的に取り付けられ、a)前記1つまたは複数の係合機構およびb)前記1つまたは複数の受け入れフィーチャのうちの他方は、前記中央チャンバ構造に対して固定的に取り付けられ、
各係合機構は、係合構成と係合解除構成との間で移行するように構成され、
前記1つまたは複数の係合機構および前記1つまたは複数の受け入れフィーチャは、前記トッププレートアセンブリが前記第1の回転位置にあるとき、前記1つまたは複数の係合機構の少なくとも1つが、i)前記係合解除構成から前記係合構成に移行することができるように構成され、ii)前記係合構成にあるときに前記1つまたは複数の受け入れフィーチャの1つと係合し、かつiii)前記1つまたは複数の受け入れフィーチャのうちの1つの前記受け入れフィーチャと係合している間に前記トッププレートアセンブリが前記中央チャンバ構造に対して回転することを防止するように位置決めされる、
半導体処理装置。
実施態様50:実施態様36に記載の半導体処理装置であって、
トップアセンブリと、
1つまたは複数の垂直リフトアクチュエータと、
1つまたは複数のロッキングアクチュエータと、
1つまたは複数のリフトフィーチャと
をさらに含み、
前記1つまたは複数のリフトフィーチャは、前記トッププレートアセンブリの一部であり、
前記1つまたは複数の垂直リフトアクチュエータは、少なくとも着座位置と第1の上昇位置との間で前記トップアセンブリを垂直に並進させるように構成され、
前記1つまたは複数のロッキングアクチュエータは、前記トップアセンブリが前記着座位置にあるときに前記1つまたは複数のリフトフィーチャと解放可能に係合可能であるように位置決めされ、
前記トッププレートアセンブリは、前記トップアセンブリが前記1つまたは複数の垂直リフトアクチュエータによって前記着座位置から前記第1の上昇位置に並進され、前記1つまたは複数のロッキングフィーチャが前記1つまたは複数のリフトフィーチャと係合するとき、前記トップアセンブリと共に並進する、
半導体処理装置。
実施態様51:実施態様50に記載の半導体処理装置であって、
前記トッププレートアセンブリの一部であり、前記トッププレートアセンブリから上方に延びる支持構造と、
前記トップアセンブリの一部である軸受座と
をさらに含み、
前記1つまたは複数の垂直リフトアクチュエータは、前記第1の上昇位置と、前記第1の上昇位置よりも高い第2の上昇位置との間で前記トップアセンブリを垂直にも並進させるようにさらに構成され、
前記回転軸受機構は、前記軸受座と前記支持構造の最上端との間に位置し、
前記回転軸受機構は、前記第1の上昇位置と前記第2の上昇位置との間の前記トップアセンブリの並進中、前記軸受座および前記支持構造によって圧縮荷重をかけられ、
前記トッププレートアセンブリは、前記トップアセンブリが前記第2の上昇位置にあり、前記トッププレートアセンブリを支持していないときに前記第2の構成にある、
半導体処理装置。

Claims (14)

  1. 半導体処理装置であって、
    上面に開口部を有するチャンバハウジングであって、前記開口部は、前記開口部の周囲に延びる内縁によって画定されるチャンバハウジングと、
    前記チャンバハウジングの内部容積内の異なる場所に位置決めされた複数の台座と、
    前記開口部を覆うようなサイズにされ、少なくとも第1の構成と第2の構成との間で移行することができるように構成されたトッププレートアセンブリと、
    少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリを支持するように構成された回転軸受機構と
    を備え、
    前記トッププレートアセンブリは、前記第1の構成では、前記開口部をシールするように前記チャンバハウジングと係合し、
    前記トッププレートアセンブリは、前記第2の構成では、前記第1の構成よりも前記チャンバハウジングから遠くに位置決めされ、前記回転軸受機構によって支持され、少なくとも第1の回転位置と第2の回転位置との間で垂直軸の周りを回転することができる、
    半導体処理装置。
  2. 請求項1に記載の半導体処理装置であって、
    前記トッププレートアセンブリは、貫通する複数の開口を有するトッププレートを含み、
    各前記台座は、前記トッププレートアセンブリが前記第1の回転位置にあるときに対応する異なる前記開口と位置合わせされる、
    半導体処理装置。
  3. 請求項2に記載の半導体処理装置であって、
    複数のガス分配アセンブリをさらに備え、各ガス分配アセンブリは、前記開口の1つをシールするように前記トッププレートに取り付けられ、前記トッププレートアセンブリが前記第1の構成または前記第2の構成のいずれかにあり、かつ前記第1の回転位置にあるとき、前記台座に面する表面上に位置する複数のガス分配ポートを有する、半導体処理装置。
  4. 請求項1に記載の半導体処理装置であって、
    前記複数の台座は、正方形パターンに配置された4つの台座を含む、半導体処理装置。
  5. 請求項1に記載の半導体処理装置であって、
    前記複数の台座における各前記台座の少なくとも中心は、上から見たときに前記開口部と重なり、各台座は、前記チャンバハウジング内で実施される半導体処理動作中にウエハを支持するように構成される、半導体処理装置。
  6. 請求項1に記載の半導体処理装置であって、
    前記回転軸受機構は、互いに対して回転可能な第1の部分および第2の部分を含み、
    前記半導体処理装置は、前記第1の構成から前記第2の構成への前記トッププレートアセンブリの移行中、直接的または間接的に、前記トッププレートアセンブリの重量よりも大きい全体の上方の力を前記トッププレートアセンブリに及ぼすように構成された1つまたは複数の垂直変位機構をさらに備え、
    前記第1の部分、前記第2の部分、および前記1つまたは複数の垂直変位機構は、少なくとも前記トッププレートアセンブリが前記第2の構成にあるときに前記トッププレートアセンブリによって圧縮荷重をかけられる、
    半導体処理装置。
  7. 請求項6に記載の半導体処理装置であって、
    前記1つまたは複数の垂直変位機構は、1つまたは複数のばねを含む、半導体処理装置。
  8. 請求項7に記載の半導体処理装置であって、
    前記1つまたは複数のばねは、前記開口部の外側の場所で前記開口部の周囲に分散される複数の圧縮ばねである、半導体処理装置。
  9. 請求項7に記載の半導体処理装置であって、
    前記1つまたは複数のばねは、前記開口部を取り囲む円周方向波形ばねを含む、半導体処理装置。
  10. 請求項6に記載の半導体処理装置であって、
    前記1つまたは複数の垂直変位機構は、1つまたは複数のねじフィーチャを含む、半導体処理装置。
  11. 請求項6に記載の半導体処理装置であって、
    前記1つまたは複数の垂直変位機構は、複数の線形アクチュエータを含む、半導体処理装置。
  12. 請求項1に記載の半導体処理装置であって、
    1つまたは複数の係合機構および1つまたは複数の受け入れフィーチャを含む戻り止めシステムをさらに備え、
    a)前記1つまたは複数の係合機構、および、b)前記1つまたは複数の受け入れフィーチャのうちの一方は、前記トッププレートアセンブリに対して固定的に取り付けられ、a)前記1つまたは複数の係合機構、および、b)前記1つまたは複数の受け入れフィーチャのうちの他方は、前記チャンバハウジングに対して固定的に取り付けられ、
    各前記係合機構は、係合構成と係合解除構成との間で移行するように構成され、
    前記1つまたは複数の係合機構および前記1つまたは複数の受け入れフィーチャは、前記トッププレートアセンブリが前記第1の回転位置にあるとき、前記1つまたは複数の係合機構の少なくとも1つが、i)前記係合解除構成から前記係合構成に移行することができるように構成され、ii)前記係合構成にあるときに前記1つまたは複数の受け入れフィーチャの1つと係合し、かつ、iii)前記1つまたは複数の受け入れフィーチャのうちの1つの前記受け入れフィーチャと係合している間に前記トッププレートアセンブリが前記チャンバハウジングに対して回転することを防止するように位置決めされる、
    半導体処理装置。
  13. 請求項1に記載の半導体処理装置であって、
    トップアセンブリと、
    1つまたは複数の垂直リフトアクチュエータと、
    1つまたは複数のロッキングアクチュエータと、
    1つまたは複数のリフトフィーチャと
    をさらに備え、
    前記1つまたは複数のリフトフィーチャは、前記トッププレートアセンブリの一部であり、
    前記1つまたは複数の垂直リフトアクチュエータは、少なくとも着座位置と第1の上昇位置との間で前記トップアセンブリを垂直に並進させるように構成され、
    前記1つまたは複数のロッキングアクチュエータは、前記トップアセンブリが前記着座位置にあるときに前記1つまたは複数のリフトフィーチャと解放可能に係合可能であるように位置決めされ、
    前記トッププレートアセンブリは、前記トップアセンブリが前記1つまたは複数の垂直リフトアクチュエータによって前記着座位置から前記第1の上昇位置に並進され、前記1つまたは複数のロッキングフィーチャが前記1つまたは複数のリフトフィーチャと係合するとき、前記トップアセンブリと共に並進する、
    半導体処理装置。
  14. 請求項13に記載の半導体処理装置であって、
    前記トッププレートアセンブリの一部であり、前記トッププレートアセンブリから上方に延びる支持構造と、
    前記トップアセンブリの一部である軸受座と
    をさらに備え、
    前記1つまたは複数の垂直リフトアクチュエータは、前記第1の上昇位置と、前記第1の上昇位置よりも高い第2の上昇位置との間で前記トップアセンブリを垂直にも並進させるようにさらに構成され、
    前記回転軸受機構は、前記軸受座と前記支持構造の最上端との間に位置し、
    前記回転軸受機構は、前記第1の上昇位置と前記第2の上昇位置との間の前記トップアセンブリの並進中、前記軸受座および前記支持構造によって圧縮荷重をかけられ、
    前記トッププレートアセンブリは、前記トップアセンブリが前記第2の上昇位置にあり、前記トッププレートアセンブリを支持していないときに前記第2の構成にある、
    半導体処理装置。
JP2023524556A 2020-10-28 2021-10-26 回転可能なトッププレートアセンブリを有するマルチステーションツール Pending JP2023548039A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198582P 2020-10-28 2020-10-28
US63/198,582 2020-10-28
PCT/US2021/056719 WO2022093873A1 (en) 2020-10-28 2021-10-26 Multi-station tool with rotatable top plate assembly

Publications (1)

Publication Number Publication Date
JP2023548039A true JP2023548039A (ja) 2023-11-15

Family

ID=81383141

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023524556A Pending JP2023548039A (ja) 2020-10-28 2021-10-26 回転可能なトッププレートアセンブリを有するマルチステーションツール

Country Status (6)

Country Link
US (1) US20230420289A1 (ja)
JP (1) JP2023548039A (ja)
KR (1) KR20230092828A (ja)
CN (1) CN115803857A (ja)
TW (1) TW202232626A (ja)
WO (1) WO2022093873A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR102014279B1 (ko) * 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
KR101796647B1 (ko) * 2016-05-03 2017-11-10 (주)에스티아이 기판처리장치 및 기판처리방법
TWI730406B (zh) * 2018-09-17 2021-06-11 美商先進尼克斯有限公司 真空隔離的批次處理系統

Also Published As

Publication number Publication date
WO2022093873A1 (en) 2022-05-05
CN115803857A (zh) 2023-03-14
TW202232626A (zh) 2022-08-16
KR20230092828A (ko) 2023-06-26
US20230420289A1 (en) 2023-12-28

Similar Documents

Publication Publication Date Title
KR102458099B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
KR101734821B1 (ko) 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9355876B2 (en) Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP2023123665A (ja) 追加の回転軸を有する回転インデクサ
US6309161B1 (en) Load lock with vertically movable support
EP4273912A2 (en) Dynamic sheath control with edge ring lift
TWI682483B (zh) 基板傳送設備
TWI674227B (zh) 多卡匣運送箱
KR20220031700A (ko) 동시 기판 이송을 위한 로봇
US20230091035A1 (en) Transfer Position for Workpieces and Replaceable Parts in a Vacuum Processing Apparatus
CN114127887A (zh) 用于半导体处理系统的多盖结构
WO2019161169A1 (en) Moving substrate transfer chamber
JP2023548039A (ja) 回転可能なトッププレートアセンブリを有するマルチステーションツール
US20220262662A1 (en) Spindle assembly for wafer transfer in a multi-station process module
KR20230017322A (ko) 고온 및 진공 격리 프로세싱 미니 환경들
US20220415702A1 (en) Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
TWI823158B (zh) 用於提供對稱射頻返回路徑的預裝載碗機構
US20220093426A1 (en) Movable semiconductor processing chamber for improved serviceability
US20230142778A1 (en) Storage Cassette for Replaceable Parts for Plasma Processing Apparatus
KR20240041723A (ko) 위치 조절 기구 및 이를 포함하는 웨이퍼 처리 장치
WO2024112616A1 (en) Post-placement wafer-centering systems for semiconductor processing tools