KR102458099B1 - 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈 - Google Patents

반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈 Download PDF

Info

Publication number
KR102458099B1
KR102458099B1 KR1020227025977A KR20227025977A KR102458099B1 KR 102458099 B1 KR102458099 B1 KR 102458099B1 KR 1020227025977 A KR1020227025977 A KR 1020227025977A KR 20227025977 A KR20227025977 A KR 20227025977A KR 102458099 B1 KR102458099 B1 KR 102458099B1
Authority
KR
South Korea
Prior art keywords
pedestal
pad
lift
lift pad
wafer
Prior art date
Application number
KR1020227025977A
Other languages
English (en)
Other versions
KR20220108831A (ko
Inventor
폴 콘콜라
카를 리저
이스와르 스리니바산
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227036349A priority Critical patent/KR102537265B1/ko
Publication of KR20220108831A publication Critical patent/KR20220108831A/ko
Application granted granted Critical
Publication of KR102458099B1 publication Critical patent/KR102458099B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에서 사용되고 중심 축으로부터 연장하는 페데스탈을 포함하는 어셈블리가 제공된다. 액추에이터가 페데스탈의 운동을 제어하도록 구성된다. 중심 샤프트가 액추에이터와 페데스탈 사이에서 연장되고, 중심 샤프트는 중심 축을 따라 페데스탈을 이동시키도록 구성된다. 리프트 패드가 페데스탈 상에 받쳐지도록 구성되고 상부에 배치된 웨이퍼를 지지하도록 구성된 패드 상단 표면을 갖는다. 패드 샤프트가 액추에이터와 리프트 패드 사이에서 연장되고 리프트 패드의 운동을 제어한다. 패드 샤프트는 중심 샤프트 내에 포지셔닝되고 페데스탈이 상향 포지션에 있을 때 리프트 패드를 페데스탈 상단 표면으로부터 프로세스 로테이션 변위만큼 분리하도록 구성된다. 패드 샤프트는 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈 상단 표면에 대해 로테이팅하도록 구성된다.

Description

반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈{WAFER POSITIONING PEDESTAL FOR SEMICONDUCTOR PROCESSING}
본 실시예들은 반도체 기판 프로세싱 방법들 및 장비 툴들, 보다 구체적으로, 상이한 웨이퍼 대 페데스탈 배향들의 웨이퍼를 프로세싱하기 위한 웨이퍼 포지셔닝 페데스탈에 관한 것이다.
개선된 막 균일도가 PECVD (plasma-enhanced chemical vapor deposition) 기술 및 플라즈마 ALD (atomic layer deposition) 기술에서 중요하다. PECVD 및 ALD를 구현하는 챔버 시스템들은 불균일 막 증착에 기여하는 하드웨어 시그니처 (signature) 와 연관된다. 예를 들어, 하드웨어 시그니처는 챔버 비대칭과 연관되고 페데스탈 비대칭과 연관될 수 있다. 게다가, 많은 프로세스들은 다양한 근원들 (origin) 의 방위각 불균일도를 경험한다. 소비자들이 웨이퍼 에지에 점점 보다 가깝게 다이 (die) 를 위치시키려고 하기 때문에, 전체 불균일도에 대한 이러한 방위각적 불균일도의 수치의 기여가 증대된다. 대미지 및/또는 불균일 증착 프로파일들을 최소화하기 위한 최선의 노력들에도 불구하고, 종래의 PECVD 스킴 (scheme) 및 플라즈마 ALD 스킴은 여전히 개선을 필요로 한다.
구체적으로, PECVD 및 ALD를 수행하는 멀티-스테이션 모듈들은 방위각 불균일도들 (예를 들어, θ 방향의 NU) 에 기여할 수도 있는 대형의, 개방된 리액터를 특징으로 한다. 예를 들어, 일부 불균일도들은 리액터의 중심에서 스핀들 이송 메커니즘을 향해 특유의 막 두께 경사 (tilt) 를 발생시킬 수도 있다. 어셈블리 및 컴포넌트 제작 오차들 (tolerances) 에 의해 유발되는 것들을 포함하여 불균일한 물리적 챔버 기하구조들로 인해 불균일도들은 또한 단일-스테이션 모듈들에도 존재한다.
인습적으로, 증착 불균일도들은 샤워헤드들이 페데스탈들에 평행하지 않게 의도적으로 배향되도록, 샤워헤드들을 물리적으로 틸팅시킴으로써 보상되었다. 훌륭한 해법은 아니지만, 이는 사실상 유효하다. 그러나, 특히, 다이 사이즈가 감소되고 웨이퍼의 에지들이 점점 더 다이들의 소스가 되기 때문에, 이 스킴의 유효성이 더 증대하는 것이 보다 제한된다.
하드웨어 시그니처를 로테이팅하지 (rotating) 않고 복수의 배향들에서 웨이퍼를 프로세싱하는 것이 방위각 불균일도를 필터링하는데 (filter out) 유효함을 보였다. 종래 기술에서 가장 기본적인 현재 방법은 웨이퍼를 부분적으로 프로세싱하는 단계, 프로세스 챔버로부터 웨이퍼를 제거하는 단계, 분리된 웨이퍼 핸들러에서 웨이퍼를 로테이팅하는 단계, 및 나중에 새로운 배향 (orientation) 으로 더 프로세싱하기 위해 웨이퍼를 재삽입하는 단계를 포함한다. 이 방법의 주요 장점은 챔버 내부에서 로테이팅하는 하드웨어가 없다는 것이다. 그러나, 이러한 종래 기술의 해법은 쓰루풋, 오염, 및 상당한 추가 하드웨어의 단점들을 갖는다.
종래 기술의 또 다른 해법은 프로세싱 동안 전체 페데스탈을 로테이팅시키는 것이다. 그러나, 이 해법은 로테이팅의 부정적인 속성, 웨이퍼와 함께 페데스탈과 연관된 불균일도를 갖는다. 그 경우에는, 페데스탈은 무효화할 수도 없고 프로세싱 동안 웨이퍼 상에 나타날 수도 있는 불균일도 시그니처를 가질 수 있다. 또한, 포켓 내에서 웨이퍼의 에지 효과들은 프로세싱 동안 전체 페데스탈이 로테이팅될 때 웨이퍼와 함께 바로 로테이팅되는 또 다른 부류의 불균일도이다. 즉, 불균일도는 (예를 들어, ALD 옥사이드 증착시) 페데스탈 로테이션으로 눈에 띄게 개선되지 않는다. 게다가, 제한된 퍼포먼스에 더하여, 전체 페데스탈을 로테이팅시키는 것은 로테이팅하는 페데스탈을 통해 RF 전력을 통과시키는 희생을 필요로 한다. 이는 충분한 RF전력을 플라즈마로 전달하기 위해 슬립 링 (slip ring) 을 통한 임피던스 매칭을 위해 고가의 회로를 필요로 한다. 전체 페데스탈을 로테이팅시키는 것은 또한 예를 들어 냉각에 사용되는, 가스들 및 유체들의 전달을 복잡하게 한다. 부가적으로, 페데스탈에 존재하는 가열 시스템들이 또한 비용 및 복잡도를 부가하는 로테이션을 필요로 한다.
이러한 맥락에서 본 개시가 발생한다.
본 실시예들은 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 PECVD 프로세스 및 ALD 프로세스 동안 개선된 막 균일도를 제공하는 것에 관한 것이다. 본 개시의 실시예들은 페데스탈의 로테이션 없이 웨이퍼의 로테이팅을 제공하고, 이는 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링한다.
본 개시의 실시예들은 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에 사용하기 위한 어셈블리를 포함한다. 어셈블리는 페데스탈의 중심 축으로부터 페데스탈 직경으로 연장하는 페데스탈 상단 표면을 갖는 페데스탈을 포함한다. 어셈블리는 페데스탈의 운동 (movement) 을 제어하기 위해 구성된 액추에이터 (actuator) 를 포함한다. 어셈블리는 액추에이터와 페데스탈 사이에서 연장하는 중심 샤프트를 포함하고, 중심 샤프트는 중심 축을 따라 페데스탈을 이동시키도록 구성된다. 어셈블리는 중심 축으로부터 패드 직경으로 연장하는 패드 상단 표면 및 페데스탈 상단 표면 상에 받쳐지도록 (rest) 구성된 패드 하단 표면을 갖는 리프트 패드를 포함한다. 패드 상단 표면은 웨이퍼가 상단에 배치될 때 웨이퍼를 지지하도록 구성된다. 어셈블리는 액추에이터와 리프트 패드 사이에서 연장하는 패드 샤프트를 포함하고, 액추에이터는 리프트 패드의 운동을 제어하기 위해 구성된다. 패드 샤프트는 페데스탈로부터 리프트 패드를 분리하도록 구성되고, 패드 샤프트는 중심 샤프트 내에 포지셔닝된다 (position). 리프트 패드는 리프트 패드가 페데스탈 상단 표면으로부터 프로세스 로테이션 변위 (rotation displacement) 만큼 분리되도록, 페데스탈이 상향 포지션에 있을 때, 중심 축을 따라 페데스탈 상단 표면에 대해 위로 이동하도록 구성된다. 리프트 패드는 적어도 제 1 각도 배향 (angular orientation) 과 제 2 각도 배향 사이에서 페데스탈로부터 분리될 때 페데스탈 상단 표면에 대해 로테이팅하도록 구성된다.
본 개시의 다른 실시예들은 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버에 사용하기 위한 어셈블리를 포함한다. 어셈블리는 페데스탈의 중심 축으로부터 페데스탈 직경으로 연장하는 페데스탈 상단 표면을 갖는 페데스탈을 포함하고, 페데스탈 상단 표면은 웨이퍼가 상단에 배치될 때, 웨이퍼를 지지하도록 구성된다. 어셈블리는 페데스탈 상단 표면에 중심이 있는 리세스 (recess) 를 포함하고, 리세스는 중심 축으로부터 리세스 직경으로 연장하고, 리세스는 리세스 높이를 갖고, 리세스는 리세스 하단 표면을 갖는다. 어셈블리는 페데스탈의 운동을 제어하기 위해 구성된 액추에이터를 포함한다. 어셈블리는 액추에이터와 페데스탈 사이에서 연장하는 중심 샤프트를 포함하고, 중심 샤프트는 중심 축을 따라 페데스탈을 이동시키도록 구성된다. 어셈블리는 중심 축으로부터 패드 직경으로 연장하는 패드 상단 표면을 갖는 리프트 패드를 포함하고, 리프트 패드는 리세스 내에 위치될 때 리세스 하단 표면 상에 받쳐지도록 구성된다. 어셈블리는 액추에이터와 리프트 패드 사이에서 연장하는 패드 샤프트를 포함하고, 액추에이터는 리프트 패드의 운동을 제어하기 위해 구성된다. 패드 샤프트는 페데스탈로부터 리프트 패드를 분리하도록 구성되고, 패드 샤프트는 중심 샤프트 내에 포지셔닝된다. 리프트 패드는 리프트 패드가 페데스탈 상단 표면으로부터 프로세스 로테이션 변위만큼 분리되도록, 페데스탈이 상향 포지션에 있을 때, 중심 축을 따라 페데스탈 상단 표면에 대해 위로 이동하도록 구성된다. 리프트 패드는 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈로부터 분리될 때 페데스탈 상단 표면에 대해 로테이팅하도록 구성된다.
또 다른 실시예에서, 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버를 동작시키는 방법이 개시된다. 방법은 어셈블리 상에 웨이퍼를 배치하는 단계를 포함하고, 어셈블리는 페데스탈 및 리프트 패드를 포함한다. 페데스탈은 중심 축으로부터 페데스탈 직경으로 연장하는 페데스탈 상단 표면을 포함하고, 리프트 패드는 페데스탈 상에 받쳐지도록 구성된다. 방법은 중심 축을 따라 위로 그리고 아래로 페데스탈의 운동을 제어하는 단계를 포함한다. 방법은 페데스탈을 프로세스 포지션으로 이동시키는 단계를 포함한다. 방법은 제 1 수의 프로세싱 사이클들을 수행하는 단계를 포함하고, 리프트 패드는 페데스탈 상단 표면에 대해 제 1 각도 배향으로 있다. 방법은 페데스탈을 상향 포지션으로 이동시키는 단계를 포함한다. 방법은 리프트 패드가 페데스탈 상단 표면으로부터 프로세스 로테이션 변위만큼 분리되도록, 그리고 리프트 패드 상에 배치된 웨이퍼가 페데스탈로부터 분리되도록, 페데스탈이 상향 포지션에 있을 때 중심 축을 따라 페데스탈 상단 표면에 대해 상향으로 리프트 패드를 상승시키는 단계를 포함한다. 방법은 페데스탈 상단 표면에 대해 제 2 각도 배향으로 페데스탈 상단 표면으로부터 분리될 때 리프트 패드를 페데스탈 상단 표면에 대해 로테이팅시키는 단계를 포함한다. 방법은 페데스탈 상에 받쳐지도록 리프트 패드를 하강시키는 단계를 포함한다. 방법은 페데스탈을 프로세스 포지션으로 이동시키는 단계를 포함한다. 방법은 제 2 수의 프로세싱 사이클들을 수행하는 단계로서, 리프트 패드는 제 2 각도 배향으로 있다.
이들 및 다른 장점들은 전체 명세서 및 청구항들을 판독함으로써 당업자에게 인식될 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1은 예를 들어, 웨이퍼 상에 막들을 형성하기 위해 웨이퍼를 프로세싱하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2는 일 실시예에 따라, 4 개의 프로세싱 스테이션들이 제공된, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3은 일 실시예에 따른, 인바운드 로드록 및 아웃바운드 로드록을 갖는 멀티-스테이션 프로세싱 툴의 실시예의 개략도를 도시한다.
도 4는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되는, 리프트 패드 및 페데스탈 구성을 포함하는 기판 프로세싱 시스템을 예시된다.
도 5a는 본 개시의 일 실시예에 따른, 도 4의 기판 프로세싱 시스템의 단면도이다.
도 5b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼를 매칭하도록 대략 사이즈가 결정되고, 페데스탈 및 리프트 패드가 웨이퍼 전달을 목적으로 리프트 핀 연장을 허용하는 레벨에 있는, 리프트 패드 및 페데스탈 구성을 도시하는 도 4의 기판 프로세싱 시스템의 단면도이다.
도 5c는 본 개시의 일 실시예에 따른, MCA들 (minimum contact areas) 을 설정하는 패드 갭을 포함하는 리프트 패드와 페데스탈 사이의 계면의 도면이다.
도 6은 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 기판 프로세싱 시스템을 예시한다.
도 7a는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 사시도이다.
도 7b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 단면도이다.
도 7c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 단면도이다.
도 7d는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 리프트 패드 대 페데스탈 계면의 단면도이다.
도 7e는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 리프트 패드의 상단 표면의 사시도이다.
도 7f는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 리프트 패드의 하단 표면의 사시도이다.
도 8은 본 개시의 일 실시예에 따른, 웨이퍼 상에 막을 증착하기 위해 구성된 프로세스 챔버를 동작시키는 방법을 예시하는 흐름도이고, 방법은 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅시키기 위해 제공되고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링한다.
도 9a 및 도 9b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다.
도 9c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼로 대략 사이즈가 결정되고, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드 및 페데스탈 구성의 페데스탈에 대해 리프트 패드의 배향을 예시하는 도면이다.
도 10a 및 도 10b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 리프트 패드는 (예를 들어, 엔드-이펙터 암을 통한) 웨이퍼의 전달을 허용하도록 구성되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다.
도 10c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면이다.
도 10d는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성의 페데스탈에 대한 리프트 패드의 배향을 예시하는 도면이다.
도 11은 기술된 시스템들을 제어하는 제어 모듈을 도시한다.
이하의 상세한 기술이 예시의 목적들로 많은 구체적인 상세들을 포함하지만, 임의의 당업자는 이하의 상세들에 대한 많은 변형들 및 변화들이 본 개시의 범위 내에 있다는 것을 인식할 것이다. 이에 따라, 이하에 기술된 본 개시의 양태들은 이하의 기술을 따르는 청구범위에 대한 어떠한 일반성도 손실하지 않고, 청구범위에 대한 제한들을 암시하지 않고 제시되었다.
일반적으로 말하면, 본 개시의 다양한 실시예들은 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 동안 개선된 막 균일도를 제공하는 시스템들 및 방법들을 기술한다. 구체적으로, 본 개시의 실시예들은 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하기 위해 페데스탈을 로테이팅시키지 않고 웨이퍼를 로테이팅시키기 위해 제공된다. 그런 식으로, 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들은 프로세싱 (예를 들어, PECVD, ALD, 등) 동안 전체 웨이퍼에 걸친 막 균일도를 달성하도록 최소화된다.
다양한 실시예들의 일반적인 이해와 함께, 실시예들의 예시적인 상세들은 이제 다양한 도면들을 참조하여 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 실시예들 및/또는 컴포넌들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 축척대로 도시되지 않을 수도 있고 신규한 개념들을 예시하고 강조하도록 의도된다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 ALD (atomic layer deposition) 프로세스들에서 형성된 바와 같이, 기판들 위에 막들을 증착하도록 사용될 수도 있는 반응기 시스템 (100) 을 예시한다. 이들 리액터들은 2 이상의 가열기들을 활용할 수도 있고, 공통 단말 구성들이 균일도 또는 커스텀 (custom) 설정들을 위해 온도들을 제어하도록 이 예시적인 예에서 사용될 수도 있다. 보다 구체적으로, 도 1은 웨이퍼 (101) 를 프로세싱하기 위해 사용되는, 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼이 일 실시예에서 전력 공급된 (powered) 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위한 것과 같은 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, 등을 포함할 수도 있다.
중심 컬럼은 리프트 핀들 (미도시) 을 또한 포함하고, 리프트 핀들 각각은 리프트 핀 제어부 (122) 에 의해 제어될 때 대응하는 리프트 핀 액추에이션 링 (120) 에 의해 액추에이팅된다. 리프트 핀들은 엔드-이펙터로 하여금 웨이퍼를 픽킹하고 (pick) 엔드-이펙터에 의해 배치된 후, 웨이퍼 (101) 를 하강시키게 하는 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스 (114), 예를 들어, 설비로부터 가스 화학물질 공급부들에 연결되는 가스 공급 매니폴드 (112) 를 더 포함한다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통해 프로세스 가스 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 웨이퍼 (101) 를 대면하는 샤워헤드 (150) 면 (face) 과 페데스탈 (140) 위에 받쳐지는 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분산된다. ALD 프로세스들에서, 가스들은 흡수 또는 흡수된 반응물질들과의 반응을 위해 선택된 반응물질들일 수 있다.
또한, 가스들은 미리 혼합되거나 (premix) 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 올바른 가스들이 전달되는 것을 보장하기 위해 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고 쓰로틀 밸브 또는 펜둘럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적합하게 저 압력을 유지한다.
페데스탈 (140) 의 외측 영역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심에서 웨이퍼 지지 영역으로부터 단차 다운된 부분 (step down) 인 캐리어 링 지지 영역 위에 놓이도록 구성된다. 캐리어 링은 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경, 및 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳에 가장 가까운 내측 반경을 포함한다. 캐리어 링의 웨이퍼 에지 측은 캐리어 링 (200) 이 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다. 다른 실시예들에서, 챔버는 단일-스테이션 챔버이다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 (예를 들어, 상단 챔버 부분 (102a) 이 예시를 위해 제거된) 하부 챔버 부분 (102b) 의 평면도이고, 4 개의 스테이션들이 스파이더 포크들 (226) 에 의해 액세스된다. 스파이더 포크 각각 또는 포크는 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 일부를 둘러싸도록 포지셔닝된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있는 것들을 수송하기 위해 (convey) 대시-선으로 도시된다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (226) 은 캐리어 링들 (200) (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 을 스테이션들로부터 동시에 상승 및 리프팅하고, 이어서 다른 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 다음 위치로 (캐리어 링들 중 적어도 하나는 웨이퍼 (101) 를 지지함) 캐리어 링들 (200) 을 하강시키기 전에 적어도 하나 이상의 스테이션들을 로테이팅하도록 구성된다.
도 3은 인바운드 로드록 (302) 및 아웃바운드 로드록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 (300) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (306) 이 대기 포트 (310) 를 통해 인바운드 로드록 (302) 내로 포드 (pod) (308) 를 통해 로딩된 카세트로부터 기판들을 이동시키도록 구성된다. 인바운드 로드록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드록 (302) 이 펌핑다운될 수도 있도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 붙여진 4 개의 프로세스 스테이션들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102b) 는 기판들이 진공 브레이크 (vacuum break) 및/또는 공기 노출을 경험하지 않고 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다.
도 3은 또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 스파이더 포크들 (226) 은 로테이팅하고 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하고, 웨이퍼 및 캐리어를 함께 다음 스테이션으로 로테이팅하는, 외측 하부 표면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 인에이블링함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
도 4는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성 (400) 을 포함하는 기판 프로세싱 시스템을 예시하고, 리프트 패드 (430) 는 상부에 배치된 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정된다. 일부 실시예들에서, 리프트 패드 (430) 는 캐리어 링 어셈블리와의 통합을 허용하도록 대략 사이즈가 결정된다. 리프트 패드 및 페데스탈 구성 (400) 은 멀티-스테이션 툴 및 단일-스테이션 프로세싱 툴을 포함하는, 도 1 내지 도 3의 시스템들 내에서 구현될 수도 있다.
리프트 패드 및 페데스탈 구성 (400) 은 리프트 패드 제어부 (455) 에 의해 제어되는 리프트 패드 (430), 및 페데스탈 제어부 (450) 에 의해 제어되는 페데스탈 (140') 을 포함한다. 중심 샤프트 (510') 는 페데스탈 (140') 에 커플링되고, 패드 샤프트 (560) 는 리프트 패드 (430) 에 커플링된다. 페데스탈 제어부 (450) 는 페데스탈 (140') 의 운동을 유도하기 위해 중심 샤프트 (510') 의 운동을 제어한다. 예를 들어, 페데스탈 제어부 (450) 는 프리-프로세싱 (pre-processing), 프로세싱, 및 포스트-프로세싱 (post-processing) 시퀀스들 동안 (예를 들어, 중심 축을 따라 상하로) 페데스탈 (140') 의 운동을 제어한다. 리프트 패드 제어부 (455) 는 리프트 패드 (430) 의 운동을 유도하기 위해 리프트 패드 샤프트 (560) 의 운동을 제어한다. 예를 들어, 리프트 패드 제어부 (455) 는 프리-프로세싱, 프로세싱, 및 포스트-프로세싱 시퀀스들 동안 (예를 들어, 중심 축 (471) 을 따라 상하로, 그리고 중심 축 (471) 을 중심으로 로테이팅하게 (rotationally)) 리프트 패드 (430) 의 운동을 제어한다. 구체적으로, 리프트 패드 및 페데스탈 구성 (400) 은 전체 페데스탈 (140') 을 로테이팅할 때와 비교하여 상당히 감소된 하드웨어 로테이션 시그니처를 갖는 웨이퍼의 로테이션을 제공한다. 즉, 웨이퍼가 로테이팅하는 동안 페데스탈 (140') 및/또는 챔버 (미도시) 는 리프트 패드 (430) 에 대하여 고정된 채로 남아 있기 때문에, 페데스탈 및 챔버 기반 비대칭들은 모두 필터링되어, 프로세싱 동안 웨이퍼 상에서 나타나는 하드웨어 페데스탈 및 챔버 시그니처들을 상당히 감소시킨다. 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 웨이퍼 프로세싱 동안 페데스탈을 로테이팅하지 않고, 리프트 패드를 사용한 웨이퍼 로테이션을 통해, 웨이퍼 도처에서 대칭적으로 분포될 수 있다.
리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 상에 배치될 때, (예를 들어, 전도를 통해) 페데스탈 (140') 을 직접적으로 가열하고, 리프트 패드 (430) 를 간접적으로 가열하도록 사용되는 복수의 가열 엘리먼트들 (470) 을 포함한다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (400) 은 일부 프로세스 모듈들에서, 페데스탈 (140') 을 냉각하기 위해 선택가능하게 복수의 냉각 엘리먼트들 (480) 을 포함한다.
리프트 패드 및 페데스탈 구성 (400) 은 이전에 기술된 바와 같이, 리프트 핀 제어부 (122) 에 의해 제어된 복수의 리프트 핀들을 갖는 동축 리프트 핀 어셈블리 (415) 를 포함하는 것으로 도시되는 중심 컬럼을 포함한다. 예를 들어, 리프트 핀들은 웨이퍼 전달 시퀀스들 동안 엔드-이펙터로 하여금 웨이퍼를 픽업하고 엔드-이펙터에 의해 배치된 후 웨이퍼를 하강시키게 하도록 리프트 패드 (430) 및 페데스탈 (140') 로부터 웨이퍼를 상승시키기 위해 사용된다.
리프트 패드 및 페데스탈 구성 (400) 은 벨로우즈 (420) 를 포함한다. 벨로우즈 (420) 는 리프트 핀 어셈블리 (415), 페데스탈, 또는 리프트 패드에 개별적으로 커플링되고, 리프트 핀들, 페데스탈, 또는 리프트 패드의 운동을 위해 구성된다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (400) 은 벨트-풀리 장치 (belt-pulley arrangement) (427) 에 로테이션 모터를 포함한다. 또한, 페로시일링 (ferroseal)(425) 이 진공 분위기에서 리프트 패드 (430) 의 로테이션을 용이하게 한다.
일 실시예에서, 웨이퍼 사이즈의 리프트 패드 (430) 는 정전 척 (ESC) 과 호환된다. ESC (570) 는 ESC (570) 가 활성인 동안 제자리에 웨이퍼를 홀딩하도록 정전 홀딩 힘을 유도하기 위해 고 전압으로 바이어스된 전극들을 포함하도록 구성된다. 또한, 일 실시예에서, 리프트 패드 및 페데스탈 구성 (400) 은, 특히, 리프트 패드 (430) 가 페데스탈 (140') 상에 받쳐지도록 이동될 때 리프트 패드 (430) 와 페데스탈 (140') 사이에 균일한 갭을 촉진하는 순응형 샤프트 섹션 (compliant shaft section)(435) 을 포함한다.
도 4에 도시된 바와 같이, 일 실시예에서, 볼 스크루 (ball screw) (437) (예를 들어, 왼쪽으로 돌리는) 가 프로세싱의 일 시퀀스 동안 페데스탈 (140') 의 반대방향으로 리프트 핀들을 구동하도록 구성된다. 예를 들어, 볼 스크루 (437) 는 페데스탈 (140') 이 웨이퍼 전달을 위해 최하측 (bottom-most) 포지션 근방으로 또는 최하측 포지션으로 이동되는 동안 리프트 핀들을 연장하기 위해 웨이퍼 전달 시퀀스 동안 인게이지될 수도 있다. 볼 스크루 (443) (예를 들어, 오른쪽으로 돌리는) 가 Z 방향으로 중심 축을 따라 페데스탈을 이동시키도록 사용된다. 예를 들어, 볼 스크루 (443) 는 Z-모터 (445) 를 사용하여 중심 축을 따라 Z-방향으로 페데스탈 (140') 을 구동하도록 구성된다. 이에 더하여, 쇼트-스트로크 (short-stroke) 커플링 메커니즘 (440) 이 도시된다.
도 5a는 본 개시의 일 실시예에 따른, 도 4의 기판 프로세싱 시스템의 단면도이다. 구체적으로, 도 5a는 리프트 패드 (430) 가 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정되는 리프트 패드 및 페데스탈 구성 (400) 을 예시한다.
단지 예시들을 목적으로, 페데스탈 (140') 은 제작하는 동안 복수의 가열 엘리먼트들 (470) 및 복수의 냉각 엘리먼트들 (480) 을 수용하기 위해 3 개의 세그먼트들로 형성된다. 페데스탈 (140') 은 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스를 사용하여 형성될 수도 있다는 것이 인식된다.
도 5a에 도시된 바와 같이, 페데스탈 (140') 및 리프트 패드 (430) 는 웨이퍼 전달 목적들을 위해 리프트 핀들 (557) 의 연장을 허용하는 레벨에 있다. 리프트 핀들 (557) 각각은 운동을 초래하도록 (effect) 대응하는 리프트 핀 지지부 (555) 에 커플링되고, 리프트 핀 지지부들 (555) 의 운동이 리프트 핀 제어부 (122) 에 의해 제어된다. 일 실시예에서, 페데스탈 (140') 은 중심 축 (471) 을 따라 이동하는 Z를 따라 최하측 포지션에 있다.
이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 샤프트 (510') 의 운동을 제어한다. 페데스탈 (140') 이 중심 샤프트 (510') 에 커플링되기 때문에, 중심 샤프트 (510') 의 운동이 페데스탈 (140') 로 전환된다 (translate). 이에 더하여, 리프트 패드 제어부 (455) 는 이전에 기술된 바와 같이, 패드 샤프트 (560) 의 운동을 제어한다. 리프트 패드 (430) 가 패드 샤프트 (560) 에 커플링되기 때문에, 패드 샤프트 (560) 의 운동이 리프트 패드 (430) 로 전환된다.
도 5b는 본 개시의 일 실시예에 따른, 도 4 및 도 5a 및 도 5b에서 이전에 도입된, 리프트 패드 및 페데스탈 구성 (400) 을 포함하는 어셈블리 (500B) 를 도시하는 도 4의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 (430) 는 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정된다. 여전히 또 다른 실시예에서, 리프트 패드 (430) 의 직경은 캐리어 링 (미도시) 을 수용하도록 사이즈가 결정된다. 리프트 패드 및 페데스탈 구성 (500A) 은 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들을 필터링하기 위해 페데스탈을 로테이팅하지 않고 리프트 패드를 사용하여 웨이퍼를 로테이팅함으로써 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 증착 프로세스들 (예를 들어, PECVD, ALD, 등) 동안 개선된 막 균일도를 제공한다. 구체적으로, 로테이팅하는 리프트 패드 (430) 는 전체 페데스탈 (140') 보다 훨씬 보다 박형이고, 따라서 리프트 패드 (430) 의 로테이션 시그니처는 가열기 엘리먼트들 (470) 및 냉각 엘리먼트들 (480) 을 포함하는 페데스탈 (140') 의 로테이션 시그니처보다 훨씬 보다 작다 (비대칭 하드웨어가 불균일도들에 기여함). 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 페데스탈을 로테이팅하지 않고, 리프트 패드를 사용하는 웨이퍼 로테이션을 통해 웨이퍼 프로세싱 동안 웨이퍼 전반에 대칭적으로 분포될 수 있다.
어셈블리 (500B) 에서, 페데스탈 (140') 은 페데스탈 (140') 의 중심 축 (471) 으로부터 연장하는 페데스탈 상단 표면 (533) 을 포함한다. 상단 표면 (533) 은 페데스탈 (140') 과 리프트 패드 (430) 사이에 계면을 제공하기 위해, 패드 샤프트 (510') 와 리프트 패드 (430) 간의 커플링을 용이하게 하도록 구성된 축 (471) 에 대해 중심의 리세스, 및 외측 림 (509) 을 형성하는 리세스와 같은 하나 이상의 리세스들을 포함할 수도 있다. 페데스탈 (140') 은 일반적으로 위에서 보았을 때 원형 형상을 갖고 페데스탈 직경으로 연장하는 것으로 기술될 수도 있지만, 페데스탈 (140') 의 풋프린트 (footprint) 는 캐리어 링 지지부 및 엔드-이펙터 액세스, 등과 같은 상이한 피처들을 수용하도록 정확한 원으로부터 가변할 수도 있다.
도시된 바와 같이, 페데스탈 (140') 은 페데스탈 (140') 의 운동을 제어하기 위해 구성되는, 액추에이터 (515) 로 연결된다. 구체적으로, 페데스탈 제어부 (450) 는 페데스탈 (140') 의 운동을 제어하기 위해 액추에이터 (515) 에 커플링된다. 즉, 중심 샤프트 (510') 가 중심 샤프트 (510') 가 액추에이터 (515) 와 페데스탈 (140') 사이에서 연장하도록 액추에이터 (515) 및 페데스탈 (140') 에 커플링된다. 중심 샤프트 (510') 는 중심 축 (471) 을 따라 페데스탈 (140') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515) 의 운동이 중심 샤프트 (510') 의 운동으로 전환되고, 이는 결국 페데스탈 (140') 의 운동으로 전환된다.
이에 더하여, 페데스탈 (140') 은 단지 예시들을 목적으로 3 개의 세그먼트들 (140a', 140b', 및 140c') 을 갖는 것으로 도시된다. 예를 들어, 페데스탈 (140') 은 복수의 가열 엘리먼트들 (470) 및/또는 복수의 냉각 엘리먼트들 (480) 을 제작하는 동안 형성을 수용하기 위한 3 개의 세그먼트들로 형성될 수도 있다. 이전에 개시된 바와 같이, 페데스탈 (140') 이 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스들을 사용하여 형성될 수도 있다고 인식된다.
어셈블리 (500B) 에서, 리프트 패드 (430) 는 중심 축 (471) 으로부터 연장하는 패드 상단 표면 (575) 을 포함한다. 일 실시예에서, 패드 상단 표면 (575) 은 패드 직경 (577) 으로 연장한다. 리프트 패드 (430) 는 페데스탈 상단 표면 (533) 상에 받쳐지도록 구성되는 패드 하단 표면 (543) 을 포함한다. 이에 더하여, 패드 상단 표면 (575) 은 웨이퍼가 상단에 위치될 때 웨이퍼를 지지하도록 구성된다.
이에 더하여, 리프트 패드 (430) 는 이전에 기술된 바와 같이 ESC (electrostatic chuck) 호환가능하다. 예를 들어, ESC 어셈블리 (570) 는 패드 상단 표면 (575) 아래에 배치된다. 정전 척 어셈블리 (570) 는 챔버 플로우 교란들 (disturbances) 로 인해 웨이퍼 운동을 방지하고 척에 대한 (즉, 리프트 패드 상단 표면 (575) 에 대한) 웨이퍼의 콘택트를 최대화한다. 풀-웨이퍼 ESC와 결합된 웨이퍼로 대략 사이즈가 결정된 리프트 패드 (430) 의 이점은 최소 웨이퍼 후면 (backside) 증착을 발생시킨다. 이에 더하여, 풀-웨이퍼 ESC는 트위스트 (twist) 및/또는 로테이팅하기 위해 디클램핑 (declamping) 을 요구하지 않는다.
도시된 바와 같이, 리프트 패드 (430) 는 리프트 패드 (430) 의 운동을 제어하기 위해 구성되는, 액추에이터 (515) 에 연결된다. 리프트 패드 제어부 (455) 는 리프트 패드 (430) 의 운동을 제어하기 위해 액추에이터 (515) 에 커플링된다. 즉, 패드 샤프트 (560) 가 액추에이터 (515) 와 페데스탈 (140') 사이에서 연장하도록 패드 샤프트 (560) 는 액추에이터 (515) 및 페데스탈 (140') 에 커플링된다. 패드 샤프트 (560) 는 페데스탈 (140') 에 연결되는 중심 샤프트 (510') 내에 구성된다. 구체적으로, 패드 샤프트 (560) 는 중심 축 (471) 을 따라 페데스탈 (140') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515) 의 운동은 패드 샤프트 (560) 의 운동으로 전환되고, 이는 결국 리프트 패드 (430) 의 운동으로 전환된다. 일 실시예에서, 액추에이터 (515) 는 리프트 패드 (430) 및 페데스탈 (140') 모두의 운동을 제어한다.
구체적으로, 패드 샤프트 (560) 는 페데스탈 (140') 로부터 리프트 패드 (430) 를 분리하도록 구성되고, 또한 도 9a 내지 도 9c와 관련하여 이하에 보다 완전히 기술될 것이다. 예를 들어, 리프트 패드 (430) 는 리프트 패드 (430) 가 리프트 패드 (430) 의 로테이션을 목적으로 프로세스 로테이션 변위만큼 페데스탈 상단 표면 (533) 으로부터 분리되도록 페데스탈 (140') 이 상향 포지션에 있을 때, 중심 축 (471) 을 따라 페데스탈 상단 표면 (533) 에 대해 위로 이동하도록 구성된다. 일 실시예에서, 리프트 패드 (430) 는 페데스탈 (140') 이 최상단 상향 포지션에 도달할 때 페데스탈 상단 표면 (533) 에 대해 위로 이동한다. 또한, 리프트 패드 (430) 가 페데스탈 상단 표면 (533) 으로부터 분리될 때, 리프트 패드 (430) 는 적어도 제 1 각도 배향과 제 2 각도 배향 사이 (예를 들어, 0 °와 180 ° 사이) 에서 페데스탈 (140') 의 페데스탈 상단 표면 (533) 에 대해 로테이팅하도록 구성된다. 패드 샤프트 (560) 는 또한 페데스탈 (140') 상에 받쳐지게 리프트 패드 (430) 를 하강시키도록 구성된다. 구체적으로, (도 5c에 도시된) 플렉서블 커플러 (435) 가 패드 샤프트 (560) 내에 포지셔닝되고, 그리고 페데스탈 (140') 위로 균일하게 리프트 패드 (430) 를 포지셔닝하도록 구성된다.
리프트 패드 (430) 로테이션을 준비하기 위해, 리프트 패드 (430) 는 일 실시예에서 페데스탈 (140') 에 대하여 상향으로 이동한다. 즉, 리프트 패드 (430) 는 리프트 패드 (430) 가 프로세스 로테이션 변위 (940) 만큼 (도 9b 참조) 페데스탈 상단 표면 (533) 으로부터 분리되도록, 그리고 리프트 패드 (430) 상에 배치된 웨이퍼가 또한 페데스탈 (140') 로부터 분리되도록 웨이퍼 프로세싱 동안 페데스탈 (140') 이 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있을 때 중심 축 (471) 을 따라 페데스탈 상단 표면 (533) 에 대해 위로 이동하도록 구성된다. 구체적으로, 리프트 패드 (430) 가 페데스탈 (140') 로부터 분리될 때, 리프트 패드 (430) 는 페데스탈 상단 표면 (533) 에 대해 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈 상단 표면 (533) 에 대해 로테이팅하도록 구성된다. 이 로테이션은 프로세싱 동안 페데스탈의 하드웨어 시그니처의 효과들을 감소시키고, 또한 프로세싱 동안 챔버 하드웨어 시그니처의 효과들을 감소시킨다. 부가적으로, 포커스 링 (미도시) 은 웨이퍼와 함께 로테이팅하지 않아, 프로세싱 동안 웨이퍼 상에서 하드웨어 시그니처를 감소시킨다.
어셈블리 (500) 는 복수의 리프트 핀들 (557) 을 포함하는 리프트 핀 어셈블리를 포함한다. 예시의 목적들로, 본 개시의 일 실시예에 따른, 페데스탈 (140') 및 리프트 패드 (430) 는 웨이퍼 전달의 목적들로 리프트 핀 (557) 연장을 허용하는 레벨에 있다. 구체적으로, 리프트 핀들 (557) 은 웨이퍼를 반송하는 엔드-이펙터 암 (미도시) (캐리어 링을 사용하거나 캐리어 링을 사용하지 않는) 이 웨이퍼를 리프트 핀들 (557) 로 전달하거나 리프트 핀들 (557) 로부터 웨이퍼를 수용할 포지션으로 궤도 수정될 수 있도록, 페데스탈 (140') 내에 배치된 복수의 페데스탈 샤프트들 (518) 을 통해 그리고 리프트 패드 (430) 의 복수의 리프트 패드 샤프트들 (519) 을 통해 리프트 패드 (430) 로부터 연장된다. 대응하는 페데스탈 샤프트들 (518) 및 패드 샤프트들 (519) 이 리프트 핀 (557) 을 수용하도록 배열되고 구성된다. 도시된 바와 같이, 하나 이상의 리프트 핀 샤프트들 및 대응하는 리프트 핀들은 웨이퍼 전달 동안 웨이퍼를 리프팅하고 배치하거나 제거하도록 리프트 핀 어셈블리 내에 구성될 수도 있다. 도시된 바와 같이, 리프트 핀들 (557) 각각은 운동을 초래하도록 대응하는 리프트 핀 지지부 (555) 에 커플링된다. 리프트 핀 지지부들 (555) 은 리프트 핀 액추에이터 (550) 에 커플링된다. 이에 더하여, 리프트 핀 제어부 (122) 는 리프트 핀들 (557) 의 운동을 초래하도록 리프트 핀 액추에이터 (550) 의 운동을 제어한다.
리프트 핀 지지부 (555) 는 임의의 형상 (예를 들어, 환형 링 워셔 (annular ring washer), 환형 베이스 (annular base) 로부터 연장하는 암, 등) 일 수도 있다. 구체적으로, 리프트 핀 어셈블리의 동작 동안, 리프트 핀 (557) 은 리프트 핀 지지부 (555) 에 부착되고, 리프트 핀 샤프트 내에서 웨이퍼 전달 및 프로세싱 동안 리프트 패드 상단 표면 (575) 위로 웨이퍼를 상승시키고 그리고/또는 패드 상단 표면 (575) 상에 받쳐지도록 웨이퍼를 하강시키기 위해 이동하도록 포지셔닝된다.
도 5c는 본 개시의 일 실시예에 따른, 특히, 프로세스 시퀀스들 동안 갭을 제어하고 그리고/또는 기계적으로 설정하기 위해 MCA들 (minimum contact areas) 을 설정하는 패드 갭을 포함하는 리프트 패드 (140) 와 페데스탈 (430) 사이의 계면의 도면이다. 이는 패드의 균일한 온도 및 임피던스 제어를 발생시킨다. 도 5c에 도시된 계면은 도 5a 및 도 5b에 도시된 리프트 패드들과 페데스탈들 사이의 계면들의 예시이다.
이는 증착 프로세스들 동안 리프트 패드 (430) 와 페데스탈 (140') 사이의 갭이 균일하고 작아지는데 유리하다. 예를 들어, PECVD 및 ALD 프로세싱은 예를 위해 온도 및 플라즈마 임피던스로 인한 불균일도 시그니처들을 나타낼 수 있다. 두 인자들은 웨이퍼와 페데스탈 사이의 갭에 민감하다. 갭의 사이즈를 최소화하는 것, 및 리프트 패드 및 페데스탈 구성에 걸쳐 갭의 균일도를 제어하는 것은 온도 및 플라즈마 임피던스에 의해 유발된 시그니처들을 감소시킨다.
구체적으로, 작은 갭은 리프트 패드 (430) 와 페데스탈 (140') 사이에서 RF (radio frequency) 에너지의 저 임피던스 커플링을 허용한다. 이에 더하여, 작은 갭은 보다 낮은 내열성을 제공하여, 가열 및/또는 냉각으로 하여금 페데스탈 (140') 로부터 리프트 패드 (430) 로 용이하게 수행되게 한다. 또한, 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일한 갭은 균일한 열 전달 및 균일한 RF 커플링을 보장한다.
도시된 바와 같이, 페데스탈 상단 표면 (533) 은 상단에 형성된 복수의 패드 지지부들 (595) (예를 들어, MCA들을 설정하는 패드 갭) 을 포함하고, 패드 지지부들은 페데스탈 상단 표면 (533) 위로 패드 지지 레벨에서 리프트 패드 (430) 를 지지하도록 구성된다. 페데스탈 (140') 의 세그먼트들 (140a' 및 140b') 이 도 5c에 도시된다. 이전에 기술된 바와 같이, 패드 지지부들 (595) 은 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일하고 작은 갭을 제공하여, 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일한 열 전달 및 균일한 RF 커플링을 보장한다. 보다 구체적으로, 리프트 패드 (430) 의 하단 표면 (543) 은 페데스탈 (430) 의 복수의 패드 지지부들 (595) 상에 받쳐지도록 구성된다. 예를 들어, 리프트 패드 (430) 가 복수의 패드 지지부들 (595) 상에 받쳐지도록 페데스탈 (140') 및 리프트 패드 (430) 는 프로세스 포지션 (예를 들어, 플라즈마 프로세싱, 처리 및/또는 막 증착을 수행할 때) 으로, 또는 프리-코트 포지션으로 구성될 수 있다. 이에 더하여, 리프트 패드 (430) 는 패드 지지부들 (595) 상에 받쳐질 때 페데스탈 (140') 과 함께 이동하도록 구성된다. 패드 지지부들은 DC, 저주파수, 및 RF 송신을 위해 전기적으로 도전성일 수도 있다.
도 6은 본 개시의 일 실시예에 따른, 리프트 패드 (630) 가 웨이퍼 (미도시) 보다 작은, 리프트 패드 및 페데스탈 구성 (600) 을 포함하는 기판 프로세싱 시스템을 예시한다. 리프트 패드 및 페데스탈 구성 (600) 은 멀티-스테이션 툴 및 단일-스테이션 프로세싱 툴을 포함하는, 도 1 내지 도 3의 시스템들 내에서 구현될 수도 있다.
리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 제어부 (455) 에 의해 제어되는 리프트 패드 (630), 및 페데스탈 제어부 (450) 에 의해 제어되는 페데스탈 (140'') 을 포함한다. 이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 축 (471') 을 따라 페데스탈 (140'') 의 운동을 제어하고, 리프트 패드 제어부 (455) 는 중심 축 (471') 을 중심으로 리프트 패드 (630) 의 운동 (예를 들어, 위, 아래, 그리고 로테이팅하게) 을 제어한다. 리프트 패드 및 페데스탈 구성 (600) 은 페데스탈 로테이션을 갖거나 페데스탈 로테이션을 갖지 않은 프로세싱 툴과 비교할 때, 상당히 감소된 하드웨어 로테이션 시그니처를 갖고, 리프트 패드 (630) 를 통해 웨이퍼 (미도시) 의 로테이션을 제공한다.
리프트 패드 및 페데스탈 구성 (600) 은 웨이퍼 풋프린트보다 작은 소형 리프트 패드 (630) 를 포함한다. 리프트 패드 및 페데스탈 구성 (600) 은 ESC가 선택될 때, 일부 증착 프로세스들에 적합할 수도 있다. 그 경우, 소형 리프트 패드 (630) 는 웨이퍼와 함께 로테이팅하지 않도록 프로세스 동안 웨이퍼를 지지하는 페데스탈 MCA들 (minimum contact areas) 을 허용하기 때문에, 선호된다. 그 경우, 웨이퍼의 갭을 조정하는 것은 웨이퍼를 명목상으로 로테이팅시키지 않고, 이는 하드웨어 비대칭에 대한 노출을 감소시킨다. 이에 더하여, 보다 작은 리프트 패드 (630) 는 또한 로테이팅되어야 하는 감소된 질량으로 추가 이점들을 제안하고, 시스템에 대한 보다 작은 기계적 응력들을 제공한다.
리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 (630) 의 표면에서의 온도를 페데스탈 (140'') 의 표면에 매칭하기 위해 리프트 패드 (630) 의 패드 샤프트 (560') 에 포함되는, 복수의 가열 엘리먼트들 (470') 및 열전대 (607) 를 포함한다. 페데스탈 (140'') 의 냉각 엘리먼트들은 일부 프로세스 모듈들에 포함될 수도 있다.
일 실시예에서, 도시되지 않지만, 이전에 기술된 바와 같이 리프트 패드 및 페데스탈 구성 (600) 은 선택가능하게 웨이퍼 전달을 제공하기 위해 리프트 핀 제어부 (122) 에 의해 제어되는 복수의 리프트 핀들을 갖는 리프트 핀 어셈블리를 포함한다. 플랜지 (605) 는 공축 (co-axial) 리프트 핀 어셈블리 (미도시) 에 포함된다. 또 다른 실시예에서, 소형 리프트 패드 (630) 는 리프트 핀 기능성을 제공하도록 사용될 수도 있고, 리프트 핀 어셈블리에 대한 필요성을 제거하고, 따라서 비용 및 패키징 이점들을 제공한다.
리프트 패드 및 페데스탈 구성 (600) 은 선택가능한 리프트 핀 어셈블리, 페데스탈 (140''), 또는 리프트 패드 (630) 에 독립적으로 커플링되고, 이들의 운동을 위해 구성되는, 벨로우즈 (420') 각각을 포함한다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (600) 은 또한 도 4에 도시된 벨트-풀리 장치보다 작은 벨트-풀리 장치 (미도시) 의 로테이션 모터를 포함한다. 페로시일링 (425') 은 진공 분위기에서 리프트 패드 (630) 의 로테이션을 용이하게 한다.
이에 더하여, Z-모터 (445') 는 중심 축 (471') 을 따라 Z-방향으로 페데스탈 (140'') 을 구동하도록 구성된다. 이에 더하여, 커플링 메커니즘 구동 슬라이드 (603) 는 페데스탈 및 중심 샤프트 (510'') 에 부착되고, Z-모터 (445') 에 부착된 볼 스크루에 부착되고, 모두 중심 축 (471') 을 따라 페데스탈 (140'') 의 운동을 용이하게 하도록 사용된다.
도 7a는 본 개시의 일 실시예에 따른, 도 6의 기판 프로세싱 시스템의 사시도이다. 구체적으로, 도 7a는 리프트 패드 (630) 가 웨이퍼 (미도시) 보다 작은 리프트 패드 및 페데스탈 구성 (600) 을 포함한다. 도 7a에 도시된 바와 같이, 페데스탈 (140'') 및 리프트 패드 (630) 는 웨이퍼 프로세싱을 허용하는 포지션들 및/또는 레벨들로 도시된다.
이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 샤프트 (510'') 의 운동을 제어한다. 페데스탈 (140'') 이 중심 샤프트 (510'') 에 커플링되기 때문에, 중심 샤프트 (510'') 의 운동은 페데스탈 (140'') 로 전환된다. 이에 더하여, 리프트 패드 제어부 (455) 는 이전에 기술된 바와 같이, 패드 샤프트 (560') 의 운동을 제어한다. 리프트 패드 (630) 가 패드 샤프트 (560') 에 커플링되기 때문에, 패드 샤프트 (560') 의 운동은 리프트 패드 (630) 로 전환된다.
리프트 패드 및 페데스탈 구성 (600) 의 페데스탈 (140'') 은 페데스탈 (140'') 의 중심 축 (471'') 으로부터 연장하는 페데스탈 상단 표면 (720) 을 포함한다. 복수의 웨이퍼 지지부들 (760) 이 상단 표면 (720) 상에 배치된다. 이에 더하여, 상승된 림 (710) 이 페데스탈 상단 표면 (720) 의 외측 에지 상에 배치되고, 상승된 림 (710) 은 페데스탈 (140'') 상에 배치되는 웨이퍼의 측방향 운동을 차단하도록 구성된다.
도 7b는 본 개시의 일 실시예에 따른, 도 6 및 도 7a에서 이전에 도입된, 리프트 패드 및 페데스탈 구성 (600) 을 포함하는 어셈블리 (700B) 를 도시하는 도 6의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 (630) 는 본 개시의 일 실시예에 따라 웨이퍼보다 작게 사이즈가 결정된다. 단지 예시를 목적으로, 페데스탈 (140'') 및 리프트 패드 (630) 는 웨이퍼 프로세싱을 허용하는 포지션들 및/또는 레벨들로 도시된다. 리프트 패드 및 페데스탈 구성 어셈블리 (700B) 는 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들을 필터링하기 위해 페데스탈을 로테이션시키지 않고 리프트 패드를 사용하여 웨이퍼를 로테이팅함으로써 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 증착 프로세스들 (예를 들어, PECVD, ALD, 등) 동안 개선된 막 균일도를 제공한다. 구체적으로, 로테이팅하는 리프트 패드 (630) 는 전체 페데스탈 (140'') 보다 훨씬 작고 훨씬 박형이어서, 리프트 패드 (630) 의 로테이션 시그니처는 가열기 엘리먼트들 (480') 을 포함하는, 페데스탈 (620) 의 로테이션 시그니처보다 훨씬 작다 (비대칭 하드웨어가 불균일도들에 기여함). 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 페데스탈을 로테이팅하지 않고 리프트 패드를 사용한 웨이퍼 로테이션을 통해 웨이퍼 프로세싱 동안 웨이퍼 전방에 대칭적으로 분포될 수 있다.
어셈블리 (700B) 에서, 페데스탈 (140'') 은 페데스탈 (140'') 의 중심 축 (471') 으로부터 연장하는 페데스탈 상단 표면 (720) 을 포함한다. 페데스탈 상단 표면 (720) 은 웨이퍼가 상단에 배치될 때 웨이퍼를 지지하도록 구성된다. 상단 표면 (720) 은 패드 샤프트 (510') 와 리프트 패드 (430) 간의 커플링을 용이하게 하도록 구성된 리세스 (705), 및 외측 림 (710) 을 형성하는 리세스와 같이 페데스탈 (140'') 과 리프트 패드 (630) 사이의 계면을 제공하기 위해 하나 이상의 리세스들을 포함할 수도 있다. 일반적으로 위에서 보았을 때 원형 형상을 갖고 페데스탈 직경으로 연장하는 것으로 페데스탈 (140'') 이 기술될 수도 있지만, 페데스탈 (140'') 의 풋프린트는 캐리어 링 지지부, 및 엔드-이펙터 액세스, 등과 같은 상이한 피처들을 수용하도록 원으로부터 가변할 수도 있다.
도시된 바와 같이, 페데스탈 (140'') 은 페데스탈 (140'') 의 운동을 제어하기 위해 구성되는, 액추에이터 (515') 에 연결된다. 구체적으로, 페데스탈 제어부 (450) 는 페데스탈 (140'') 의 운동을 제어하기 위해 액추에이터 (515') 에 커플링된다. 구체적으로, 중심 샤프트 (510'') 가 액추에이터 (515') 와 페데스탈 (140'') 사이에서 연장하도록 중심 샤프트 (510'') 는 액추에이터 (515') 및 페데스탈 (140'') 에 커플링된다. 중심 샤프트 (510'') 는 중심 축 (471') 을 따라 페데스탈 (140'') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515') 의 운동은 중심 샤프트 (510'') 의 운동으로 전환되고, 이는 결국 페데스탈 (140'') 의 운동으로 전환된다.
일 실시예에서, 페데스탈 상단 표면 (720) 은 상단에 형성된 복수의 웨이퍼 지지부들 (미도시) 을 포함하고, 웨이퍼 지지부들은 페데스탈 상단 표면 (720) 위의 웨이퍼 지지 레벨에서 웨이퍼 (590) 를 지지하도록 구성된다. 웨이퍼 지지부들은 페데스탈 (140'') 과 상단에 배치된 임의의 웨이퍼 (590) 사이에 균일하고 작은 갭을 제공한다.
페데스탈 (140'') 은 페데스탈 상단 표면 (720) 에 중심이 위치되고 중심 축 (471') 으로부터 연장하는 리세스 (705) 를 포함하고, 리세스 (705) 는 리세스 높이를 갖고, 리세스 (705) 는 리세스 하단 표면 (706) 을 갖는다. 즉, 리세스 (705) 는 페데스탈 상단 표면 (720) 의 중심 부분 위에 놓인다. 일 실시예에서, 리세스 하단 리세스 표면 (706) 은 상단에 형성된 복수의 패드 지지부들을 포함하고, 패드 지지부들 (예를 들어, MCA들) 은 하단 리세스 표면 (706) 위의 패드 지지 레벨에서 리프트 패드 (630) 를 지지하도록 구성된다. 또 다른 실시예에서, MCA들은 도 7f와 관련하여 더 기술된 바와 같이, 리프트 패드 (630) 의 하단 표면 상에 배치된다.
이에 더하여, 페데스탈 (140'') 은 단지 예시를 목적으로, 2 개의 세그먼트들 (140a'' 및 140b'') 을 갖는 것으로 도시된다. 예를 들어, 페데스탈 (140'') 은 복수의 가열 엘리먼트들 (471') 및/또는 복수의 냉각 엘리먼트들 (미도시) 을 제작하는 동안 형성을 수용하도록 2 개의 세그먼트들 내에 형성될 수도 있다. 이전에 개시된 바와 같이, 페데스탈 (140'') 은 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스들을 사용하여 형성될 수도 있다는 것이 인식된다.
어셈블리 (700B) 에서, 리프트 패드 (630) 는 중심 축 (471') 으로부터 패드 직경 (777) 으로 연장하는 패드 상단 표면 (775) 을 포함한다. 리프트 패드 (630) 는 리세스 (705) 내에 위치될 때 리세스 하단 표면 (706) 상에 받쳐지도록 구성되고, 리세스 (705) 는 리프트 패드 (630) 를 수용하도록 구성된다. 구체적으로, 리프트 패드 상단 표면 (775) 은 프로세스 포지션에서와 같이 (예를 들어, 플라즈마 프로세싱, 처리 및/또는 막 증착을 수행할 때), 웨이퍼 (590) 가 페데스탈 (140'') 의 웨이퍼 지지부들 상에 놓일 때, 웨이퍼 (590) 아래에 있다. 즉, 리프트 패드 상단 표면 (775) 은 리프트 패드 (630) 의 패드 하단 표면 (632) 이 복수의 패드 지지부들 (예를 들어, MCA들 (745)) 상에 받쳐질 때 웨이퍼 지지 레벨 아래에 놓인다. 또한, 리프트 패드 (630) 는 패드 지지부들 상에 받쳐질 때 페데스탈 (620) 과 함께 이동하도록 구성된다.
도시된 바와 같이, 리프트 패드 (630) 는 리프트 패드 (630) 의 운동을 제어하기 위해 구성되는 액추에이터 (515') 에 연결된다. 예를 들어, 리프트 패드 제어부 (455) 는 리프트 패드 (630) 의 운동을 제어하기 위해 액추에이터 (515') 에 커플링된다. 구체적으로, 패드 샤프트 (560') 가 액추에이터 (515') 와 페데스탈 (140'') 사이에서 연장하도록, 패드 샤프트 (560') 는 액추에이터 (515') 및 페데스탈 (140'') 에 커플링된다. 패드 샤프트 (560') 는 페데스탈 (140'') 에 연결되는 중심 샤프트 (510'') 내에 구성된다. 구체적으로, 패드 샤프트 (560') 는 중심 축 (471') 을 따라 리프트 패드 (630) 를 이동시키도록 구성된다. 이와 같이, 액추에이터 (515') 의 운동은 패드 샤프트 (560'') 의 운동으로 전환되고, 이는 결국 리프트 패드 (630) 의 운동으로 전환된다. 일 실시예에서, 액추에이터 (515') 는 리프트 패드 (630) 및 페데스탈 (140'') 모두의 운동을 제어한다.
구체적으로, 도 10a 내지 도 10d와 관련하여 이하에 보다 환전히 기술될 바와 같이, 패드 샤프트 (560') 는 리프트 패드 로테이션을 위해 페데스탈 (140'') 로부터 리프트 패드 (630) 를 분리하도록 구성된다. 예를 들어, 리프트 패드 (630) 는 리프트 패드 (630) 가 리프트 패드 (630) 를 로테이팅할 목적으로 프로세스 로테이션 변위만큼 페데스탈 상단 표면 (720) 으로부터 분리되도록, 페데스탈 (140'') 이 상향 포지션으로 있을 때 중심 축 (471') 을 따라 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다. 패드 샤프트 (560') 는 또한 페데스탈 (140') 상에 받쳐지도록 리프트 패드 (430) 를 하강시키도록 구성된다. 일 실시예에서, 리프트 패드 로테이션을 준비하기 위해, 리프트 패드 (630) 는 페데스탈 (140'') 에 대해 상향으로 이동한다. 즉, 리프트 패드 (630) 가 프로세스 로테이션 변위 (1040) (도 10b 및 도 10c 참조) 만큼 페데스탈 상단 표면 (720) 으로부터 분리되도록, 그리고 리프트 패드 (630) 상에 배치된 웨이퍼가 페데스탈 (140'') 로부터 분리되도록 리프트 패드 (630) 는 페데스탈 (140'') 이 상향 포지션에 있을 때 중심 축 (471'') 을 따라 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다. 일 실시예에서, 페데스탈 (140'') 은 리프트 패드 (630) 로테이션 동안 최상단 상향 포지션에 있다. 구체적으로, 리프트 패드 (630) 가 페데스탈 (140'') 로부터 분리될 때, 리프트 패드 (630) 는 적어도 제 1 각도 배향과 제 2 각도 배향 사이 (예를 들어, 0 ° 와 180 ° 사이) 에서 페데스탈 상단 표면 (720) 에 대해 로테이팅하도록 구성된다. 이 로테이션은 프로세싱 동안 페데스탈의 하드웨어 시그니처의 효과들을 감소시키고, 또한 프로세싱 동안 챔버 하드웨어 시그니처의 효과들을 감소시킨다.
다른 실시예들에서, 리프트 패드 (630) 는 웨이퍼 전달 및 프로세싱 동안 웨이퍼를 상승 및 하강시키도록 리프트 핀 기능성을 제공한다. 구체적으로, 리프트 패드 (630) 가 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 중심 페데스탈 상단 표면 (621) 으로부터 분리되도록 페데스탈이 최하단 하향 포지션에 있을 때, 리프트 패드 (630) 는 중심 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다.
도 7b에 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (600) 의 페데스탈 (140'') 은 페데스탈 상단 표면 (720) 의 외측 에지 상에 배치된 상승된 림 (710) 을 포함하고, 상승된 림 (710) 은 페데스탈 (140'') 상에 배치되는 웨이퍼의 측방향 운동을 차단하도록 구성된다. 즉, 림 (710) 은 웨이퍼의 운동을 차단하기 충분한 높이의 페데스탈 상단 표면 (720) 위의 단차 (step) 이다. 예를 들어, 웨이퍼가 페데스탈 상단 표면 (720) 상에 받쳐질 때 상승된 림 (710) 은 웨이퍼의 측방향 운동을 차단하는 그루브를 형성한다.
도 7c는 본 개시의 일 실시예에 따른, 도 6, 도 7a 및 도 7b에서 이전에 도입된 구성들에 기초하여, 리프트 패드 (630) 가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성 (600') 을 포함하는 어셈블리 (700C) 를 도시하는 도 6의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 및 페데스탈 구성 (600') 은 페데스탈 (140''') 및 리프트 패드 (630) 를 포함한다. 보다 구체적으로, 도 7c의 리프트 패드 및 페데스탈 구성 (600') 은 도 7b의 리프트 패드 및 페데스탈 구성 (600) 과 유사하고, 도 7b와 관련하여 이전에 기술된 동일한 이점들 및 장점들 (예를 들어, 증착 프로세스들 동안 개선된 막 균일도) 을 제공한다. 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 페데스탈을 로테이팅하지 않고 리프트 패드를 사용한 웨이퍼 로테이션을 통해 웨이퍼 프로세싱 동안 웨이퍼 전반에 대칭적으로 분포될 수 있다. 그러나, 리프트 패드 및 페데스탈 구성 (600') 은 또한 대응하는 웨이퍼 (예를 들어, 웨이퍼 (590)) 의 전달을 위해 구성되는 리프트 핀 어셈블리를 포함한다.
어셈블리 (700C) 의 리프트 핀 어셈블리는 복수의 리프트 핀들 (557') 을 포함한다. 예시를 목적으로, 본 개시의 일 실시예에 따른, 페데스탈 (140''') 및 리프트 패드 (630) 는 웨이퍼 전달의 목적들을 위해 리프트 핀 (557') 연장을 허용하는 레벨에 있다. 구체적으로, (캐리어 링을 사용하거나 사용하지 않고) 웨이퍼를 반송하는 엔드-이펙터 암 (미도시) 이 웨이퍼를 리프트 핀들 (557') 로 전달하기 위한 포지션 또는 리프트 핀들 (557') 로부터 웨이퍼를 수용하기 위한 포지션으로 조종될 수 있는 방식으로 리프트 핀들 (557') 은 중심 축 (471') 으로부터 변위되고 페데스탈 (140'') 내에 배치된 복수의 페데스탈 샤프트들 (518') 로부터 연장한다. 대응하는 페데스탈 샤프트들 (518') 이 대응하는 리프트 핀 (557') 을 수용하도록 구성된다. 도시된 바와 같이, 하나 이상의 페데스탈 샤프트들 (518') 및 대응하는 리프트 핀들 (557') 은 웨이퍼 전달 동안 웨이퍼를 위로 리프트하고 배치하거나 제거하도록 리프트 핀 어셈블리 내에 구성될 수도 있다. 도시된 바와 같이, 리프트 핀들 (557') 각각은 대응하는 리프트 핀 지지부 (555') 에 커플링되고 웨이퍼 전달 및 프로세싱 동안 페데스탈 상단 표면 (720) 위로 웨이퍼를 상승시키고 그리고/또는 웨이퍼를 페데스탈 상단 표면 (720) 으로 하강시키도록 페데스탈 샤프트 (518') 내에서 이동하도록 포지셔닝된다. 리프트 핀 지지부 (555') 는 중심 축 (471') 에 평행한 페데스탈 상단 표면 (720) 에 대해 이동하도록 구성된다. 또한, 리프트 핀 지지부들 (555') 은 리프트 핀 액추에이터 (550') 에 커플링된다. 이에 더하여, 이전에 도입된 리프트 핀 제어부 (122) 가 리프트 핀들 (557') 의 운동에 영향을 주도록 리프트 핀 액추에이터 (550') 의 운동을 제어한다. 리프트 핀 지지부 (555') 는 임의의 형상 (예를 들어, 환형 링 워셔, 환형 베이스로부터 연장하는 암, 등) 일 수도 있다.
도 7d는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 도 7a 내지 도 7c의 리프트 패드 및 페데스탈 구성 (600 또는 600') 을 포함하는 도 6의 기판 프로세싱 시스템의 리프트 패드 대 페데스탈 계면의 단면도이다.
고온 베어링 (755) 이 패드 샤프트 (560') 내에 포지셔닝되고 페데스탈 (140' 또는 140'') 의 리세스 (705) 내에 균일하게 리프트 패드 (630) 를 포지셔닝하도록 구성된다. 고온을 다루기 (handle) 위해, 마모 표면들은 사파이어와 같은 단단한, 화학적으로 양립가능한 재료로 이루어지는 것이 바람직하다. 베어링 중심 (centering) 은 베어링 컴포넌트들, 샤프트, 및 페데스탈 재료들의 상대적인 열 팽창에 둔감하다 (insensitive). 일 실시예에서, 사파이어 베어링 링들의 원추형 클램핑 표면이 고온 및 부식성 동작에 적합한 재료의 부하 분배 워셔들, 스프링 워셔들 (spring washers), 및 유지 링들의 어셈블리를 사용하는 스프링 부하일 수도 있다. 베어링은 중심이 위치된 포지션에서 최소 에너지로 클램핑되고 온도의 변화들로 중심이 위치된 것을 유지한다. 사파이어 콘택트 링은 보다 부드러운 (soft) 페데스탈 재료의 들어감 (indentation) 을 방지한다.
구체적으로, 리프트 패드 (630) 와 페데스탈 (140''/140''') 사이의 계면이 도시되고, 특히, 프로세스 시퀀스들 동안, 갭을 제어하고 그리고/또는 기계적으로 설정하도록 MCA들을 설정하는 패드 갭을 포함한다. 예를 들어, 도 7d는 리프트 패드 (630) 내로 늘어진 (swag) 사파이어 볼들 (740 및 745) (예를 들어, MCA들) 을 도시한다. 구체적으로, 볼들 (740 및 745) 은 프로세스 온도에서 대략 OS (operating system) 수 ㎜로 대응하는 표면 위로 약간 돌출한다. 사파이어 볼들은 불량한 열 전도 재료와의 콘택트를 통해 열 전도를 최소화하도록 MCA (minimum contact area) 의 페데스탈 (140''/140''') 과 콘택트하도록 작용한다. 또한, 사파이어 콘택트 링은 보다 부드러운 페데스탈 재료의 들어감 (indenting) 을 방지한다.
예를 들어, 도 7e는 본 개시의 일 실시예에 따른, MCA들 (740) 을 포함하는, 도 7d에 도시된 리프트 패드 (630) 의 상단 표면 (631) 의 사시도이다. 일 실시예에서, 리프트 패드 (630) 가 리세스 하단 표면 (706) 상에 받쳐질 때, 패드 상단 표면 (631) 이 웨이퍼 지지 레벨 아래에 있도록, 웨이퍼 관련 (referencing) MCA들 (740) 이 상단 표면 (631) 위로 0.002"에 포지셔닝된다. 일 실시예에서 페데스탈 (720) 의 상단 표면 상에 위치된 별도의 페데스탈 웨이퍼 지지부들 (예를 들어, MCA들) 이 대략 0.002" 이상만큼 보다 높기 때문에, 리프트 패드 (630) 가 페데스탈 (140''/140''') 상에 받쳐질 때 웨이퍼 관련 MCA들 (740) 은 웨이퍼 (590) 와 콘택트하지 않는다. 페데스탈 (140''/140''') 의 페데스탈 상단 표면 (720) 상에 배치된 웨이퍼 지지부들은 상단 표면 (720) 위로 웨이퍼 지지 레벨로 상단에 배치될 때 웨이퍼 (590) 를 지지하도록 구성된다.
또한, 도 7f는 본 개시의 일 실시예에 따른, MCA들 (745) 을 포함하는 도 7d에 도시된 리프트 패드 (630) 의 하단 표면 (632) 의 사시도이다. 일 실시예에서, 웨이퍼 관련 MCA들 (745) 은 하단 표면 (632) 위로 0.004"이다. 이는 페데스탈 (140''/140''') 에 균일하고, 반복될 수 있는 내열성을 제공하기 위해 리프트 패드 (630) 와 페데스탈 (140''/140''') 사이에 균일하고, 반복될 수 있는 갭을 보장한다. 일 실시예에서, MCA들 (745) 은 리세스 하단 표면 (706) 위로 패드 지지 레벨로 리프트 패드 (630) 를 지지하도록 구성되는, 리세스 하단 표면 (706) 상에 배치된 복수의 패드 지지부들 (미도시) 와 함께 작동한다.
도 8은 본 개시의 일 실시예에 따른, 웨이퍼 상에 막을 증착하기 위해 구성된 프로세스 챔버를 동작시키는 방법을 예시하는 흐름도 (800) 이고, 방법은 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이팅을 제공한다. 본 개시의 실시예들에서 흐름도 (800) 는 도 1 내지 도 7의 시스템들 및 리프트 패드 및 페데스탈 구성들 내에서 구현된다. 흐름도 (800) 의 동작들은 도 4 및 도 5a 내지 도 5c 실시예들에서 도시된 바와 같이 웨이퍼-사이즈 리프트 패드 및 페데스탈 구성에 적용가능하고, 다른 실시예들에서, 도 6 및 도 7a 내지 도 7f에 도시된 바와 같이, 웨이퍼보다 작게 사이즈가 결정된 리프트 패드를 포함하는 리프트 패드 및 페데스탈 구성에 적용가능하다.
동작 805에서, 방법은 웨이퍼를 수용하도록 리프트 패드 및 페데스탈 구성을 하향 포지션으로 이동시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 이의 최하단 하향 포지션에 있다. 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성에서, 리프트 핀들은 웨이퍼 전달을 위해 연장될 수도 있다. 리프트 핀 어셈블리를 포함하지 않는 리프트 패드 및 페데스탈 구성에서, (예를 들어, 웨이퍼보다 작은) 리프트 패드는 웨이퍼 전달 목적들을 위해 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 상단 표면으로부터 분리될 수도 있다. 동작 810에서, 웨이퍼가 리프트 패드 및 페데스탈 구성을 포함하는 어셈블리 상으로 배치되고, 리프트 패드는 페데스탈 상에 받쳐지도록 구성된다. 예를 들어, 이는 연장된 리프트 핀들 상으로 웨이퍼를 배치하는 단계, 또는 연장된 리프트 패드 상에 웨이퍼를 배치하는 단계를 수반할 수도 있다. 웨이퍼가 페데스탈 상단 표면, 리프트 패드 상단 표면, 또는 ESC 척 표면의 웨이퍼 지지부들 상에 받쳐지도록 리프트 핀들 또는 리프트 패드는 하강된다.
페데스탈이 페데스탈의 중심 축을 따라 상하로 이동되도록 페데스탈 운동이 제어된다. 일 실시예에서, 커플링 메커니즘은 페데스탈의 운동을 리프트 패드 및 페데스탈 구성의 리프트 패드로 전환한다. 예를 들어, 동작 820에서 웨이퍼가 전달된 후 리프트 패드 및 페데스탈 구성이 프로세스 포지션으로 이동된다. 프로세스 포지션에서, 리프트 패드는 이전에 기술된 바와 같이 페데스탈 상에 받쳐진다. 또한, 리프트 패드는 페데스탈 및/또는 챔버에 대하여 제 1 배향에 있다. 제 1 배향은 임의적일 수도 있다. 예를 들어, 리프트 패드 및 페데스탈 모두 챔버 내에서 0 °각도 배향으로 포지셔닝될 수도 있다.
동작 825에서, 방법은 제 1 배향에서 제 1 수의 프로세싱 사이클들로 웨이퍼를 프로세싱하는 것을 포함한다. 예를 들어, 하나 이상의 막들의 증착은 ALCVD (atomic layer chemical vapor deposition) 로 공지되는, ALD (atomic layer deposition) 프로세스를 구현할 수도 있다. ALD는 매우 컨포멀하고 (conformal), 매끄럽고, 우수한 물리적 속성들을 보유하는 매우 박형의 막들을 생성한다. ALD는 가열된 기판 위로 순차적으로 도입 (또는 펄싱) 되는 휘발성 가스들, 고체들 또는 증기들을 사용한다. 일 ALD 사이클에서, 4 개의 동작들이 수행되고 A-P-B-P 시퀀스로 규정될 수 있다. 단계 A에서, 제 1 전구체가 가스로서 도입되고, 기판 내로 흡수 (또는 흡착) 된다. 단계 A 직후의 단계 P에서, 리액터 챔버는 가스성 전구체를 내보낸다 (cleared). 단계 B에서, 제 2 전구체가 가스로서 도입되고, 목표된 재료의 단층 (monolayer) 을 형성하도록 흡수된 전구체와 반응한다. 단계 B 직후 단계 P에서, 리액터 챔버는 다시 가스성 제 2 전구체를 내보낸다. 이 A-P-B-P 시퀀스를 조절함으로써, ALD에 의해 생성된 막들은 기판 위에 2 이상의 반응성 가스들의 순차적인 플로우를 반복적으로 스위칭함으로써 한번에 단층이 증착된다. 그런 방식으로, 막의 두께는 A-P-B-P 시퀀스의 수행된 수의 사이클들에 따라 조절될 수도 있다. 제 1 수의 사이클들은 값 X로 규정될 수도 있다. 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅할 수 있는 리프트 패드 및 페데스탈 구성을 개시하는, 본 실시예들을 예시하기 위해, X 수의 사이클들은 50 사이클들일 수도 있다.
동작 830에서, 페데스탈을 상향 포지션으로 상승시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 최상단 상향 포지션으로 상승된다. 페데스탈을 상향 포지션으로 이동시킴으로써, 리프트 패드는 또한 리프트 패드 상에 배치된 웨이퍼가 페데스탈 (820) 로부터 분리되도록, 페데스탈 (예를 들어, 페데스탈의 상단 표면) 에 대해 상향으로 상승된다. 일 실시예에서, 페데스탈이 이동의 상단부 근방에 있을 때 커플링 메커니즘이 리프트 패드를 상승시킨다. 즉, 리프트 패드 (830) 의 페데스탈 (820) 에 대한 표면 콘택트가 깨지면 (broken), 리프트 패드로 하여금 자유롭게 로테이팅하게 한다. 구체적으로, 리프트 패드는 프로세스 로테이션 변위 (예를 들어, 대략 1 ㎜) 만큼 페데스탈로부터 분리된다. 이러한 방식으로, 리프트 패드 상에 배치되거나 리프트 패드에 의해 지지되는 웨이퍼는 또한 페데스탈로부터 분리된다.
동작 840에서, 방법은 리프트 패드 (830) 가 페데스탈 (820) 로부터 분리될 때, 페데스탈 (820) (예를 들어, 페데스탈의 상단 표면) 에 대해 리프트 패드 (830) 를 로테이팅하는 단계를 포함한다. 구체적으로, 리프트 패드 (830) 는 페데스탈 (820) 에 대해 제 1 배향으로부터 제 2 배향으로 로테이팅한다. 예를 들어, 제 2 배향은 제 1 배향 (예를 들어, 0 °의 제 1 배향) 으로부터 180 °일 수도 있다.
동작 845에서, 방법은 페데스탈 상에 받쳐지도록 리프트 패드를 하강시키는 것을 포함한다. 또한, 동작 850에서, 방법은 페데스탈, 그리고 대응하여 리프트 패드를 프로세스 포지션으로 다시 이동시키는 단계를 포함한다. 일 실시예에서, 845 및 850에서 수행된 동작들이 페데스탈을 프로세스 포지션으로 다시 하강시킴으로써, 리프트 패드가 또한 리프트 패드가 페데스탈 상에 받쳐질 때까지 하강되도록 커플링 메커니즘의 작동 (action) 을 통해 동시에 발생한다.
동작 855에서, 방법은 리프트 패드는 페데스탈에 대해 제 2 배향으로 있는, 제 2 수의 프로세싱 사이클들 (예를 들어, 사이클 각각은 A-P-B-P 시퀀스를 포함함) 동안 웨이퍼를 프로세싱하는 것을 포함한다. 제 2 수의 사이클들은 값 Y로 규정될 수도 있다. 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅할 수 있는 리프트 패드 및 페데스탈 구성을 개시하는 본 실시예들을 예시하기 위해, Y 수의 사이클들은 50 사이클들일 수도 있다.
그런 방식으로, 막의 두께는 A-P-B-P 시퀀스를 수행한 사이클들의 총 수 (예를 들어, X+Y) 에 따라 조절될 수도 있다. 웨이퍼가 또한 제 2 수의 사이클들 동안 페데스탈에 대해 로테이팅하기 때문에, 챔버 비대칭 및 페데스탈 비대칭 모두 필터링되고, 이는 웨이퍼 프로세싱 동안 개선된 막 균일도를 제공한다.
상기 제공된 예에서, 제 1 수의 사이클들은 X이고, 제 2 수의 사이클들은 Y이고, X와 Y 모두 A-P-B-P 시퀀스를 수행하는 100 사이클들의 총 수에 대해 50 사이클들을 포함한다. 즉, 제 1 수의 프로세싱 사이클들 (X) 은 제 1 배향으로 수행된 사이클들의 총 수의 1/2일 수도 있고, 그리고 제 2 수의 프로세싱 사이클들 (Y) 은 제 2 배향으로 수행된 사이클들의 총 수의 1/2일 수도 있다. 이와 같이, 50 사이클들은 제 1 각도 배향 (예를 들어, 0 °) 으로 수행되고, 그리고 또 다른 50 사이클들은 제 2 각도 배향 (예를 들어, 180 °) 으로 수행된다.
본 개시의 실시예들이 제 1 배향 및 제 2 배향을 참조하여 기술되지만, 다른 실시예들은 하나 이상의 배향들 (예를 들어, 1, 2, 3, 등) 을 사용하여 웨이퍼 프로세싱을 수행하는데 적절하다. 배향들은 일 실시예에서 동일한 각도들로 분리될 수도 있고, 또는 또 다른 실시예에서 동일하지 않은 각도들로 분리될 수도 있다. 또한, 배향 각각에서 웨이퍼 프로세싱 (예를 들어, ALD, PECVD, 등) 의 하나 이상의 사이클들이 수행된다. 배향 각각에서 수행된 사이클들의 수는 일 실시예에서, 동일하게 분배될 수도 있고 또는 또 다른 실시예에서 동일하지 않게 분배될 수도 있다. 즉, 다른 실시예들은 (예를 들어, 리프트 패드와 페데스탈 사이에서) 2 이상의 상대적인 각도 배향들로 사이클들의 2 이상의 세트들이 적절하고, 세트 각각은 동일한 수의 프로세싱 사이클들 (예를 들어, 사이클 각각은 A-P-B-P 시퀀스를 포함함), 또는 상이한 수들의 프로세싱 사이클들을 포함할 수도 있다.
860에서, 방법은 리프트 패드 및 페데스탈 구성을 포함하는 어셈블리로부터 웨이퍼 제거를 위해 하향 포지션으로 리프트 패드 및 페데스탈 구성을 이동시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 최하단 하향 포지션에 있다. 이전에 기술된 바와 같이, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성에서, 리프트 핀들은 웨이퍼 전달을 위해 연장될 수도 있다. 리프트 핀 어셈블리를 포함하지 않는 리프트 패드 및 페데스탈 구성에서, (예를 들어, 웨이퍼보다 작은) 리프트 패드는 웨이퍼 전달 목적들을 위해 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 상단 표면으로부터 분리될 수도 있다. 이와 같이, 웨이퍼는 엔드-이펙터 암을 사용하여 연장된 리프트 핀들 또는 연장된 리프트 패드로부터 제거될 수도 있다.
도 9a 및 도 9b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다.
구체적으로, 도 9a는 도 4 및 도 5a 및 도 5b에서 처음 도입된 웨이퍼 사이즈 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140'), 리프트 패드 (430), 및 리프트 핀들 (557) 을 포함하는 리프트 핀 어셈블리를 포함한다. 전달 포지션에서, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 이 페데스탈 상에 받쳐진 리프트 패드를 사용하여 하향 포지션에 있도록 구성된다. "A"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 핀들 (557) 은 웨이퍼 전달 목적들을 위해 리프트 패드 (430) 의 상단 표면으로부터 연장된다. 도 9a는 또한 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시하고, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 (undercoat) 층이 프로세스 챔버 내에서 증착된다. "B"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 (400) 상에 없을 때, 리프트 핀들 (557) 의 상단부가 챔버 프리-코트 동안 적절한 포지션인 리프트 패드 (430) 의 패드 샤프트들에 대응하는 홀들을 충진하기만 하도록 포지셔닝된다. 도 9a는 또한 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 동안 하나 이상의 막들이 증착될 수도 있는 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 예를 들어, 웨이퍼 프로세싱은 또한 ALCVD (atomic layer chemical vapor deposition) 로 공지되는, ALD (atomic layer deposition) 프로세스를 구현할 수도 있다. ALD는 매우 컨포멀하고, 매끄럽고 (smooth), 우수한 물리적 속성들을 갖는, 매우 박형의 막들을 생성한다. 이전에 도입된 바와 같이, 4 개의 동작들이 일 ALD 사이클 (예를 들어, A-P-B-P 시퀀스) 로 수행된다. "C"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐지고, 그리고 리프트 핀들 (557') 은 페데스탈 (140') 의 바디 내의 포지션으로 철수된다 (retreat). 도 9a는 또한 페데스탈이 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있는, 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. "D"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드가 페데스탈 (140') 에 대해 제 2 각도 배향으로 로테이팅될 수도 있도록 리프트 패드 (430) 는 페데스탈 (140') 로부터 프로세스 로테이션 변위만큼 분리된다.
도 9b는 도 9a에 대한 보다 상세한 설명을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드는 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 도 4 및 도 5a와 도 5b에서 먼저 도입된 리프트 패드 및 페데스탈 구성 (400) 의 모션 시퀀스를 예시한다.
전달 포지션에서, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 이 페데스탈 (140') 상에 받쳐진 리프트 패드 (430) 와 함께 하향 포지션에 있도록 구성된다. 구체적으로, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 의 하단부가 라인 901로 나타낸 대응하는 챔버 내의 레벨에 있도록, 웨이퍼를 수용하고 그리고/또는 제거할 준비가 된 전달 포지션에 있다. 구체적으로, 일 실시예에서 페데스탈 (140') 은 최하단 레벨에 있고, 페데스탈 (140') 의 하단부가 라인 902로 나타낸 레벨, 뿐만 아니라 프로세스 포지션과 연관된 라인 903으로 나타낸 레벨, 로테이션 포지션과 연관된 라인 904로 나타낸 레벨에 있는 프리-코트 포지션보다 낮다. 도시된 바와 같이, 이전에 기술된 바와 같이 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은 예를 들어, 엔드-이펙터의 암에 의해 전달되는 웨이퍼를 수용하기 위한 포지션에서 리프트 패드 (430) 의 상단 표면을 넘어 연장한다.
도 9b는 페데스탈 (140') 의 하단부가 라인 902로 나타낸 대응하는 챔버 내의 레벨에 있는, 프리-코트 레벨의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 프리-코트 포지션이 챔버 내의 임의의 포지션으로 규정될 수도 있고, 라인 902로 나타낸 레벨로 제한되지 않는다는 것을 주지하는 것이 중요하다. 예를 들어, 프리-코트 포지션은 프로세스 포지션과 동일할 수도 있고, 리프트 패드 및 페데스탈 구성은 웨이퍼 프로세싱 (예를 들어, ALD, PECVD, 등) 을 위해 포지셔닝된다. 도시된 바와 같이, 리프트 패드 (430) 는 이전에 기술된 바와 같이 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 상에 있지 않을 때 리프트 핀들 (557) 은 리프트 핀들의 상단이 리프트 패드 (430) 이 홀들을 충진하기만 하도록 포지셔닝되고, 이는 챔버 프리-코트 동안 적절한 포지션이다.
구체적으로, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 층이 프로세스 챔버 내에서 증착된다. 리프트 패드 및 페데스탈 구성에 포함될 때, 이 프리-코트 및/또는 언더코트 막은 또한 캐리어 링들을 코팅할 수도 있고, 웨이퍼와 콘택트하게 된다. 챔버 및 리프트 패드 및 페데스탈 구성 (예를 들어, MCA들과 같은 콘택트 지지부 구조체들) 에 프리-코트를 적용하는 것, 및 프로세싱 동안 웨이퍼 위에 형성될 막과 유사한 프리-코트 막을 갖는 선택가능한 캐리어 링이 웨이퍼 위의 막 형성을 개선한다고 여겨진다. 이와 같이, 웨이퍼가 리프트 패드 및 페데스탈 구성 위로 도입되기 전에 프리-코트 막이 형성된다. 이에 더하여, 프리-코트, 뿐만 아니라 웨이퍼 프로세싱 분위기의 임의의 다른 언더코팅들이 개선된 웨이퍼 막 균일도를 위해 조합하여 작용한다. 예를 들어, 통상적인 언더코트 두께는 대략 3 ㎛일 수도 있고, 프리-코트 두께는 대략 0.5 ㎛이다.
도 9b는 또한 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 동안 하나 이상의 막들이 증착될 수도 있는, 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 구체적으로, 페데스탈 (140') 은 라인 903으로 나타낸 대응하는 챔버 내의 레벨에 있다. 도시된 바와 같이, 페데스탈 (140') 은 챔버 내에서 최상단 포지션 또는 레벨 근방에 있다. 프로세스 포지션이 챔버 및/또는 구현되는 프로세스들에 따라, 챔버 내에서 임의의 포지션 및/또는 레벨로 규정될 수도 있고, 라인 903으로 나타낸 레벨로 제한되지 않는다는 것을 주지하는 것이 중요하다. 도시된 바와 같이, 이전에 기술된 바와 같이 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은, 리프트 핀들의 상단이 페데스탈 (140') 의 바디 내에 있도록, 상단이 또한 페데스탈 (140') 또는 리프트 패드 (430) 내의 임의의 위치에 포지셔닝될 수도 있도록 포지셔닝된다. 이에 더하여, 리프트 패드 (430) 는 페데스탈 (140') 에 대해 제 1 각도 배향에 있다.
도 9b는 또한 페데스탈이 상향 포지션에 있는 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 일 실시예에서, 페데스탈 (140') 의 하단부는 라인 904으로 나타낸 대응하는 챔버 내 최상단 레벨에 있다. 리프트 패드 (430) 는 프로세스 로테이션 변위 (940) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘은 리프트 패드가 페데스탈 상단 표면으로부터 로테이션 변위 (940) 만큼 분리되도록 페데스탈 (140') 이 이동의 상단부 근방에 있을 때 리프트 패드 (430) 를 상승시킨다. 구체적으로, 페데스탈 (140') 이 이동의 상단부에 도달할 때, 페데스탈 (140') 에 의해 이동된 특정에 거리 "d"에 대해, 리프트 패드 (430) 는 "d"의 인수일 수도 있는, 보다 큰 거리만큼 이동한다. 예를 들어, 페데스탈 (140') 이 이동의 상단부에 도달할 때, 리프트 패드 (430) 는 거리 "d"의 두 배인, 로테이션 변위 (940) 만큼 페데스탈 (140') 로부터 분리된다. 그 후, 리프트 패드 (430) 는 예를 들어 페데스탈 (140') 에 대해 제 1 각도 배향으로부터 제 2 각도 배향으로 로테이팅할 수도 있다. 그 후, 리프트 패드 및 페데스탈 구성 (400) 은 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로, 또는 웨이퍼 전달을 위해 전달 포지션으로 리턴될 수도 있다.
도 9c는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드가 웨이퍼로 대략 사이즈가 결정되는, 리프트 패드 및 페데스탈 구성 (400) 의 페데스탈 (140') 에 대해 리프트 패드 (430) 의 배향을 예시하는 도면이다. 구체적으로, 도 9c는 리프트 패드 및 페데스탈 구성 (400) 이 제 1 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안, 구성 (400) 이 로테이션 포지션에 있는 동안, 구성 (400) 이 제 2 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안 리프트 패드 (430) 및 페데스탈 (140') 의 (예를 들어, 서로에 대해 그리고/또는 챔버 내에서 좌표계 (950) 에 대해) 상대적인 배향들을 예시한다.
도시된 바와 같이, 제 1 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (400) 은 프로세스 포지션에 있다. 구체적으로, 리프트 패드 (430) 및 페데스탈 (140') 모두 챔버 내에서 좌표계 (950) 에 대해 0 °의 각도 배향을 갖는다. 또한, 리프트 패드 (430) 는 페데스탈 (140') 에 대해 0 °의 제 1 각도 배향을 갖는다 (즉, 페데스탈 (140') 은 좌표계를 제공한다).
이에 더하여, 도 9c는 리프트 패드 및 페데스탈 구성 (400) 이 로테이션 포지션에 있을 때, 페데스탈 (140') 에 대한 리프트 패드 (430) 의 로테이션을 예시한다. 구체적으로, 페데스탈 (140') 은 리프트 패드 (430) 가 0 ° 각도 배향으로부터 180 °로 로테이팅하는 동안, (예를 들어, 좌표계 (950) 와 관련하여) 0 °의 각도 배향으로 정적으로 유지된다. 즉, 페데스탈 (140') 은 로테이팅하지 않는다. 도시된 바와 같이, 리프트 패드 (430) 는 71 °인 각도 배향을 지나는 중이다.
또한, 제 2 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (400) 은 다시 프로세스 포지션에 있다. 그러나, 리프트 패드의 로테이션때문에, 페데스탈 (140') 은 여전히 챔버 내에서 좌표계 (950) 에 대해 0 °의 각도 배향을 갖고, 그리고 리프트 패드는 180 °의 각도 배향을 갖는다. 바꿔 말하면, 제 1 수의 사이클들로 프로세싱할 때, 리프트 패드 (430) 는 페데스탈 (140') 과 관련하여 0 °의 각도 배향을 갖고, 제 2 수의 사이클들로 프로세싱할 때, 리프트 패드 (430) 는 로테이션 후에 예를 들어, 페데스탈 (140') 과 관련하여 180 °의 각도 배향을 갖는다.
도 10a 내지 도 10c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다. 보다 구체적으로, 도 10b는 도 6 및 도 7a와 도 7b에서 먼저 도입된 리프트 패드 및 페데스탈 구성 (600) 을 도시하고, 도 10c는 도 7c에서 먼저 도입되고, 부가적으로 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성 (600') 을 도시한다.
구체적으로, 도 10a는 페데스탈 (140'') 및 리프트 패드 (630) 를 포함하는, 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 (630) 가 리프팅 작동을 제공하고, 리프트 핀 어셈블리에 대한 필요성을 제거하도록 구성된다. 구체적으로, 전달 포지션에서, 리프트 패드 및 페데스탈 구성 (600) 은 페데스탈 (140'') 이 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 (140'') 로부터 분리된 리프트 패드 (630) 를 사용하여 하향 포지션에 있도록 구성된다. 도 10a는 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 동안 하나 이상의 막들이 증착될 수도 있는 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 도 10a는 페데스탈 (140'') 은 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있고, 리프트 패드 (630) 는 프로세스 로테이션 변위 (예를 들어, 1 ㎜) 만큼 페데스탈 (140'') 로부터 분리되는, 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다.
도 10b는 도 10a에 대한 보다 상세한 설명을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성 (600) 의 모션 시퀀스를 예시한다.
리프트 패드 및 페데스탈 구성의 전달 포지션에서, 페데스탈 (140'') 의 하단부는 라인 901으로 나타낸 대응하는 챔버 내 레벨에 있다. 구체적으로, 페데스탈 (140'') 은 일 실시예에서 최하단 레벨에 있다. 일 실시예에서, 전달 포지션이 라인 902로 나타낸 프리-코트 포지션, 뿐만 아니라 라인 903으로 나타낸 프로세스 포지션, 및 라인 904로 나타낸 로테이션 포지션보다 낮다. 도시된 바와 같이, 리프트 패드 (630) 는 도 10b에 도시된 바와 같이, 엔드-이펙터의 암으로 하여금 전달 (리프트 패드 (630) 상으로 배치, 리프트 패드 (630) 로부터 웨이퍼를 제거) 하게 하기 충분한 변위 (969) 만큼 리프트 패드 (140'') 로부터 분리된다. 일 실시예에서, 리프트 패드 (630) 가 변위 (969) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘은 리프트 패드 (630) 를 상승시킨다.
도 10b는 또한, 막의 프리-코트 층 및 언더코트 층이 웨이퍼들이 프로세싱되기 전에 프로세스 챔버에서 증착되는, 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 프리-코트 포지션에서, 페데스탈 (140'') 의 하단부는 예를 들어 라인 902로 나타낸 대응하는 챔버 내의 레벨에 있다. 프리-코트 포지션은 챔버 내 임의의 포지션으로 규정될 수도 있고, 라인 902로 나타낸 레벨로 제한되지 않는다. 도시된 바와 같이, 이전에 기술된 바와 같이 리프트 패드 (630) 는 페데스탈 (140'') 상에 받쳐진다.
리프트 패드 및 페데스탈 구성 (600) 의 프로세스 포지션에서, 페데스탈 (140'') 의 하단부는 라인 903으로 나타낸 대응하는 챔버 내의 레벨에 있다. 일 실시예에서, 이전에 기술된 바와 같이 프로세스 포지션이 챔버 및/또는 구현된 프로세스들에 따라 챔버 내에서 임의의 레벨에 있을 수도 있지만, 페데스탈 (140'') 은 챔버 내 최상단 포지션 또는 레벨 근방에 있다. 도시된 바와 같이, 리프트 패드 (630) 는 페데스탈 (140'') 상에 받쳐진다. 이에 더하여, 리프트 패드 (630) 는 페데스탈 (140'') 에 대해 제 1 각도 배향에 있다.
리프트 패드 및 페데스탈 구성 (600) 의 로테이션 포지션에서, 일 실시예에서 페데스탈 (140) 의 하단부는 라인 904로 나타낸 대응하는 챔버 내에서 최상단 레벨에 있다. 리프트 패드 (630) 는 프로세스 로테이션 변위 (1040) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140'') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘이 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 패드 샤프트 (560) 를 통해 리프트 패드 (630) 를 상승시킨다. 일 실시예에서, 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘이 리프트 패드 (630) 를 상승시킨다. 예를 들어, 페데스탈 (140'') 이 이동의 상단부에 도달하기 때문에, 페데스탈 (140'') 에 의해 이동된 특정한 거리 "f"에 대해, 리프트 패드 (630) 는 "f"의 인자 (예를 들어, "f"의 2 배) 일 수도 있는 보다 큰 거리만큼 이동한다. 그 후, 리프트 패드 (630) 는 제 1 각도 배향으로부터 제 2 각도 배향 (예를 들어, 페데스탈 (140'') 에 대해) 으로 로테이팅될 수도 있고, 이어서 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로 리턴 (return) 하거나, 웨이퍼 전달을 위해 전달 포지션으로 리턴된다.
도 10c는 도 10a에 대한 보다 상세한 설명들을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드 (630) 가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈 (140''') 의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 핀 어셈블리를 포함하는, 리프트 패드 및 페데스탈 구성 (600') 의 모션 시퀀스를 예시한다. 이전에 기술된 바와 같이, 리프트 패드 및 페데스탈 구성 (600') 은 리프트 패드 (630), 페데스탈 (140''') 및 리프트 핀 어셈블리를 포함한다.
리프트 패드 및 페데스탈 구성 (600') 의 전달 포지션에서, 페데스탈 (140''') 의 하단부는 라인 901로 나타낸 대응하는 챔버 내 레벨에 있다. 구체적으로, 일 실시예에서 페데스탈 (140''') 은 최하단 레벨에 있다. 일 실시예에서, 전달 포지션은 라인 902으로 나타낸 프리-코트 포지션, 뿐만 아니라 라인 903으로 나타낸 프로세스 포지션, 그리고 라인 904로 나타낸 로테이션 포지션보다 낮다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557') 은 예를 들어, 엔드-이펙터의 암에 의해 전달되는 웨이퍼를 수용하거나, 엔드-이펙터에 의한 웨이퍼의 제거하기 위한 포지션에서, 페데스탈 (140''') 및 리프트 패드 (630) 의 상단 표면을 넘어 연장한다.
도 10c는 또한, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 층이 프로세스 챔버 내에서 증착되는, 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (600') 을 도시한다. 프리-코트 포지션에서, 페데스탈 (140''') 의 하단부는 예를 들어 라인 902으로 나타낸 대응하는 챔버 내 레벨에 있다. 프리-코트 포지션은 챔버 내 임의의 포지션으로 규정될 수도 있고, 라인 902로 나타낸 레벨로 제한되지 않는다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 리프트 핀들의 상단부들이 리프트 패드 (830) 내 홀들을 충진하기만 하도록리프트 핀들 (557') 은 포지셔닝되고, 이는 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 상에 없을 때 챔버 프리-코트 동안, 적절한 포지션이다.
리프트 패드 및 페데스탈 구성 (600') 의 프로세스 포지션에서, 페데스탈 (140''') 의 하단부는 라인 903으로 나타낸 대응하는 챔버 내 레벨에 있다. 도시된 바와 같이, 프로세스 포지션이 챔버 내 임의의 레벨에 있을 수도 있지만, 페데스탈 (140''') 은 이전에 기술된 바와 같이 챔버 내 최상단 포지션 또는 레벨 근방에 있다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 상단부가 또한 페데스탈 (140''') 내 어디에나 포지셔닝될 수도 있지만, 리프트 핀들의 상단부가 페데스탈 (140''') 내에 있도록, 리프트 핀들 (557') 이 포지셔닝된다.
리프트 패드 및 페데스탈 구성 (600') 의 로테이션 포지션에서, 일 실시예에서 페데스탈 (140''') 의 하단부는 라인 904으로 나타낸 대응하는 챔버 내 최상단 레벨에 있다. 리프트 패드 (630) 는 프로세스 로테이션 변위 (1040) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140''') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘이 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140''') 이 이동의 상단부 근방에 있을 때, 패드 샤프트 (560) 를 통해 리프트 패드 (630) 를 상승시킨다. 일 실시예에서, 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140''') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘이 리프트 패드 (630) 를 상승시킨다. 예를 들어, 페데스탈 (140''') 이 이동의 상단부에 도달하기 때문에, 페데스탈 (140''') 에 의해 이동된 특정한 거리 "f"에 대해, 리프트 패드 (630) 는 "f"의 인자 (예를 들어, "f"의 2 배) 일 수도 있는 보다 큰 거리만큼 이동한다. 그 후, 리프트 패드 (630) 는 제 1 각도 배향으로부터 제 2 각도 배향 (예를 들어, 페데스탈 (140''') 에 대해) 으로 로테이팅될 수도 있고, 이어서 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로 리턴하거나, 웨이퍼 전달을 위해 전달 포지션으로 리턴된다.
도 10d는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드 (630) 가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성 (600) 에서 페데스탈 (140'') 에 대한 또는 리프트 패드 및 페데스탈 구성 (600') 의 페데스탈 (140''') 에 대한 리프트 패드 (630) 의 배향을 예시하는 도면이다. 구체적으로, 도 10d는 리프트 패드 및 페데스탈 구성 (600/600') 이 제 1 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안, 제 2 수의 프로세싱 사이클들을 위해 로테이션 포지션, 또는 프로세스 포지션에 있는 동안 리프트 패드 (630) 및 페데스탈 (140'')/페데스탈 (140''') 의 상대적인 배향들 (예를 들어, 서로에 대해 그리고/또는 챔버 내 좌표계 (1050) 에 대해) 을 예시한다.
도시된 바와 같이, 제 1 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (600/600') 은 프로세스 포지션에 있다. 구체적으로, 리프트 패드 (630) 및 페데스탈 (140''/140''') 모두가 챔버의 좌표계 (1050) 에 대해 0 °의 각도 배향을 갖는다. 또한, 리프트 패드 (630) 는 페데스탈 (140''/140''') 에 대해 0 °의 제 1 각도 배향을 갖는다 (즉, 페데스탈 (140''/140''') 이 좌표계를 제공함).
이에 더하여, 도 10d는 리프트 패드 및 페데스탈 구성 (600/600') 이 로테이션 포지션에 있을 때 페데스탈 (140''/140''') 에 대해 리프트 패드 (630) 의 로테이션을 예시한다. 구체적으로, 리프트 패드 (630) 가 0 °의 각도 배향으로부터 180 °로 로테이팅하는 동안, 페데스탈 (140''/140''') 은 (예를 들어, 좌표계 (1050) 에 대해) 0 °의 각도 배향으로 고정적으로 유지된다. 즉, 페데스탈들 (140'' 및 140''') 은 로테이팅하지 않는다. 도시된 바와 같이, 리프트 패드 (630) 는 71 °인 각도 배향을 지나는 중이다.
또한, 제 2 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (600/600') 은 다시 프로세스 포지션에 있다. 그러나, 리프트 패드의 로테이션때문에, 페데스탈 (140''/140''') 은 여전히 챔버 내에서 좌표계 (1050) 에 대해 0 °의 각도 배향을 갖고, 그리고 리프트 패드는 180 °의 각도 배향을 갖는다. 바꿔 말하면, 제 1 수의 사이클들로 프로세싱할 때, 리프트 패드 (630) 는 페데스탈 페데스탈 (140''/140''') 과 관련하여 0 °의 각도 배향을 갖고, 제 2 수의 사이클들로 프로세싱할 때, 리프트 패드 (630) 는 로테이션 후에 예를 들어, 페데스탈 페데스탈 (140''/140''') 과 관련하여 180 °의 각도 배향을 갖는다.
도 11은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (1100) 을 도시한다. 일 실시예에서, 도 11의 제어 모듈 (110) 은 제어 모듈 (1100) 의 예시적인 컴포넌트들의 일부를 포함할 수도 있다. 예를 들어, 제어 모듈 (1100) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (1100) 은 센싱된 값들에 부분적으로 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (1100) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (1102), 필터 가열기들 (1104), 펌프들 (1106), 및 기타 디바이스들 (1108) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (1100) 은 단지 예를 들면, 압력 마노미터들 (1110), 플로우 미터들 (1112), 온도 센서들 (1114), 및/또는 기타 센서들 (1116) 로부터 센싱된 값들을 수신한다. 제어 모듈 (1100) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하기 위해 채용될 수도 있다. 제어 모듈 (1100) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (1100) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (1100) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차, 밸브 포지션들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하는 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (1100) 은 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 기상 (vapor) 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (1100) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (1100) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (1118) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (1120) 을 포함할 수도 있다.
프로세스 시퀀스의 전구체 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리 어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 페데스탈 또는 척 상으로 기판을 로딩 (load) 하고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부품과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 전구체 전달 시스템의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (1110) 과 같은 압력 센서들 및 전달 시스템, 페데스탈 또는 척 내에 위치된 열전대들 (예를 들어, 온도 센서들 (1114/220)) 을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 전술한 바는 단일-챔버 반도체 프로세싱 툴 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시예들의 구현예를 기술한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 실시예들의 전술한 기술은 예시 및 기술을 목적으로 제공되었다. 이는 본 개시를 제한하거나 총망라하는 것으로 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않고, 적용되면, 구체적으로 도시되거나 기술되지 않더라도, 선택된 실시예에서 사용될 수 있고 상호교환가능하다. 동일한 바가 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들이 본 개시의 범위 내에 포함되는 것으로 의도된다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 본 실시예들은 예시적이고 비제한적인 것으로 고려되고, 본 실시예들은 본 명세서에 제공된 상세들로 제한되지 않고 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (22)

  1. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에서 사용하기 위한 어셈블리에 있어서,
    중심 축을 중심으로 하는 페데스탈 상단 표면을 갖는 페데스탈; 및
    상기 중심 축을 중심으로 하는 상기 페데스탈 상단 표면의 리세스로서, 상기 리세스는 리세스 상단 표면을 갖는, 상기 리세스;
    상기 중심 축을 중심으로 하는 리프트 패드의 패드 상단 표면 상에 놓인 웨이퍼를 지지하도록 구성된 상기 리프트 패드를 포함하고,
    상기 리프트 패드는 상기 중심 축을 따라 상기 페데스탈로부터 분리되도록 구성되고,
    패드 샤프트가 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
  2. 제 1 항에 있어서,
    상기 패드 상단 표면의 직경은 상기 웨이퍼의 직경보다 보다 작은, 어셈블리.
  3. 제 1 항에 있어서,
    상기 패드 상단 표면은 상기 리프트 패드가 상기 리세스 상단 표면 상에 놓일 때 상기 페데스탈 상단 표면과 동일 평면 상에 있는, 어셈블리.
  4. 제 1 항에 있어서,
    상기 중심 축을 따라 상기 리프트 패드의 하단으로부터 연장하는 상기 패드 샤프트를 더 포함하고, 상기 패드 샤프트는 상기 중심 축을 따라 상기 리프트 패드의 이동을 위해 구성되고,
    상기 패드 샤프트는 상기 중심 축을 따라 상기 페데스탈을 통해 연장하는 이동 공간 내에 포지셔닝되는, 어셈블리.
  5. 제 4 항에 있어서,
    상기 패드 샤프트는 상기 패드 상단 표면이 프로세스 로테이션 변위 (rotation displacement) 에 의해 상기 페데스탈 상단 표면으로부터 분리되도록 상기 중심 축을 따라 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
  6. 제 5 항에 있어서,
    상기 패드 샤프트는 상기 리프트 패드가 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 상기 페데스탈로부터 분리될 때 상기 리프트 패드를 상기 페데스탈 상단 표면에 대해 로테이팅시키도록 구성되는, 어셈블리.
  7. 제 1 항에 있어서,
    상기 리프트 패드는 상기 페데스탈과 함께 이동하도록 구성되는, 어셈블리.
  8. 제 1 항에 있어서,
    상기 리프트 패드는 엔드-이펙터에 의한 액세스를 허용하기 충분한 거리만큼 상기 페데스탈로부터 분리되도록 구성되는, 어셈블리.
  9. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에서 사용하기 위한 어셈블리에 있어서,
    중심 축을 중심으로 하는 리프트 패드의 패드 상단 표면 상에 놓인 웨이퍼를 지지하도록 구성된 상기 리프트 패드로서, 상기 패드 상단 표면의 직경은 상기 웨이퍼의 직경보다 보다 작은, 상기 리프트 패드; 및
    상기 중심 축을 따라 상기 리프트 패드의 하단으로부터 연장하고, 상기 중심 축을 따라 상기 리프트 패드의 운동 (movement) 을 위해 구성된 패드 샤프트;
    상기 리프트 패드의 하단으로부터 연장하고, 상기 패드 샤프트와 고정적으로 인터페이싱하도록 구성된 환형 링;
    상기 중심 축을 중심으로 하는 페데스탈 상단 표면을 갖는 페데스탈로서, 상기 패드 샤프트는 상기 중심 축을 따라 상기 페데스탈을 통해 연장하는 이동 공간 (travel space) 내에 포지셔닝되는, 상기 페데스탈; 및
    상기 페데스탈 상단 표면 내에 있고 상기 리프트 패드가 리세스 표면 상에 놓일 때 상기 리프트 패드를 지지하도록 구성된 상기 리세스 표면을 갖는 리세스로서, 상기 리세스는 상기 중심 축을 중심으로 하는, 상기 리세스를 포함하고,
    상기 패드 샤프트는 상기 중심 축을 따라 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
  10. 제 9 항에 있어서,
    상기 환형 링은 상기 패드 샤프트의 단부를 둘러싸도록 구성되는, 어셈블리.
  11. 제 9 항에 있어서,
    상기 패드 상단 표면은 상기 리프트 패드가 상기 리세스 표면 상에 놓일 때, 상기 페데스탈 상단 표면과 동일 평면 상에 있는, 어셈블리.
  12. 제 9 항에 있어서,
    상기 패드 샤프트는 상기 패드 상단 표면이 프로세스 로테이션 변위에 의해 상기 페데스탈 상단 표면으로부터 분리되도록 상기 리프트 패드를 상기 페데스탈로부터 분리하도록 구성되는, 어셈블리.
  13. 제 9 항에 있어서,
    상기 패드 샤프트는 엔드-이펙터에 의한 액세스를 허용하기 충분한 거리만큼 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
  14. 제 9 항에 있어서,
    상기 중심 축을 따라 상기 페데스탈의 하단으로부터 연장하고, 상기 중심 축을 따라 상기 페데스탈의 운동을 위해 구성된 중심 샤프트를 더 포함하고,
    상기 이동 공간은 상기 중심 축을 따라 상기 중심 샤프트를 통해 연장하고, 상기 패드 샤프트는 상기 중심 축을 따라 상기 중심 샤프트를 통해 연장하는 상기 이동 공간 내에 위치되는, 어셈블리.
  15. 제 14 항에 있어서,
    상기 리프트 패드는 상기 페데스탈과 함께 이동하도록 구성되는, 어셈블리.
  16. 제 14 항에 있어서,
    상기 중심 샤프트는 상기 페데스탈을 최상단 상향 포지션으로 이동시키도록 구성되고, 그리고 상기 패드 샤프트는 상기 페데스탈이 상기 최상단 포지션에 있을 때 프로세스 로테이션 변위만큼 상기 리프트 패드를 상기 페데스탈로부터 분리하는, 어셈블리.
  17. 제 14 항에 있어서,
    상기 중심 샤프트는 상기 페데스탈을 최하측 하향 포지션으로 이동시키도록 구성되고, 그리고 상기 패드 샤프트는 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 상기 중심 축을 따라 상기 리프트 패드를 상기 페데스탈로부터 분리하도록 구성되는, 어셈블리.
  18. 제 9 항에 있어서,
    상기 패드 샤프트는 상기 리프트 패드가 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 상기 페데스탈로부터 분리될 때 상기 리프트 패드를 상기 페데스탈 상단 표면에 대해 로테이팅시키도록 구성되는, 어셈블리.
  19. 제 9 항에 있어서,
    상기 리프트 패드가 상기 리세스 표면 상에 놓일 때 상기 웨이퍼를 지지하도록 구성된 상기 페데스탈 상단 표면 상에 배치된 복수의 MCA들 (minimum contact areas) 을 더 포함하고,
    상기 리프트 패드는 상기 복수의 MCA들에 대해 로테이팅되는, 어셈블리.
  20. 제 9 항에 있어서,
    상기 페데스탈 상단 표면의 외측 에지 상에 배치된 상승된 림을 더 포함하고, 상기 상승된 림은 상기 페데스탈 상에 배치되는 상기 웨이퍼의 측방향 운동을 차단하기 위해 구성되는, 어셈블리.
  21. 제 9 항에 있어서,
    상기 페데스탈 내에 구성된 복수의 페데스탈 샤프트들을 통해 연장하는 복수의 리프트 핀들을 포함하는 리프트 핀 어셈블리를 더 포함하는, 어셈블리.
  22. 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에서 사용하기 위한 어셈블리에 있어서,
    중심 축을 중심으로 하는 리프트 패드의 패드 상단 표면 상에 놓인 웨이퍼를 지지하도록 구성된 상기 리프트 패드로서, 상기 패드 상단 표면의 직경은 상기 웨이퍼의 직경보다 보다 작은, 상기 리프트 패드; 및
    상기 중심 축을 따라 상기 리프트 패드의 하단으로부터 연장하고, 상기 중심 축을 따라 상기 리프트 패드의 운동을 위해 구성된 패드 샤프트;
    상기 중심 축을 중심으로 하는 페데스탈 상단 표면을 갖는 페데스탈로서, 상기 패드 샤프트는 상기 중심 축을 따라 상기 페데스탈을 통해 연장하는 이동 공간 내에 포지셔닝되는, 상기 페데스탈; 및
    상기 페데스탈 상단 표면 내에 있고 상기 리프트 패드가 리세스 표면 상에 놓일 때 상기 리프트 패드를 지지하도록 구성된 상기 리세스 표면을 갖는 리세스로서, 상기 리세스는 상기 중심 축을 중심으로 하는, 상기 리세스를 포함하고,
    상기 패드 샤프트는 상기 중심 축을 따라 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
KR1020227025977A 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈 KR102458099B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227036349A KR102537265B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/291,549 2016-10-12
US15/291,549 US9892956B1 (en) 2016-10-12 2016-10-12 Wafer positioning pedestal for semiconductor processing
PCT/US2017/050124 WO2018071111A1 (en) 2016-10-12 2017-09-05 Wafer positioning pedestal for semiconductor processing
KR1020227012685A KR102427180B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012685A Division KR102427180B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227036349A Division KR102537265B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Publications (2)

Publication Number Publication Date
KR20220108831A KR20220108831A (ko) 2022-08-03
KR102458099B1 true KR102458099B1 (ko) 2022-10-24

Family

ID=61148029

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020237017431A KR20230076872A (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020227036349A KR102537265B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020197013482A KR102388750B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020227025977A KR102458099B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020227012685A KR102427180B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020237017431A KR20230076872A (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020227036349A KR102537265B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR1020197013482A KR102388750B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227012685A KR102427180B1 (ko) 2016-10-12 2017-09-05 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈

Country Status (5)

Country Link
US (5) US9892956B1 (ko)
KR (5) KR20230076872A (ko)
CN (2) CN110062818B (ko)
TW (1) TW201825704A (ko)
WO (1) WO2018071111A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10770309B2 (en) * 2015-12-30 2020-09-08 Mattson Technology, Inc. Features for improving process uniformity in a millisecond anneal system
US10573549B2 (en) * 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR20210007032A (ko) * 2018-06-08 2021-01-19 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 챔버에서 기생 플라즈마를 억제하기 위한 장치
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11512393B2 (en) 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
DE102018009630A1 (de) * 2018-12-11 2020-06-18 Vat Holding Ag Stifthubvorrichtung mit Temperatursensor
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20220042114A (ko) * 2019-07-25 2022-04-04 에피크루 가부시키가이샤 에피택셜 성장 장치의 프로세스 챔버
CN110670127B (zh) * 2019-09-27 2021-03-02 西安奕斯伟硅片技术有限公司 一种晶圆外延设备
KR20210045611A (ko) 2019-10-17 2021-04-27 주식회사 예스파워테크닉스 금속 오증착을 방지하는 프로세스 키트
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
KR102259121B1 (ko) * 2019-12-23 2021-06-01 (주)에스티아이 기판처리장치
JP7418241B2 (ja) * 2020-02-27 2024-01-19 東京エレクトロン株式会社 位置決め装置、処理システム及び位置決め方法
CN115461856A (zh) * 2020-04-29 2022-12-09 应用材料公司 用于均匀性改善的加热器盖板
CN112234020B (zh) * 2020-09-02 2024-01-30 江苏盐芯微电子有限公司 一种集成电路封装设备
JP2022165477A (ja) * 2021-04-20 2022-11-01 日新イオン機器株式会社 ウエハ支持装置
CN113862780A (zh) * 2021-08-16 2021-12-31 西安电子科技大学芜湖研究院 一种应用于mocvd设备的可伸缩基座
US11972935B2 (en) * 2021-08-27 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for processing a semiconductor substrate
WO2023028963A1 (zh) * 2021-09-02 2023-03-09 盛美半导体设备(上海)股份有限公司 薄膜沉积装置及薄膜沉积方法及薄膜沉积设备
TWI806326B (zh) * 2021-12-29 2023-06-21 敔泰企業有限公司 晶圓承載裝置
US20230238269A1 (en) * 2022-01-21 2023-07-27 Applied Materials, Inc. Rotatable thermal processing chamber
WO2023146864A1 (en) * 2022-01-31 2023-08-03 Lam Research Corporation Method and apparatus for radio frequency grid design in an esc to reduce film asymmetry
WO2024015196A1 (en) * 2022-07-13 2024-01-18 Lam Research Corporation High-efficiency led substrate heater for deposition applications
WO2024015197A1 (en) * 2022-07-13 2024-01-18 Lam Research Corporation Led substrate heater for deposition applications
CN116926513B (zh) * 2023-09-15 2024-01-09 广州市艾佛光通科技有限公司 一种pecvd设备
CN117230433B (zh) * 2023-11-15 2024-03-01 无锡尚积半导体科技有限公司 Cvd晶圆承载机构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188315A (ja) 1998-12-22 2000-07-04 Sharp Corp 被成膜基板の支持機構および支持方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0736388B2 (ja) * 1986-11-05 1995-04-19 日本真空技術株式会社 Cvd装置
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken
JP2591555B2 (ja) * 1991-12-20 1997-03-19 東京応化工業株式会社 塗布装置
JPH0736388A (ja) * 1993-07-16 1995-02-07 Tanaka Kikinzoku Kogyo Kk Led表示用光拡散防止マスク
JPH07201743A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd 気相堆積装置
US5982986A (en) 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US6338756B2 (en) 1998-06-30 2002-01-15 Seh America, Inc. In-situ post epitaxial treatment process
US6449428B2 (en) 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6827092B1 (en) * 2000-12-22 2004-12-07 Lam Research Corporation Wafer backside plate for use in a spin, rinse, and dry module and methods for making and implementing the same
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
TWM282314U (en) * 2004-10-29 2005-12-01 Instr Technology Res Ct Rotational hoister of non-coaxial transmission substrate applied in the epitaxy film-coating machine to carry out high-temperature growth
JP2007036388A (ja) 2005-07-22 2007-02-08 Canon Inc 再生装置及び再生方法
US8272827B2 (en) 2005-11-07 2012-09-25 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
JP2007201743A (ja) 2006-01-26 2007-08-09 Renesas Technology Corp Idタグ
US7750657B2 (en) * 2007-03-15 2010-07-06 Applied Materials Inc. Polishing head testing with movable pedestal
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
US8314371B2 (en) 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
WO2012012228A2 (en) * 2010-07-23 2012-01-26 Circulon Hungary Ltd. Calcining chamber and process
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
JP6184479B2 (ja) 2012-05-18 2017-08-23 ビーコ インストゥルメンツ インコーポレイテッド 化学蒸着のための強磁性流体シールを有する回転円盤反応器
US9564348B2 (en) * 2013-03-15 2017-02-07 Applied Materials, Inc. Shutter blade and robot blade with CTE compensation
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
CN105993062B (zh) * 2014-02-14 2020-08-11 应用材料公司 用于稳定化高温沉积的气冷式基板支撑件
KR102449103B1 (ko) 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US10186450B2 (en) * 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
KR101841342B1 (ko) * 2015-03-30 2018-03-22 시바우라 메카트로닉스 가부시끼가이샤 스핀 처리 장치
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
TWI729101B (zh) * 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US9698042B1 (en) * 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188315A (ja) 1998-12-22 2000-07-04 Sharp Corp 被成膜基板の支持機構および支持方法

Also Published As

Publication number Publication date
US10020220B2 (en) 2018-07-10
CN113846314A (zh) 2021-12-28
US20180323098A1 (en) 2018-11-08
KR20220108831A (ko) 2022-08-03
US11056380B2 (en) 2021-07-06
KR102388750B1 (ko) 2022-04-19
KR20220052377A (ko) 2022-04-27
US9892956B1 (en) 2018-02-13
US20200312703A1 (en) 2020-10-01
TW201825704A (zh) 2018-07-16
KR20190055259A (ko) 2019-05-22
US20180130696A1 (en) 2018-05-10
WO2018071111A1 (en) 2018-04-19
KR20230076872A (ko) 2023-05-31
KR102537265B1 (ko) 2023-05-26
KR20220145928A (ko) 2022-10-31
CN110062818A (zh) 2019-07-26
CN110062818B (zh) 2021-08-31
US20190341292A1 (en) 2019-11-07
US10699937B2 (en) 2020-06-30
KR102427180B1 (ko) 2022-07-29
US10354909B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
KR102458099B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US11387136B2 (en) Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10870922B2 (en) Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10242848B2 (en) Carrier ring structure and chamber systems including the same
KR102461066B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant