CN114127887A - 用于半导体处理系统的多盖结构 - Google Patents

用于半导体处理系统的多盖结构 Download PDF

Info

Publication number
CN114127887A
CN114127887A CN202080050639.4A CN202080050639A CN114127887A CN 114127887 A CN114127887 A CN 114127887A CN 202080050639 A CN202080050639 A CN 202080050639A CN 114127887 A CN114127887 A CN 114127887A
Authority
CN
China
Prior art keywords
substrate
cover plate
lid
transfer
apertures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080050639.4A
Other languages
English (en)
Inventor
V·卡尔塞卡尔
V·普拉巴卡尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114127887A publication Critical patent/CN114127887A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/84Star-shaped wheels or devices having endless travelling belts or chains, the wheels or devices being equipped with article-engaging elements
    • B65G47/846Star-shaped wheels or wheels equipped with article-engaging elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

示例性基板处理系统可以包括限定传递区域的腔室主体。系统可以包括第一盖板,第一盖板沿着第一盖板的第一表面安置在腔室主体上,并且限定穿过该板的多个孔。第一盖板还可在每个孔周围限定凹进的突出部分。系统可以包括多个盖堆叠,多个盖堆叠的数量等于多个孔中的孔的数量。每个盖堆叠可以安置在第一盖板的分离的凹进的突出部分上的第一盖板上。多个盖堆叠可至少部分地限定从传递区域垂直偏移的多个处理区域。系统还可以包括与多个盖堆叠耦接的第二盖板。

Description

用于半导体处理系统的多盖结构
相关申请的交叉引用
本申请要求对于于2019年7月12日提交的美国临时专利申请第62/873,518号的优先权,该美国临时专利申请的全部内容为了所有目的通过引用整体并入本文。
本技术涉及以下申请(全部于2019年7月12日同时提交),标题为:“ROBOT FORSIMULTANEOUS SUBSTRATE TRANSFER(用于同步基板传递的机械手)”(美国临时申请第62/873,400号)、“ROBOT FOR SIMULTANEOUS SUBSTRATE TRANSFER(用于同步基板传递的机械手)”(美国临时申请第62/873,432号)、“ROBOT FOR SIMULTANEOUS SUBSTRATE TRANSFER(用于同步基板传递的机械手)”(美国临时申请第62/873,458号)、“ROBOT FORSIMULTANEOUS SUBSTRATE TRANSFER(用于同步基板传递的机械手)”(美国临时申请第62/873,480号)和“HIGH-DENSITY SUBSTRATE PROCESSING SYSTEMS AND METHODS(高密度基板处理系统和方法)”(美国临时申请第62/873,503号)。为了所有目的,这些申请中的每一个通过引用整体并入本文。
技术领域
本技术涉及半导体处理与设备。更具体地,本技术涉及基板处理系统和部件。
背景技术
半导体处理系统通常利用群集工具将多个处理腔室整合在一起。此配置可以促进执行几个循序处理操作而无需从受控的处理环境中移除基板,或者它可以允许在不同的腔室中一次在多个基板上执行类似的处理。这些腔室可包括例如脱气腔室、预处理腔室、传递腔室、化学气相沉积腔室、物理气相沉积腔室、蚀刻腔室、计量腔室和其他腔室。选择群集工具中的腔室的组合,以及运行这些腔室的操作条件和参数,以促进使用特定的处理配方和处理流程来制造特定的结构。
群集工具通常通过使基板连续通过一系列腔室并进行处理操作来处理许多基板。通常将处理配方和顺序编程到微处理器控制器中,微处理器控制器将通过群集工具指导、控制和监视每个基板的处理。一旦已经通过群集工具成功地处理了整个晶片盒,则可以将此盒传送到另一个群集工具或独立工具,例如化学机械研磨机,以进行进一步处理。
通常使用机械手将晶片传递通过各种处理和保持腔室。每个处理和传送操作所需的时间量直接影响每单位时间的基板吞吐量。群集工具中的基板吞吐量可以与位于传递腔室内的基板传送机械手的速度直接相关。随着处理腔室配置的进一步发展,传统的晶片传递系统可能是不够的。此外,随着群集工具的扩展,部件配置可能不再充分支持处理或维护操作。
因此,需要可用于在群集工具环境内有效地引导基板的改进的系统和方法。本技术解决了这些与其他的需求。
发明内容
示例性基板处理系统可以包括限定传递区域的腔室主体。系统可以包括沿着第一盖板的第一表面安置在腔室主体上的第一盖板。第一盖板可以限定穿过第一盖板的多个孔。第一盖板还可在第一盖板的第二表面中围绕多个孔中的每个孔限定凹进的突出部分,第二表面与第一盖板的第一表面相对。系统可以包括多个盖堆叠,多个盖堆叠包括的盖堆叠的数量等于多个孔中的孔的数量。多个盖堆叠中的每个盖堆叠可以被安置在第一盖板上,在限定于第一盖板的第二表面中的分离的凹进的突出部分上。多个盖堆叠可至少部分地限定从传递区域垂直偏移的多个处理区域。系统还可以包括与多个盖堆叠耦接的第二盖板。多个盖堆叠可以位于第一盖板和第二盖板之间。
在一些实施例中,系统还可以包括围绕传递区域设置的多个基板支撑件。多个基板支撑件中的每个基板支撑件在第一位置和第二位置之间沿着基板支撑件的中心轴线可垂直平移。多个基板支撑件中的每个基板支撑件与多个盖堆叠中的一个盖堆叠对准。多个处理区域中的每个处理区域可以由在第二位置的相关基板支撑件从下方限定。多个处理区域中的每个处理区域可与传递区域流体耦接,并且与多个处理区域中的其他处理区域从上方彼此流体隔离。传递区域可包含传递设备,传递设备可沿着中心轴线旋转并被配置成接合基板并将基板在传递区域内的多个基板支撑件之间传递。第二盖板可以限定穿过第二盖板的多个孔。多个孔中的每个孔可进出多个盖堆叠中的一个盖堆叠。系统还可包含远程等离子体单元,远程等离子体单元与限定在第二盖板中的多个孔中的每个孔流体耦接。多个盖堆叠中的每个盖堆叠包括泵送衬垫,泵送衬垫限定排气室,排气室沿着通过第一盖板的相关联的孔的凹进的突出部分定位。每个盖堆叠还可包括安置在泵送衬垫上的面板,且面板至少部分地从上方限定相关联的处理区域。每个盖堆叠还可包括安置在面板上的阻隔板。系统还可包含环形面板加热器,环形面板加热器安置在阻隔板的径向外侧的面板上。
本技术的一些实施例还可以包括基板处理系统。系统可以包括限定传递区域的腔室主体。系统可包含多个基板支撑件,多个基板支撑件在腔室主体内围绕传递区域分布。系统可以包括安置在腔室主体上的第一盖板。第一盖板可以限定穿过第一盖板的多个孔,多个孔的数量等于多个基板支撑件中的基板支撑件的数量。多个孔中的每个孔可以与多个基板支撑件中的基板支撑件轴向对准。多个孔中的每个孔的特征在于直径大于多个基板支撑件中相关联的的基板支撑件的直径。系统可以包括多个盖堆叠,多个盖堆叠的数量等于多个孔中的孔的数量。多个盖堆叠的每个盖堆叠可以被安置在第一盖板上,覆盖第一盖板的多个孔的中的一个孔。系统可以包括与多个盖堆叠耦接的第二盖板。多个盖堆叠可以位于第一盖板和第二盖板之间。
在一些具体实施例中,多个盖堆叠可至少部分地限定与传递区域垂直偏移的多个处理区域。每个盖堆叠可包括面板,面板至少部分地从上方限定多个处理区域的相关联的处理区域。多个基板支撑件中的每个基板支撑件可在第一位置和第二位置之间沿着基板支撑件的中心轴线垂直平移。系统还可以包括位于传递区域内并且可绕中心轴线旋转的传递设备。传递设备可被配置成接合基板并在传递区域内的多个基板支撑件之间传递基板。第二盖板可以限定穿过第二盖板的多个孔。多个孔中的每个孔可以与多个基板支撑件中的一个基板支撑件轴向对准。系统还可包含远程等离子体单元,远程等离子体单元安置在第二盖板上并与限定在第二盖板中的多个孔中的每个孔流体耦接。
本技术的一些实施例还可以包括基板处理系统。系统可以包括限定传递区域的腔室主体。系统可以包括沿着第一盖板的第一表面安置在腔室主体上的第一盖板。第一盖板可以限定穿过第一盖板的多个孔。系统可以包括多个面板。多个面板中的每个面板可以被安置在第一盖板上,覆盖第一盖板的多个孔中的一个孔。多个面板可至少部分地限定出从传递区域垂直偏移的多个处理区域。系统还可以包括与多个面板耦接的第二盖板。多个面板可以位于第一盖板和第二盖板之间。至少一个结构支撑件可以围绕多个面板在第一盖板和第二盖板之间延伸。
这种技术可提供优于传统系统与技术的数个益处。例如,处理系统可以提供多基板处理能力,此能力可以远远超出传统设计。另外,每个腔室系统可以包括多个盖部件,以促进分离和存取各个盖堆叠的部件。这些与其他的实施例(以及许多他们的优点与特征),被结合下列说明与附图更详细地说明。
附图说明
参照说明书的其余部分与附图,可进一步理解所公开技术的本质与优点。
图1示出了根据本技术的一些实施例的示例性处理系统的示意性俯视图。
图2示出了根据本技术的一些实施例的示例性腔室系统的传递区域的示意性等距视图。
图3示出了根据本技术的一些实施例的示例性腔室系统的传递区域的示意性等距视图。
图4示出了根据本技术的一些实施例的示例性腔室系统的传递区域的示意性等距视图。
图5示出了根据本技术的一些实施例的腔室系统的示意性局部等距视图。
图6示出了根据本技术的一些实施例的示例性腔室系统的示意性局部剖视图。
图7A至图7B示出了根据本技术的一些实施例的示例性腔室系统的示意图。
图8A-8B示出了根据本技术的一些实施例的示例性腔室系统的示意图。
数个附图被包含以作为示意图。应了解到附图用于说明,且不应被视为具有实际尺寸比例,除非特别说明其为实际尺寸比例。此外,作为示意图,附图被提供以帮助理解,且可不包含相较于实际呈现的所有方面或信息,并可包含夸大的内容以供说明。
在附图中,类似的部件和/或特征可具有相同的附图标记。进一步,相同类型的各个部件,可由附图标记之后的字母来分辨,此字母分辨类似的部件。若说明书中仅使用了首个附图标记,则其说明可适用于具有相同的首个附图标记的类似部件的任何一者,与字母无关。
具体实施方式
基板处理可以包括用于在晶片或半导体基板上添加、去除或以其他方式修改材料的时间密集的操作。基板的有效移动可以减少排队时间并提高基板吞吐量。为了提高在群集工具中处理的基板数量,可以将附加腔室合并到主机上。尽管可以通过加长工具来连续添加传递机械手和处理腔室,但是随着群集工具的占地面积扩大,空间效率可能会降低。因此,本技术可以包括在限定的占地面积内具有增加数量的处理腔室的群集工具。为了适应关于传递机械手的有限的占地面积,本技术可以增加从机械手横向向外的处理腔室的数量。例如,一些传统的群集工具可以包括这些处理腔室围绕位于中心的传递机械手的部分而定位的一个或两个处理腔室,以使围绕机械手径向的腔室的数量最大化。本技术可以通过在横向向外并入附加的腔室作为另一行或另一组腔室以扩展此概念。例如,本技术可以与包括三个、四个、五个、六个或更多个处理腔室的群集工具一起应用,处理腔室可在一个或多个机械手进出位置中的每个位置处进出。
但是,由于增加了附加处理位置,如果没有每个位置的附加传递功能,从中心机械手存取这些位置可能不再可行。一些传统技术可以包括晶片载体,在运送期间基板保持在其上。但是,晶片载体可能会导致基板上的热不均匀和颗粒污染。本技术通过结合与处理腔室区域垂直对准的传递部分,和可以与中心机械手协同操作以存取附加晶片位置的圆盘传送带或传送设备,来克服这些问题。本技术在一些实施例中可以不使用传统的晶片载体,并且可以在传递区域内将特定晶片从一个基板支撑件传递到另一基板支撑件。
另外,随着增加更多的处理位置,在每个腔室系统中对一个或多个部件的存取可能会受限。例如,支撑用于多个处理区域的盖堆叠的单个盖板可对存取可能更易于更换的一些盖堆叠部件构成挑战。本技术通过结合双盖构造克服了这些问题,双盖构造可以在盖堆叠的每个端部上包括盖。可以将盖一起移除以提供对下面的传递区域的存取,或者可以分离地移除顶盖以提供对设置在两个盖之间的盖堆叠部件的存取。
尽管剩余的公开内容将常规地标识可以对其使用本结构和方法的特定结构,例如四位置腔室系统,但是将容易理解,系统和方法同样适用于可能会受益于所说明的结构性功能的任何数量的结构和装置。因此,技术不应被视为仅限于与任何单独的特定结构一起使用。而且,尽管将描述示例性工具系统以提供本技术的基础,但是应当理解,本技术可以与可以受益于将要描述的系统和一些或所有操作的任何数量的半导体处理腔室和工具结合。
图1示出了根据本技术的一些实施例的沉积、蚀刻、烘烤和固化腔室的基板处理工具或处理系统100的一个实施例的俯视图。在图中,一组前开式晶片传送盒102提供各种尺寸的基板,这些基板被机械臂104a和104b接收于工厂接口103中,并被放置在负载锁定或低压固持区106中,然后再递送到位于腔室系统或四重部分109a-c中的基板处理区域108中的一个,四重部分109a-c中的每个可以是具有与多个处理区域108流体耦接的传递区域的基板处理系统。尽管示出了四重系统,但是应当理解,包括独立腔室、双腔室和其他多腔室系统的平台同样被本技术涵盖。容纳在传递腔室112中的第二机械臂110可以用于将基板晶片从固持区106传输到四重部分109并返回,并且第二机械臂110可以被容纳在传递腔室中,四重部分中的每一个或处理系统可连接至传递腔室。每个基板处理区域108可被装配成执行许多基板处理操作,包括任何数量的沉积处理,包括循环层沉积、原子层沉积、化学气相沉积、物理气相沉积以及蚀刻、预清洗、退火、等离子体处理、脱气、定向和其他基板处理。
每个四重部分109可以包括传递区域,传递区域可以从第二机械臂110接收基板并将基板递送到第二机械臂110。腔室系统的传递区域可以与具有第二机械手110的传递腔室对齐。在一些具体实施例中,传递区域可以是机械手可横向存取的。在后续操作中,传递部分的部件可以将基板垂直平移到上覆的处理区域108中。类似地,传递区域也可以可操作以在每个传递区域内的位置之间旋转基板。基板处理区域108可以包括用于在基板或晶片上沉积、退火、固化和/或蚀刻材料膜的任何数量的系统部件。在一种配置中,可以使用两组处理区域(例如四重部分109a和109b中的处理区域)来在基板上沉积材料,以及使用第三组处理腔室(例如四重部分109c中的处理腔室或区域)固化、退火或处理沉积的膜。在另一种配置中,所有三组腔室,例如所示的所有十二个腔室,可以被配置为既沉积和/或固化基板上的膜。
如图所示,第二机械臂110可以包括两个臂,用于同时递送和/或取回多个基板。例如,每个四重部分109可包括沿着传递区域的壳体的表面的两个进出口107,其可与第二机械臂横向对准。可以沿着与传递腔室112相邻的表面限定进出口。在诸如所示的一些实施例中,第一进出口可以与四重部分的多个基板支撑件中的第一基板支撑件对准。另外,第二进出口可与四重部分的多个基板支撑件中的第二基板支撑件对准。在一些实施例中,第一基板支撑件可以与第二基板支撑件相邻,并且两个基板支撑件可以限定第一行基板支撑件。如图示的构造中示出的,第二行基板支撑件可以位于第一行基板支撑件的后面,第一行基板支撑件从传递腔室112横向向外。第二机械臂110的两个臂可以间隔开以允许两个臂同时进入四重部分或腔室系统,以将一个或两个基板递送至传送区域内的基板支撑件或从传送区域内的基板支撑件取回一个或两个基板。
所描述的任何一个或多个传递区域可以与从不同具体实施例中示出的制造系统分离的另外的腔室合并。将理解到系统100构想到对于材料膜的沉积、蚀刻、退火与固化腔室的附加配置。另外,本技术可以与任何数量的其他处理系统一起使用,其可以结合用于执行诸如基板移动之类的任何特定操作的传递系统。在一些实施例中,可以提供对多个处理腔室区域的进出口同时在各个部分中保持真空环境的处理系统(例如所述的固持和传递区域)可以允许在多个腔室中执行操作,同时在各个处理之间保持特定的真空环境。
如所指出的,处理系统100,或更具体地与系统100或其他处理系统结合的四重部分或腔室系统,可以包括位于所示的处理腔室区域下方的传递部分。图2示出了根据本技术的一些实施例的示例性腔室系统200的传递部分的示意性等距视图。图2可以示出上述传递区域的其他方面或方面的变化,并且可以包括所描述的任何部件或特征。所示的系统可以包括限定传递区域的传递区域壳体205,其可为下文进一步讨论的腔室主体,传递区域中可以包括多个部件。传递区域可以另外至少部分地由处理腔室或与传递区域流体耦接的处理区域从上方限定,例如图1的四重部分109中所示的处理腔室区域108。传递区域壳体的侧壁可以限定一个或多个进出位置207,可以例如由如上所述的第二机械臂110通过进出位置207递送和取回基板。进出位置207可以是狭缝阀或其他可密封的进出位置,在一些实施例中,其可以包括门或其他密封机构以在传递区域壳体205内提供气密环境。尽管示出为具有两个这样的进出位置207,但是应当理解,在一些实施例中,可以仅包括单个进出位置207,以及在传递区域壳体的多侧上的进出位置。还应当理解,所图示的传递部分的尺寸可以设置成适应任何基板尺寸,包括200mm、300mm、450mm或更大或更小的基板,包括以任何数量的几何形状或形状为特征的基板。
在传递区域壳体205内可以是围绕传递区域空间定位的多个基板支撑件210。尽管示出了四个基板支撑件,但是应当理解,本技术的实施例类似地涵盖了任何数量的基板支撑件。例如,根据本技术的实施例,可以在传递区域中容纳大于或大约三个、四个、五个、六个、八个或更多的基板支撑件210。第二机械臂110可以通过进出口207将基板递送到基板支撑件210a或210b中的一个或两个。类似地,第二机械臂110可以从这些位置取回基板。升降销212可以从基板支撑件210伸出,并且可以允许机械手进出基板下方。在一些实施例中,升降销可以固定在基板支撑件上,或者固定在基板支撑件可以在下方凹陷的位置,或者升降销可以附加地通过基板支撑件升高或降低。基板支撑件210可以是可垂直平移的,并且在一些实施例中,可以延伸到位于传递区域壳体205上方的基板处理系统的处理腔室区域,例如处理腔室区域108。
传递区域壳体205可以提供用于对准系统的进出口215,对准系统215可以包括对准器,对准器可以延伸穿过如图所示的传递区域壳体的孔,并且可以与激光、照相机或其他监测装置一起操作突出或透射通过相邻孔,并且可以确定被平移的基板是否适当对准。传递区域壳体205还可包括传递设备220,其可以以多种方式操作以定位基板并在各种基板支撑件之间移动基板。在一个示例中,传递设备220可以将基板支撑件210a和210b上的基板移动到基板支撑件210c和210d,这可以允许将附加的基板递送到传递室中。附加的传递操作可以包括在基板支撑件之间旋转基板,以在覆盖的处理区域中进行附加的处理。
传递设备220可包括中心毂225,其可包括延伸到传递腔室中的一个或多个轴。与轴耦接的可以是末端执行器235。末端执行器235可包括从中心毂径向或横向向外延伸的多个臂237。尽管以臂从其延伸的中央主体示出,但是末端执行器可以另外包括分离的臂,在各个实施例中,每个臂与轴或中心毂耦接。在本技术的实施例中可以包括任何数量的臂。在一些实施例中,多个臂237可以与腔室中包括的基板支撑件210的数量相似或相等。因此,如图所示,对于四个基板支撑件,传递设备220可包括从末端执行器延伸的四个臂。臂的特征可以在于任何数量的形状和轮廓,例如笔直的轮廓或弓形轮廓,以及包括任何数量的远端轮廓,包括钩、环、叉或用于支撑基板和/或提供对基板的存取(例如用于对准或接合)的其他设计。
末端执行器235或末端执行器的部件或部分可用于在传递或移动期间接触基板。这些部件以及末端执行器可以由包括导电和/或绝缘材料的多种材料制成或包括该多种材料。在一些实施例中,材料可以被涂覆或镀覆以承受与可能从上覆处理腔室进入传递腔室的前驱物或其他化学物质的接触。
此外,还可以提供或选择材料以承受其他环境特征,例如温度。在一些实施例中,基板支撑件可以可操作以加热设置在支撑件上的基板。基板支撑件可以被配置为将表面或基板温度增加到大于或大约100℃、大于或大约200℃、大于或大约300℃、大于或大约400℃、大于或大约500℃、大于或大约600℃、大于或大约700℃、大于或大约800℃或更高的温度。可以在操作过程中保持这些温度中的任何一个,并且因此传递设备220的部件可能会暴露于这些所述的或涵盖的温度中的任何一个。因此,在一些实施例中,可以选择任何材料来适应这些温度范围,并且可以包括可以以相对低的热膨胀系数或其他有益特性为特征的材料(诸如陶瓷和金属)。
部件耦接还可以适于在高温和/或腐蚀性环境中操作。例如,在末端执行器与末端部分都为陶瓷时,耦接可以包括压力装配、按扣配合、或不包括可能随温度而膨胀和收缩并且可能导致陶瓷破裂的附加材料(诸如螺栓)的其他配合。在一些实施例中,末端部分可以与末端执行器连续,并且可以与末端执行器整体形成。可以使用可以在操作期间促进操作或抵抗的任何数量的其他材料,并且本技术类似地涵盖其他材料。传递设备220可以包括多个部件和构造,其可以促进末端执行器在多个方向上的运动,这可以促进利用末端执行器可耦接的驱动系统部件以一种或多种方式进行旋转运动以及垂直运动或横向运动。
图3示出了根据本技术的一些实施例的示例性腔室系统的腔室系统300的传递区域的示意性等距视图。腔室系统300可以类似于上述腔室系统200的传递区域,并且可以包括类似的部件,包括上述的任何部件、特征或构造。图3还可连同以下附图一起示出本技术所涵盖的某些部件耦接件。
腔室系统300可包括限定传递区域的腔室主体305或壳体。如前所述,在限定的体积内可以是围绕腔室主体分布的多个基板支撑件310。如将在下面进一步描述的,每个基板支撑件310可以在图中所示的第一位置和可以执行基板处理的第二位置之间沿着基板支撑件的中心轴线垂直平移。腔室主体305还可限定穿过腔室主体的一个或多个进出口307。传递设备335可以位于传递区域内,并且被配置为在传递区域内的基板支撑件310之间接合和旋转基板,如前所述。例如,传递设备335可围绕传递设备的中心轴线旋转以重新放置基板。在一些实施例中,传递设备335也可以是可横向平移的,以进一步促进在每个基板支撑件处重新放置基板。
腔室主体305可以包括顶表面306,顶表面306可以为系统的上覆部件提供支撑。顶表面306可限定垫圈凹槽308,垫圈凹槽308可为垫圈提供底座,以提供用于真空处理的上覆部件的气密密封。与一些传统系统不同,根据本技术的一些实施例的腔室系统300和其他腔室系统可以在处理腔室内包括开放的传递区域,并且可以在传递区域上形成处理区域。由于传递设备335创建了扫掠区,因此可能没有用于分离处理区域的支撑件或结构。因此,本技术可以利用上覆的盖结构来形成覆盖在开放的传递区域上方的隔离的处理区域,如下所述。因此,在一些实施例中,腔室主体与上覆部件之间的密封可以只在限定传递区域的腔室主体外壁周围发生,并且在一些实施例中可以不存在内部耦接。腔室主体305还可限定孔315,孔315可促进来自上覆结构的处理区域的排气流。腔室主体305的顶表面306还可在孔315周围限定一个或多个垫圈凹槽,用于与上覆部件密封。另外,在一些实施例中,孔可以提供定位特征,定位特征可以促进部件的堆叠。
图4示出了根据本技术的一些实施例的腔室系统300的上覆结构的示意性等距视图。例如,在一些实施例中,第一盖板405可安置在腔室主体305上。第一盖板405的特征可以在于第一表面407和与第一表面相对的第二表面409。第一盖板405的第一表面407可以接触腔室主体305,并且可以限定配对凹槽以与上述凹槽308配合,以在部件之间产生垫圈通道。第一盖板405还可限定孔410,孔410可提供传递腔室的上覆区域的分离,以形成用于基板处理的处理区域。
可以通过第一盖板405限定孔410,并且可以将孔410至少部分地与在传递区域中的基板支撑件对准。在一些实施例中,孔410的数量可以等于在传递区域中的基板支撑件的数量,并且每个孔410可以与多个基板支撑件中的基板支撑件轴向对准。如将在下面进一步描述的,当基板支撑件在腔室系统内垂直升高到第二位置时,处理区域可以至少部分地由基板支撑件限定。基板支撑件可以延伸穿过第一盖板405的孔410。因此,在一些实施例中,第一盖板405的孔410的特征可以在于直径大于相关联的基板支撑件的直径。取决于间隙的量,直径可以小于或大约比基板支撑件的直径大25%,并且在一些具体实施例中,可以小于或大约比基板支撑件的直径大20%、小于或大约比基板支撑件的直径大15%、小于或大约比基板支撑件的直径大10%、小于或大约比基板支撑件的直径大9%、小于或大约比基板支撑件的直径大8%、小于或大约比基板支撑件的直径大7%、小于或大约比基板支撑件的直径大6%、小于或大约比基板支撑件的直径大5%、小于或大约比基板支撑件的直径大4%、小于或大约比基板支撑件的直径大3%、小于或大约比基板支撑件的直径大2%、小于或大约比基板支撑件的直径大1%或更小,其可提供基板支撑件与孔410之间的最小缝隙距离。
第一盖板405还可包括与第一表面407相对的第二表面409。第二表面409可以限定凹进的突出部分415,其可以产生通过第一盖板405的第二表面409的环形的凹进的架子。在一些实施例中,可以在多个孔410中的每个孔周围限定凹进的突出部分415。凹进的架子可以为盖堆叠部件提供支撑,如将在下面进一步描述的。另外,第一盖板405可限定第二孔420,第二孔420可至少部分地限定来自下面描述的上覆部件的泵送通道。第二孔420可以与先前描述的腔室主体305的孔315轴向对准。
图5示出了根据本技术的一些实施例的腔室系统300的示意性局部等距视图。图可以示出通过腔室系统的两个处理区域和传递区域的一部分的局部横截面。例如,腔室系统300可以是先前描述的处理系统100的四重部分,并且可以包括任何先前描述的部件或系统的任何部件。
如图所示,腔室系统300可以包括腔室主体305,腔室主体305限定了包括基板支撑件310的传递区域502,基板支撑件310可以延伸到腔室主体305中并且是可垂直平移的,如前所述。第一盖板405可以被安置在腔室主体305上,并且可以限定孔410,孔410为将由附加腔室系统部件形成的处理区域504提供进出口口。围绕每个孔或至少部分地位于每个孔中的是盖堆叠505,腔室系统300可以包括多个盖堆叠505,多个盖堆叠505包括的盖堆叠数量等于多个孔中的孔410的数量。每个盖堆叠505可以被安置在第一盖板405上,并且可以被安置在由穿过第一盖板的第二表面的凹陷的突出部分产生的架子上。盖堆叠505可以至少部分地限定腔室系统300的处理区域504。
如图所示,处理区域504可以从传递区域502垂直偏移,但是可以与传递区域流体耦接。另外,处理区域可以与其他处理区域分离。尽管处理区域可以从下方通过传递区域与其他处理区域流体耦接,但是处理区域可以从上方与每个其他处理区域流体隔离。在一些实施例中,每个盖堆叠505也可以与基板支撑件对准。例如,如图所示,盖堆叠505a可以在基板支撑件310a上方对准,并且盖堆叠505b可以在基板支撑件310b上方对准。当升高到诸如第二位置的操作位置时,基板可以在分离的处理区域内递送用于单独处理的基板。当在该位置时,如将在下面进一步描述的,每个处理区域504可以由位于第二位置的相关联的基板支撑件至少部分地从下方限定。
图5还示出了其中可以包括用于腔室系统的第二盖板510的实施例。第二盖板510可以与每个盖堆叠耦接,在一些实施例中,每个盖堆叠可以被定位在第一盖板405和第二盖板510之间。如以下将说明的,第二盖板510可有助于存取盖堆叠505的部件。第二盖板510可以限定穿过第二盖板的多个孔512。多个孔中的每个孔可以被限定为提供到特定的盖堆叠505或处理区域504的流体进出口。在一些实施例中,远程等离子体单元515可以可选地被包括在腔室系统300中,并且可以被支撑在第二盖板510上。在一些实施例中,远程等离子体单元515可以通过第二盖板510与多个孔中的每个孔512流体耦接。沿着每个流体管线可以包括隔离阀520,以对每个单独的处理区域504提供流体控制。例如,如图所示,孔512a可以提供到盖堆叠505a的流体进出口。在一些实施例中,孔512a还可以与任何盖堆叠部件以及基板支撑件310a轴向对准,这可以使与各个处理区域相关联的每个部件产生轴向对准,例如沿着穿过基板支撑件或与特定处理区域504相关联的任何部件的中心轴。类似地,在一些实施例中,孔512b可提供到盖堆叠505b的流体进出口,并且可以对准,包括与盖堆叠的部件以及基板支撑件310b轴向对准。
图6示出了根据本技术的一些实施例的腔室系统300的一个实施例的示意性截面正视图。图6可以示出上面图5所示的截面图,并且可以进一步示出系统的部件。图可以包括先前示出和描述的任何系统的部件,并且还可以示出任何先前描述的系统的进一步方面。应当理解,图示还可以示出示例性部件,如通过上述任何四重部分109中的任何两个相邻处理区域108所看到的那样。正视图可以示出一个或多个处理区域504与传递区域502的配置或流体耦接。例如,连续的传递区域502可以由腔室主体305限定。壳体可以限定开放的内部体积,在其中可以设置多个基板支撑件310。例如,如图1所示,示例性处理系统可以包括四个或更多个,包括围绕传递区域分布在腔室主体内的多个基板支撑件310。如图所示,基板支撑件可以是底座,尽管也可以使用许多其他配置。在一些实施例中,底座可以在传递区域502和覆盖传递区域的处理区域504之间垂直地平移。基板支撑件可以沿着腔室系统内的第一位置和第二位置之间的路径沿着基板支撑件的中心轴线垂直平移。因此,在一些实施例中,每个基板支撑件310可以与由一个或多个腔室部件限定的上覆处理区域504轴向对准。
开放的传送区域可以提供诸如圆盘传送带的传送设备635在各种基板支撑件之间接合和(诸如,旋转地)移动基板的能力。传送设备635可以绕中心轴线旋转。这可以允许将基板定位为在处理系统内的任何处理区域504内进行处理。传递设备635可以包括一个或多个末端执行器,其可以从上方、下方与基板接合,或者可以与基板的外边缘接合以围绕基板支撑件移动。传递设备可以从传递腔室机械手(例如,先前描述的机械手110)接收基板。然后,传递设备可以旋转基板以替代基板支撑件,以利于输送附加基板。
一旦定位并等待处理,传递设备可以将末端执行器或臂定位在基板支撑件之间,这可以允许基板支撑件被抬起经过传递设备635并将基板递送到处理区域504中,处理区域504可以从传递区域502在垂直方向偏移。例如,并且如图所示,基板支撑件310a可以将基板递送到处理区域504a中,而基板支撑件310b可以将基板递送到处理区域504b中。这可以与其他两个基板支撑件和处理区域,以及与包括附加处理区域的实施例中的附加基板支撑件和处理区域发生。在这种构造中,当例如在第二位置处被操作接合以处理基板时,基板支撑件可以至少部分地从下方限定处理区域504,并且处理区域可以与相关联的基板支撑件轴向对准。可以通过盖堆叠505的部件从上方限定处理区域,盖堆叠505的部件每个可以包括所示出的部件中的一个或多个。在一些实施例中,每个处理区域可以具有单独的盖堆叠部件,尽管在一些实施例中,这些部件可以容纳多个处理区域504。基于此构造,在一些实施例中,每个处理区域504可以与传递区域流体地耦接,同时从上方与腔室系统或四重部分内的每个其他处理区域流体地隔离。
盖堆叠505可以包括多个部件,其可以促进前驱物流过腔室系统,并且可以至少部分地包含在第一盖板405和第二盖板510之间。衬垫605可以直接安置在由第一盖板405中的每个凹进的突出部分形成的架子上。例如,衬垫605可以限定唇缘或凸缘,其可以允许衬垫605从第一盖板405的架子延伸。在一些实施例中,衬垫605可以在第一盖板405的第一表面下方垂直地延伸,并且可以至少部分地延伸到开放的传递区域502中。衬垫605可以由与腔室主体材料相似或不同的材料制成,并且可以是或包括限制材料在衬垫605的表面上沉积或保留的材料。衬垫605可以限定用于基板支撑件310的进出口直径,并且可以由上述对于在基板支撑件310和衬垫605之间的间隙(当包括时)所描述的任何缝隙量来表征。
位于衬垫605上的可以是泵送衬垫610,泵送衬垫610可以至少部分地在凹部内或沿着限定在第一盖板405的第二表面中的凹进的突出部分延伸。在一些实施例中,泵送衬垫610可以安置在由凹进的突出部分形成的架子上的衬垫605上。泵送衬垫610可以是环形部件,并且可以取决于体积几何形状径向地或横向地至少部分地限定处理区域504。泵送衬垫可在衬垫内限定排气室,衬垫可在泵送衬垫的内环形表面上限定多个孔,以提供通向排气室的进出口。排气室可至少部分地在第一盖板405的高度上方垂直延伸,这可有助于将排放的材料输送通过穿过第一盖板和腔室主体形成的排气通道,如先前所述。泵送衬垫的一部分可以至少部分地跨过第一盖板405的第二表面延伸,以完成在泵送衬垫的排气室与穿过腔室主体和第一盖板形成的通道之间的排气通道。
面板615可以安置在泵送衬垫610上,并且可以限定穿过面板615的多个孔,用于将前驱物递送到处理区域504中。面板615可以从上方至少部分地限定相关联的处理区域504,其可以至少部分地与泵送衬垫和在升高的位置中的基板支撑件协作以大概限定处理区域。面板615可以用作用于在处理区域504内产生本地等离子体的系统的电极,因此,在一些实施例中,面板615可以与电源耦接或可以接地。在一些实施例中,基板支撑件310可以用作用于在面板和基板支撑件之间产生电容耦接等离子体的配对电极。
阻隔板620可以安置于面板615上,阻隔板620可以进一步分布处理流体或前驱物以产生到基板的更均匀的流体分布。阻隔板620还可以限定穿过板的多个孔。在一些实施例中,阻隔板620的特征可以在于直径小于所示的面板的直径,这可以在面板的表面上从阻隔板620径向向外提供环形进出口。在一些实施例中,面板加热器625可以安置于环形进出口上,并且可以接触面板615以在处理或其他操作期间加热部件。在一些实施例中,阻隔板620和面板加热器625的特征可以一起在于具有等于或基本上等于面板615外径的外径。类似地,在一些实施例中,面板加热器625的特征可以在于其外径等于或基本上等于面板615的外径。面板加热器625可以围绕阻隔板620延伸,并且可以或可以不在阻隔板620的外径向边缘上与阻隔板620直接接触。
气箱630可以定位在阻隔板620上方,并且每个盖堆叠505的气箱630可以至少部分地支撑第二盖板510。气箱630可以限定中心孔,该中心孔与通过第二盖板510限定的多个孔中的相关联的孔512对准。在一些实施例中,第二盖板510可以支撑远程等离子体单元515,远程等离子体单元515可以包括到每个孔512的管道以及到每个处理区域504的管道。可以通过孔512定位适配器,以将远程等离子体单元管道耦接到气箱630。另外,在一些实施例中,隔离阀520可以定位在管道内以计量流向每个单独的处理区域504的流体。
在一些实施例中,O形环或垫圈可以安置于盖堆叠505的每个部件之间,这可以促进腔室系统300内的真空处理。第一盖板405和第二盖板510之间的具体部件耦接件可以以可以有助于存取系统部件的任何数量的方式发生。例如,可以在第一盖板405和第二盖板510之间并入第一组耦接件,这可以便于移除两个盖板和每个盖堆叠505,这可以提供对腔室系统的传递区域内部的基板支撑件或传递设备的存取。这些耦接件可以包括在两个盖板之间延伸的任意数量的物理耦接件和可移除耦接件,这可以允许它们整体与腔室主体405分离。例如,包含腔室系统300的主机上的驱动电机可以与第二盖板510可移除地耦接,这可以将部件提离腔室主体305。
当第一盖板405和第二盖板510之间的耦接脱离时,第二盖板510可以被移除,而第一盖板405可以保留在腔室主体305上,这可以有助于存取盖堆叠505的一个或多个部件。盖堆叠505内的断裂可能发生在前述的任何两个部件之间,其中一些可以与第一盖板405耦接,并且其中一些可以与第二盖板510耦接。例如,在一些实施例中,每个气箱630可以与第二盖板510耦接。因此,当将第二盖板从腔室系统中提起时,可以将气箱移除,从而可以访问阻隔板和面板。继续此示例,阻隔板620和面板615可以或可以不与第一盖板405耦接。例如,尽管可以包括机械耦接,但是例如可以利用保持部件适当对准的定位特征,以将部件解耦并且浮在第一盖板405上。应当理解,此示例旨在是非限制性的,并且示出了当第二盖板510与第一盖板405分离时在盖堆叠的任何两个部件之间的任何数量的断裂构造。因此,取决于第一盖板和第二盖板之间的耦接,整个盖堆叠和两个盖板都可以被移除以提供对传递区域的访问,或者第二盖板可以被移除以提供对盖堆叠部件的访问。
图7A-7B示出了根据本技术的一些实施例的示例性腔室系统的示意图,并且可以示出通过平移基板支撑件来形成处理区域。附图可以示出简化的示意图,但是应当理解,附图可以示出任何先前描述的系统的操作能力,并且可以包括先前描述的任何结构或系统的任何部件、特征或配置。
图7A可以示出穿过腔室系统700的横截面正视图,例如穿过传递区域705内的基板支撑件710a和710b,以及上覆的处理区域725a和725b,其可以类似于先前描述的传递区域和处理区域。腔室系统和每个处理区域可以包括先前描述的任何部件,包括盖堆叠部件,例如面板730、阻挡板735和盖部件,其可以限定用于将前驱物递送到各个处理区域中的进出口。例如,腔室系统700可包括在盖堆叠部件与限定传递区域705的腔室主体之间的第一盖板740,以及跨盖堆叠延伸的第二盖板745。图7A可以示出在基板701已经被传递到传递区域705内的基板支撑件710b之后的正视图。传递设备720可以旋转远离基板支撑件,例如旋转到凹进位置,或到末端执行器可不会干扰一个或多个基板支撑件的垂直平移的任何其他位置。
基板支撑件可以如图7B所示被升高,以将基板递送到处理区域725b以进行处理,这可以将基板支撑件相对于第一位置定位在第二垂直位置。如图所示,传递设备720可以不被基板支撑件干涉或接触,基板支撑件可以沿着基板支撑件的中心轴线垂直地延伸到上覆且轴向对准的处理区域。当被定位用于处理时,基板支撑件710b可以从下面至少部分地限定基板处理区域,这可以示出各个处理区域和传递区域之间的流体耦接。可以根据本技术以可以在处理区域中执行的任何数量的处理操作来处理基板701,作为一个非限制性示例,处理可以包括在基板上沉积一层或多层材料。在一些实施例中,基板支撑件710b和面板730或其他盖堆叠部件可以用作电极以在处理区域725b内产生等离子体。基板支撑件还可被配置为如先前所述地加热基板。尽管示出为单个基板被处理,但是应当理解,可以同时处理任何数量的基板,包括腔室系统内的每个基板支撑件上的基板。每个基板支撑件可被配置用于与所描述的基板支撑件710b类似的操作。
根据本技术的一些实施例的腔室系统可以包括附加特征以支持利用系统的多个处理区域的处理。通过结合可以是开放式以便于传递设备的扫掠的传递区域,如前所述,对第一盖板的支撑可以限于外部边缘。由于腔室系统可以在真空下运行,因此开放式传递区域可在开放式体积内产生大量的负载。取决于处理压力以及部件重量,第一盖板可以暴露于数吨或更多的真空负载。因为在一些实施例中,在传递区域内可不存在中央支撑件,所以如果没有适当地支撑,第一盖板可能会出现挠曲。因此,根据本技术的一些实施例的腔室系统可以包括用于第一盖板的附加结构支撑件,以提高刚性。
图8A-8B示出了根据本技术的一些实施例的示例性腔室系统800的示意图,并且可以包括可以与如结合任何先前附图所描述的第一盖板结合的结构支撑,以及根据本技术的实施例的其他腔室系统的示意图。如图所示,在一些实施例中,第一盖板可以包括在盖堆叠之间延伸的一个或多个结构支撑件。
图8A示出了示例性的第一盖板805以及位于第一盖板上的盖堆叠810。此图还示出了第一结构支撑件815a,其位于第一盖板805上,并且围绕盖堆叠件810延伸。第一结构支撑件815可以包括可以抵抗第一盖板805的挠曲的材料,并且可以是任何数量的包括铝、钢或可以附接到第一盖板以提高抗挠曲性的其他材料的材料。第一结构支撑件815可以部分地围绕第一盖板延伸,并且在一些实施例中,可以保持用于泵送衬垫延伸和进出穿过第一盖板和腔室主体的泵送通道的进出口,如先前所述。第二结构支撑件820a也可以跨第一结构支撑件815定位,其可以至少部分地沿着第一结构支撑件和第二盖板之间的高度延伸。在本技术的实施例中,第二结构支撑件820的材料或几何形状可以与第一结构支撑件相似或不同。
图8B示出了另一变型,其中结构支撑件可以与第一盖板整体地形成。如图所示,第一盖板805的轮廓可围绕盖堆叠垂直延伸以限定第一结构支撑件815b。在一些实施例中,第一盖板可以继续垂直延伸以限定第二结构支撑件,或者在一些实施例中,第二结构支撑件820b可以与盖板耦接。如图8B所示,第一和第二结构支撑件可以是任何数量的用于提高第一盖板的刚度以限制或防止第一盖板在腔室系统内的挠曲的材料。
本技术包括基板处理系统,其可以容纳分布在腔室系统中的多个基板支撑件,腔室系统提供与传递区域耦接的多个处理区域。另外,本技术的一些实施例结合了双盖构造,双盖构造提供两个盖的联合移除或第二盖板的分离的移除,这可以提供对每个处理区域的盖堆叠部件的存取。
在上文说明中,为了解释的目的,阐述了多种细节,以提供本技术的各种实施例的理解。然而对于本领域技术人员将显而易见的是,某个实施例可被实践而并不需要这些细节中的一些或是需要附加的细节。
在已公开了数种实施例之后,本领域技术人员将理解到,可使用各种修改、替代性结构与等效物,而不背离实施例的精神。此外,并未说明一些熟知的处理与要素,以避免不必要地混淆本技术。因此,上文的说明不应被视为限制技术的范围。另外,方法或处理可以被描述为顺序的或分步的,但是应当理解,操作可以同时执行,或者以与所列顺序不同的顺序执行。
在提供数值范围的情况下,应当理解,除非上下文另有明确规定,否则还具体公开了此范围的上限和下限之间的每个中间值,至下限单位的最小部分。在所述范围内的任何陈述的值或未陈述的中间值与所述范围内的任何其他陈述的或中间值之间的任何较窄范围都包括在内。这些较小范围的上限和下限可以独立地包括在此范围内或排除在此范围内,且包含上下限的一者、两者、或皆不包含的较小范围中的每一范围也被包含在本技术内,且受制于所陈述范围中任何特别排除的限制。在所陈述的范围包含上下限的一者或两者时,也包含了排除了这些上下限的任一者或两者的范围。
说明书与附加权利要求中所使用的单数形式“一(a)”、“一(an)”以及“所述”,包含复数个参照物,除非上下文清楚表示并非如此。因此,例如,对“一个基板”的引用,包含多个此种基板,且对于“所述臂”的引用,包含对于一个或多个臂的引用以及本领域技术人员已知的等效物,诸如此类。
此外,本说明书和随附权利要求中使用的词语“包含(comprise(s))”、“包含(comprising)”、“含有(contain(s))”、“含有(containing)”、“包括(include(s))”和“具有(including)”,意为指明所陈述的特征、整数、部件、或操作的存在,但他们不排除存在或添加一个或多个其他特征、整数、部件、操作、步骤、或组。

Claims (15)

1.一种基板处理系统,包含:
腔室主体,所述腔室主体限定传递区域;
第一盖板,所述第一盖板沿所述第一盖板的第一表面安置在所述腔室主体上,其中所述第一盖板限定穿过所述第一盖板的多个孔,其中所述第一盖板进一步围绕所述第一盖板的第二表面中的所述多个孔中的每个孔限定凹进的突出部分,所述第二表面与所述第一盖板的所述第一表面相对;
多个盖堆叠,所述多个盖堆叠的数量等于所述多个孔中的孔的数量,所述多个盖堆叠中的每个盖堆叠安置于限定在所述第一盖板的所述第二表面中的分离的凹进的突出部分上,其中所述多个盖堆叠至少部分地限定从所述传递区域垂直偏移的多个处理区域;以及
第二盖板,所述第二盖板与所述多个盖堆叠耦接,其中所述多个盖堆叠位于所述第一盖板和所述第二盖板之间。
2.如权利要求1所述的基板处理系统,进一步包含多个基板支撑件,所述多个基板支撑件围绕所述传递区域设置,所述多个基板支撑件中的每个基板支撑件能够在第一位置和第二位置之间沿着所述基板支撑件的中心轴线垂直平移。
3.如权利要求2所述的基板处理系统,其中所述多个基板支撑件中的每个基板支撑件与所述多个盖堆叠中的一个盖堆叠对准。
4.如权利要求3所述的基板处理系统,其中所述多个处理区域中的每个处理区域由在所述第二位置的相关联的基板支撑件从下方限定。
5.如权利要求1所述的基板处理系统,其中所述多个处理区域中的每个处理区域与所述传递区域流体耦接,并且与所述多个处理区域中的其他处理区域从上方彼此流体隔离。
6.如权利要求1所述的基板处理系统,其中所述传递区域包含传递设备,所述传递设备能够沿着中心轴线旋转并被配置成接合基板并将基板在所述传递区域内的多个基板支撑件之间传递。
7.如权利要求1所述的基板处理系统,其中所述第二盖板限定穿过所述第二盖板的多个孔,所述多个孔中的每个孔进出所述多个盖堆叠中的一个盖堆叠,并且其中所述基板处理系统进一步包括:
远程等离子体单元,所述远程等离子体单元与限定在所述第二盖板中的所述多个孔中的每个孔流体耦接。
8.如权利要求1所述的基板处理系统,其中所述多个盖堆叠中的每个盖堆叠包括泵送衬垫,所述泵送衬垫限定排气室,所述排气室沿着通过所述第一盖板的相关联的孔的所述凹进的突出部分定位。
9.如权利要求8所述的基板处理系统,其中每个盖堆叠进一步包括安置在所述泵送衬垫上的面板,并且所述面板至少部分地从上方限定相关联的处理区域,其中每个盖堆叠进一步包括安置在所述面板上的阻隔板,并且其中所述基板处理系统进一步包括:
环形面板加热器,所述环形面板加热器安置在所述阻隔板的径向外侧的所述面板上。
10.一种基板处理系统,包含:
腔室主体,所述腔室主体限定传递区域;
多个基板支撑件,所述多个基板支撑件在所述腔室主体内围绕所述传递区域分布;
第一盖板,所述第一盖板安置在所述腔室主体上,其中所述第一盖板限定穿过所述第一盖板的多个孔,所述多个孔的数量等于所述多个基板支撑件的基板支撑件的数量,其中所述多个孔中的每个孔与所述多个基板支撑件中的基板支撑件轴向对准,并且其中所述多个孔的每个孔的特征在于直径大于所述多个基板支撑件的相关联的基板支撑件的直径;
多个盖堆叠,所述多个盖堆叠的数量等于所述多个孔中的孔的数量,所述多个盖堆叠中的每个盖堆叠安置在所述第一盖板上覆盖所述第一盖板的所述多个孔中的一个孔;以及
第二盖板,所述第二盖板与所述多个盖堆叠耦接,其中所述多个盖堆叠位于所述第一盖板和所述第二盖板之间。
11.如权利要求10所述的基板处理系统,其中所述多个盖堆叠至少部分地限定与所述传递区域垂直偏移的多个处理区域,并且其中每个盖堆叠包括面板,所述面板至少部分地从上方限定所述多个处理区域中的相关联的处理区域。
12.如权利要求10所述的基板处理系统,其中所述多个基板支撑件中的每个基板支撑件能够在第一位置和第二位置之间沿着所述基板支撑件的中心轴线垂直平移。
13.如权利要求10所述的基板处理系统,进一步包含传递设备,所述传递设备定位在所述传递区域内并能够沿着中心轴线旋转,其中所述传递设备被配置成接合基板并在所述传递区域内的所述多个基板支撑件之间传递基板。
14.如权利要求10所述的基板处理系统,其中所述第二盖板限定穿过所述第二盖板的多个孔,所述多个孔中的每个孔与所述多个基板支撑件中的一个基板支撑件径向对准,并且其中所述基板处理系统进一步包括:
远程等离子体单元,所述远程等离子体单元安置在所述第二盖板上并与限定在所述第二盖板中的所述多个孔中的每个孔流体耦接。
15.一种基板处理系统,包含:
腔室主体,所述腔室主体限定传递区域;
第一盖板,所述第一盖板沿所述第一盖板的第一表面安置在所述腔室主体上,其中所述第一盖板限定穿过所述第一盖板的多个孔;
多个面板,安置在所述第一盖板上的所述多个面板中的每个面板覆盖所述第一盖板的所述多个孔中的一个孔,其中所述多个面板至少部分地限定从所述传递区域垂直偏移的多个处理区域;以及
第二盖板,所述第二盖板与所述多个面板耦接,其中所述多个面板位于所述第一盖板和所述第二盖板之间,并且其中至少一个结构支撑件围绕所述多个面板在所述第一盖板与所述第二盖板之间延伸。
CN202080050639.4A 2019-07-12 2020-07-08 用于半导体处理系统的多盖结构 Pending CN114127887A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962873518P 2019-07-12 2019-07-12
US62/873,518 2019-07-12
PCT/US2020/041202 WO2021011261A1 (en) 2019-07-12 2020-07-08 Multi-lid structure for semiconductor processing system

Publications (1)

Publication Number Publication Date
CN114127887A true CN114127887A (zh) 2022-03-01

Family

ID=74101619

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080050639.4A Pending CN114127887A (zh) 2019-07-12 2020-07-08 用于半导体处理系统的多盖结构

Country Status (6)

Country Link
US (1) US20210013069A1 (zh)
JP (1) JP2022540842A (zh)
KR (1) KR20220031704A (zh)
CN (1) CN114127887A (zh)
TW (1) TWI746051B (zh)
WO (1) WO2021011261A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11946140B2 (en) 2021-03-26 2024-04-02 Applied Materials, Inc. Hot showerhead
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance
US20230095095A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Method of isolating the chamber volume to process volume with internal wafer transfer capability
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070059127A1 (en) * 2005-08-26 2007-03-15 Guo George X Vacuum processing and transfer system
US20100294199A1 (en) * 2009-04-21 2010-11-25 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
KR20130045536A (ko) * 2011-10-26 2013-05-06 주식회사 엘트린 기판 접합장치 및 기판 접합방법
CN106463362A (zh) * 2014-05-14 2017-02-22 应用材料公司 具有气体分布及单独泵送的批量固化腔室
CN107665840A (zh) * 2016-07-28 2018-02-06 Asm知识产权私人控股有限公司 衬底处理设备

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR101796647B1 (ko) * 2016-05-03 2017-11-10 (주)에스티아이 기판처리장치 및 기판처리방법
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11515676B2 (en) * 2020-02-21 2022-11-29 Qualcomm Incorporated Thermal mitigation for USB power delivery
US11626303B2 (en) * 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11670492B2 (en) * 2020-10-15 2023-06-06 Applied Materials, Inc. Chamber configurations and processes for particle control
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070059127A1 (en) * 2005-08-26 2007-03-15 Guo George X Vacuum processing and transfer system
US20100294199A1 (en) * 2009-04-21 2010-11-25 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
KR20130045536A (ko) * 2011-10-26 2013-05-06 주식회사 엘트린 기판 접합장치 및 기판 접합방법
CN106463362A (zh) * 2014-05-14 2017-02-22 应用材料公司 具有气体分布及单独泵送的批量固化腔室
CN107665840A (zh) * 2016-07-28 2018-02-06 Asm知识产权私人控股有限公司 衬底处理设备

Also Published As

Publication number Publication date
WO2021011261A1 (en) 2021-01-21
JP2022540842A (ja) 2022-09-20
TWI746051B (zh) 2021-11-11
TW202115816A (zh) 2021-04-16
KR20220031704A (ko) 2022-03-11
US20210013069A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
CN114127887A (zh) 用于半导体处理系统的多盖结构
US11948817B2 (en) Robot for simultaneous substrate transfer
CN115803859A (zh) 用于半导体处理系统的兼容部件
US11590662B2 (en) Robot for simultaneous substrate transfer
TWI823158B (zh) 用於提供對稱射頻返回路徑的預裝載碗機構
CN115552581A (zh) 热控制的盖堆叠部件
TWI831676B (zh) 用於更高產量和更快轉變時間的半導體處理腔室架構
TWI813223B (zh) 熱噴淋頭
US20220028710A1 (en) Distribution components for semiconductor processing systems
US11664247B2 (en) Dynamic interface for providing a symmetric radio frequency return path
US20230054444A1 (en) Bipolar esc with balanced rf impedance
CN117730403A (zh) 将腔室体积隔离成具有内部晶片移送能力的处理体积的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination