CN103069560A - 用于控制工艺腔室中的气体流动的设备 - Google Patents

用于控制工艺腔室中的气体流动的设备 Download PDF

Info

Publication number
CN103069560A
CN103069560A CN201180036999XA CN201180036999A CN103069560A CN 103069560 A CN103069560 A CN 103069560A CN 201180036999X A CN201180036999X A CN 201180036999XA CN 201180036999 A CN201180036999 A CN 201180036999A CN 103069560 A CN103069560 A CN 103069560A
Authority
CN
China
Prior art keywords
processing chamber
substrate support
annular plate
space
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201180036999XA
Other languages
English (en)
Inventor
戴维·帕拉加什维里
迈克尔·D·威尔沃思
刘靖宝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103069560A publication Critical patent/CN103069560A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在此提供用于在工艺腔室中控制气体流动的设备。在一些实施例中,一种设备用于在工艺腔室中控制气体流动,所述工艺腔室具有在所述工艺腔室内的处理空间与在所述工艺腔室内的泵送空间(pumping volume),所述处理空间配置在基板支撑件上方,所述泵送空间配置在所述基板支撑件下方,所述设备可包括:环状板,所述环状板环绕所述基板支撑件,位于所述基板支撑件的基板支撑表面的水平面(level)附近,其中所述环状板朝所述工艺腔室的内周边表面径向向外延伸,而界定出所述环状板的外边缘与所述内周边表面之间的均匀间隙,其中所述均匀间隙提供从所述处理空间到所述泵送空间的均匀流径。

Description

用于控制工艺腔室中的气体流动的设备
技术领域
本发明的实施例大体而言关于半导体处理,且更特定的是,关于用于处理基板的设备。
背景技术
随着半导体元件的临界尺度持续缩小,在能够均匀地处理半导体基板的半导体工艺设备上的需求与日俱增。此项需求出现的一种情况在于控制工艺气体于工艺腔室中配置的基板表面附近的流动。发明人已经观察到,在利用单一泵从工艺腔室一侧排放工艺气体的传统工艺腔室中,工艺的非均匀性(例如,非均匀的蚀刻速率及/或非均匀的临界尺度)存在,世人相信工艺的非均匀性是由于(至少部分由于)工艺腔室中工艺气体非均匀、不对称地流动所导致。此外,发明人已进一步观察到工艺气体此不对称的流动可能进一步引发等离子体的非均匀性。
因此,发明人已提供一种用于处理基板的改良设备。
发明内容
在此提供用于在工艺腔室中控制气体流动的设备。在一些实施例中,一种设备用于在工艺腔室中控制气体流动,该工艺腔室具有在该工艺腔室内的处理空间与在该工艺腔室内的泵送空间(pumping volume),该处理空间配置在基板支撑件上方,该泵送空间配置在该基板支撑件下方,该设备可包括:环状板,该环状板环绕该基板支撑件,位于该基板支撑件的基板支撑表面的水平面(level)附近,其中该环状板朝该工艺腔室的内周边表面径向向外延伸,而界定出该环状板的外边缘与该内周边表面之间的均匀间隙,其中该均匀间隙提供从该处理空间到该泵送空间的均匀流径。
在一些实施例中,一种设备用于在工艺腔室中控制气体流动,该工艺腔室具有在该工艺腔室内的处理空间与在该工艺腔室内的泵送空间,该处理空间配置在基板支撑件上方,该泵送空间配置在该基板支撑件下方,该设备可包括:环状板,该环状板环绕该基板支撑件,位于该基板支撑件的基板支撑表面的水平面附近,其中该环状板朝该工艺腔室的壁径向向外延伸,而界定出该环状板的外边缘与该工艺腔室的内周边表面之间的均匀间隙,该均匀间隙在气体从该处理空间流到该泵送空间时充分限制了从该处理空间到该泵送空间的流动,从而维持扼流(choked)的流动条件。
在一些实施例中,一种用于在工艺腔室中控制气体流动的设备可包括:工艺腔室,该工艺腔室具有在该工艺腔室内的处理空间与在该工艺腔室内的泵送空间,该处理空间配置在基板支撑件上方,该泵送空间配置在该基板支撑件下方,其中该基板支撑件包含静电夹盘;环状板,该环状板环绕该基板支撑件,位于该基板支撑件的基板支撑表面的水平面附近,其中该环状板朝该工艺腔室的壁径向向外延伸,而界定出该环状板的外边缘与该工艺腔室的内周边表面之间的均匀间隙,该均匀间隙在气体从该处理空间流到该泵送空间时充分限制了从该处理空间到该泵送空间的流动,从而维持扼流的流动条件;以及泵通口,该泵通口配置在该工艺腔室的一侧上,以提供该工艺腔室内气体的不对称流动。
本发明的其他与进一步的实施例于下文中描述。
附图说明
通过参看附图中所图示的本发明的说明性实施例,可了解在发明内容中简短总结以及在实施方式中详细讨论的本发明的实施例。然而应注意,附图仅图示本发明的典型实施例,因此不应将附图视为限制本发明的范畴,因本发明可容许其他等效的实施例。
图1为根据本发明一些实施例的工艺腔室概略视图,该腔室适合与在工艺腔室中控制气体流动的设备一并使用。
图2为根据本发明一些实施例的在工艺腔室中控制气体流动的设备的部分剖面侧视图。
图3为根据本发明一些实施例用于在工艺腔室中控制气体流动的设备的顶部视图。
为助于了解,若可能,则使用相同的元件符号以指定共用于各图的相同元件。图式不按比例尺绘制,且可为了清楚起见而经过简化。应考量到,一个实施例的元件与特征可有利地并入其他实施例而无须进一步记叙。
具体实施方式
本发明的实施例大致关于在工艺腔室中控制气体流动的设备。本发明的设备可有利地提供受限制的均匀流径以供气体所用,该气体在工艺腔室中从处理空间流至泵送空间。受限制的流径可生成扼流的流动条件,因而减少流径上游的流动传导度(flow conductance),因而在处理空间中提供均匀的气体流动,而不受非均匀或不对称的压力梯度影响,该非均匀或不对称的压力梯度可能由于不对称的泵装配方式而存在于泵送空间中。
图1为工艺腔室102的概略视图,该工艺腔室102适合与根据本发明一些实施例的在工艺腔室中控制气体流动的设备一并使用。工艺腔室102可为任何具有不对称或偏位的排放系统的工艺腔室,该排放系统用于从工艺腔室内部(例如图1中所图示的偏位泵通口122)移除过剩工艺气体、处理副产物,或类似物等。可经修饰而有利地使用在此揭示的发明的示例性工艺腔室可包括
Figure BDA00002785416800031
Figure BDA00002785416800032
ADVANTEDGETM
Figure BDA00002785416800033
或其他工艺腔室,这些工艺腔室可购自美国加州圣坦克拉拉市的应用材料公司。其他适合的工艺腔室包括可能需要均匀的等离子体处理或需要流经腔室的工艺气体具实质上均匀的压力、流动及/或滞留时间的任何工艺腔室,所述工艺腔室包括具有对称排列的泵送通口的腔室。在一些实施例中,工艺腔室包含双重腔室装配方式,其中两个工艺腔室共享单一泵送通口。
工艺腔室102通常包含腔室主体150,该腔室主体150具有内部空间105,该内部空间105可包括处理空间104与泵送空间106。可将处理空间104界定在例如基板支撑件168与一或更多气体入口之间,该基板支撑件168配置在工艺腔室102内,该基板支撑件168具有顶部表面170以用于在处理期间支撑基板110于该表面上,而该一或更多气体入口为诸如设在期望位置的喷头114及/或喷嘴。可将排放空间106界定在例如基板支撑件108与工艺腔室102的底部174之间。该泵送空间106经由泵通口122汲引到排放系统。例如,可设置真空泵(图中未示)以从工艺腔室102抽出排放气体以及将废气派送到适当的废气处置设备。阀(例如,闸门阀)可设在排放系统中,以与真空泵的操作结合助于控制排放气体的流率。
在一些实施例中,衬垫172可配置在工艺腔室102内,以保护工艺腔室102的壁153免于因处理造成的损害(诸如来自等离子体或来自溅射或基板110的其他工艺副产物的损害)。在一些实施例中,衬垫172为可移除的形式,以助于清洁及/或调节(conditioning)衬垫172及/或壁153。在一些实施例中,该衬垫172可包含开口,所述开口对应工艺腔室中的开口。例如,可设置开口173与狭缝阀开口112相对应。在气体入口设在腔室侧壁中的实施例中,可设置开口以助于气体流进工艺腔室102的处理空间104。在一些实施例中,衬垫172可进一步延伸以裱衬工艺腔室102的顶壁142。用于制造该内部的适合材料可包括导电材料或介电材料。在工艺腔室102的壁153接地的实施例中,衬垫172可由导电材料制成。
一或更多气体入口(例如喷头114)可耦接气体供应器116,该气体供应器116用于提供一或更多工艺气体进入工艺腔室102的处理空间104。尽管图1中图示喷头114,可设置额外或替代性的气体入口,所述气体入口诸如为喷嘴或入口,所述喷嘴或入口配置在工艺腔室102的顶壁中或配置在工艺腔室102的侧壁上,或者所述喷嘴或入口配置在其他位置,所述其他位置适合提供期望的气体到工艺腔室102。
在一些实施例中,RF功率可电容式耦合到上电极,该上电极在工艺腔室102的上部附近。例如,该上电极可为至少部分由顶壁142、喷头114、配置在喷头中的电极144、或类似物中的一或多者所形成的导体,上述各者由适合的导电材料所制造。一或更多RF功率源(图中显示一个RF功率源148)可透过一或更多个别的匹配网络(图中显示为匹配网络146)耦接上电极。一或更多等离子体源能够产生期望频率的RF功率,该期望的频率例如为约13.56MHz、约60MHz、约162MHz、或类似者。
在一些实施例中,可提供感应式耦合RF功率以供处理所用。例如,工艺腔室102可具有顶壁142以及介电质喷头114,该顶壁142由介电材料制成。天线可配置在顶壁142上方,该天线包含至少一个感应线圈元件。所述感应线圈元件可透过一或更多个别的匹配网络(图中显示为匹配网络146)耦接一或更多RF电源(诸如RF电源148)。
基板110可经由开口112进入工艺腔室102,该开口112在工艺腔室102的壁152中。该开口112可选择性地透过狭缝阀118或透过其他机构密封,以选择性提供通过开口112对腔室内部的存取。基板支撑件168可耦接举升机构134,该举升机构134可控制基板支撑件168的位置于下方位置与可选择的上方位置之间,该下方位置适合经由开口112传送基板进出腔室,而该可选择的上方位置(如图所示)适合用于处理。工艺位置可经选择以最大化工艺均匀性以供特定工艺步骤所用。当基板支撑件168在升高的处理位置的至少一者时,基板支撑件168可配置在开口112上方以提供对称的处理区域(例如处理空间)。
一些实施例中,基板支撑件108可包括RF偏压电极140。该RF偏压电极140可透过一或更多个别的匹配网络(图中显示匹配网络136)耦接一或更多偏压电源(图中显示一个偏压电源138)。该一或更多偏压电源能够产生期望频率的RF功率,该期望的频率例如为约2MHz,或约13.56MHz,或约60MHz。该一或更多偏压电源可提供连续或脉冲式的功率。或者,在一些实施例中,该偏压电源可以为DC源或脉冲式DC源。
在一些实施例中,基板支撑件168可包括一种机构,该机构将基板110保持或支撑于基板支撑件168的表面上,该机构诸如为静电夹盘、真空夹盘、基板保持夹箝、或类似物。在一些实施例中,基板支撑件168可包括用于控制基板温度的机构(例如加热及/或冷却装置)及/或用于控制基板表面附近的物种通量及/或离子能量的机构。
环状板166可配置于基板支撑件168周围以控制气体从处理空间104到排放空间106的流动。该环状板可包含任何适合的材料,所述材料例如为石英(SiO2)或陶瓷(诸如含钇的陶瓷)。该环状板166朝工艺腔室102的腔室主体150的壁152径向向外延伸,而在环状板166的外边缘174与壁153的内表面152之间界定出均匀间隙164。在存在衬垫172的实施例中,环状板166可在环状板166的外边缘174与衬垫172之间界定出均匀间隙164。该环状板防止(或实质上防止)在环状板与基板支撑件168之间的气体流动并且限制该流动仅通过该均匀间隙164或主要通过该均匀间隙164。
环状板166如一隔板般操作,以改变气体从处理空间104到泵送空间106的流动。例如,在操作上,当气体从处理空间藉由泵送通口122通过泵送空间而排空时,由于均匀间隙164,环状板166限制气体从处理空间104到泵送空间106的流动。气体流动的限制提供了减少的流动传导度,该减少的流动传导度足以提供横越基板支撑件169的顶部表面170(以及配置在该支撑件上的基板)的气体的均匀流动。在一些实施例中,均匀间隙164的尺寸可足够小,以便生成扼流的流动条件。此外,通过限制气体流动,在均匀间隙164处生成压降(pressure drop),因而横越基板支撑件169的顶部表面170生成更加均匀的压力。在一些实施例中,在均匀间隙164处从处理空间104到泵送空间106的压降可为约0mTorr到约40mTorr。
环状板166可设以匹配工艺腔室与基板支撑件(于该基板支撑件中使用环状板166)的设计。在一些实施例中,环状板166大体上为圆形并且环状板166环绕基板支撑件168。环状板168可具有任何适当的尺寸以提供在期望的工艺腔室中及期望工艺条件下的均匀气体流动及压力。例如,在一些实施例中(且如图3所示),环状板166可具有任何适当的尺寸以提供足够小的均匀间隙164,该均匀间隙164小得足以助于提供在期望的工艺腔室中及期望工艺条件下实质均匀的气体流动及压力。例如,在一些实施例中,均匀间隙164可具有约0.09英寸至约1.24英寸的宽度302,该宽度被界定成工艺腔室的内周边表面306(内周边表面306可为例如壁153的内表面152或者当衬垫存在时可为衬垫172)与环状板166的外边缘174之间的垂直距离。在一些实施例(诸如对处理300mm的半导体晶圆的工艺腔室而言)中,环状板166可具有约15英寸到约17.3英寸的外直径304。一些实施例中,环状板166可具有约0.12英寸到约1英寸的厚度。
回到图1,针对气体的流动特性与工艺腔室的几何形状,环状板166可配置在基板支撑件168周围任何适合提供充分气体流动均匀性的位置。例如,一些实施例中,环状板166可经定位使得环状板166大致上与基板支撑件168的顶部表面170齐平且实质上平行。或者,在一些实施例中,环状板166可经定位使得环状板166大致上与基板110的顶部表面176齐平且实质上平行,该基板110在基板支撑件168上配置。
在一些实施例中,环状板166可耦接基板支撑件168。在一些实施例中,环状板166可由基板支撑件168支撑。在一些实施例中,环状板166的至少一部分重迭基板支撑件168的至少一部分,而防止气体流动于基板支撑件168及环状板166之间。在一些实施例中,环状板166可耦接工艺套件(例如沉积环220,图示于图2中)或环状板166可为该工艺套件的延伸部。
参看图2,在一些实施例中,基板支撑件168可大体上包含中心杆216,该中心杆支撑着支撑容座212以及配置在该支撑容座212内的绝缘层210、真空板208、冷却板206与静电夹盘202。具有其他装配方式的基板支撑件也可合适地设有根据本发明提供的教示的环状板166。在一些实施例中,沉积环220可配置在基板支撑件168顶上且沉积环220可配置在基板110周围以覆盖基板支撑件168的暴露部分。沉积环220保护基板支撑件168的数个部分以免受处理造成的损害(诸如来自等离子体或来自溅射或基板110的其他工艺副产物的损害)。沉积环220可由任何工艺相容的电绝缘材料制成。例如,在一些实施例中,沉积环206可由介电材料制造,诸如石英(SiO2)、或陶瓷(例如钇(Y)类陶瓷)、氮化铝(AlN)、氮化硅(SiN),或类似物。在一些实施例中,沉积环220与环状板166可包含相同材料,或在一些实施例中,沉积环220与环状板166可包含不同材料。
在一些实施例中,沉积环220可配置在冷却板206的凸缘228上。沉积环220具有中央开口,该中央开口大体上对应基板110的形状。在一些实施例中,沉积环220可延伸于基板110下方,然而沉积环220不直接与基板接触。在一些实施例中,沉积环220还大体上环绕静电夹盘202。在一些实施例中,在沉积环220的内边缘230与静电夹盘202的外边缘232之间界定狭窄的间隙。在一些实施例中,沉积环220可包含一或更多特征结构226(图中显示一个),该特征结构226被装配成以与支撑件214的一或更多特征结构224(图中显示一个)接合而提供沉积环220的稳定性且适当地放置沉积环220。在一些实施例中,沉积屏蔽件222可设于沉积环220顶上,以进一步保护工艺腔室的几个部分及/或工艺腔室的部件免于在处理期间受到非期望的沉积。在一些实施例中,隔离环204可配置在静电夹盘202与沉积环220的顶上,以提供处理空间与冷却板(或其他RF热部件)之间延长及/或不连续的路径,而防止或限制可能发生的任何电弧放电作用。
冷却板206可包含任何适合提供从夹盘202到冷却板205的适当热传的材料。例如,在一些实施例中,冷却板206可由金属制造,该金属诸如为铝、镍,或类似物。在一些实施例中,冷却板206可包含一或更多通道(图中未示),所述通道形成于该冷却板206中而用于循环冷却剂以进一步助于从夹盘202到冷却板206的热传。
绝缘层210可包含任何电绝缘材料,该电绝缘材料适于提供电绝缘同时在处理期间提供适当且稳定的支撑。例如,在一些实施例中,绝缘层210可包含介电材料,例如陶瓷、氮化铝(AlN)、氮化硅(SiN),或类似物。支撑容座212提供机械性支撑给绝缘层210并且支撑容座212可由例如铝之类的金属制成。在支撑容座212由导电金属制成的实施例中,支撑容座212例如经由连接件接地至工艺腔室102的接地部分。
因此,在此提供用于在工艺腔室中控制气体流动的设备。本发明的实施例大体上关于用于在工艺腔室中控制气体流动的设备。本发明的设备可有利地提供受限制的均匀流径以供气体所用,该气体在工艺腔室中从处理空间流动到泵送空间。该受限制的流径可生成扼流的流动条件,因而减少流径上游的流动传导度,因此提供处理空间中均匀的气体流动。
尽管前述内容涉及本发明的实施例,可设计本发明的其他与进一步的实施例而不背离本发明的基本范畴。

Claims (11)

1.一种用于在工艺腔室中控制气体流动的设备,所述工艺腔室具有在所述工艺腔室内的处理空间与在所述工艺腔室内的泵送空间,所述处理空间配置在基板支撑件上方,所述泵送空间配置在所述基板支撑件下方,所述设备包括:
环状板,所述环状板环绕所述基板支撑件,位于所述基板支撑件的基板支撑表面的水平面附近,其中所述环状板朝所述工艺腔室的内周边表面径向向外延伸,而界定出所述环状板的外边缘与所述内周边表面之间的均匀间隙,其中所述均匀间隙提供从所述处理空间到所述泵送空间的均匀流径。
2.如权利要求1的设备,其中当气体从所述处理空间流到所述泵送空间时,在所述环状板的所述外边缘与所述工艺腔室的所述内周边表面之间的所述均匀间隙充分地限制从所述处理空间到所述泵送空间的流动,以维持扼流流动条件。
3.如权利要求1至权利要求2任一项的设备,其中所述基板支撑件包含静电夹盘。
4.如权利要求1至权利要求2任一项的设备,其中所述工艺腔室包含泵通口,所述泵通口配置在所述工艺腔室的一侧上,以提供所述工艺腔室内气体的不对称流动。
5.一种用于在工艺腔室中控制气体流动的设备,所述设备包括:
工艺腔室,所述工艺腔室具有在所述工艺腔室内的处理空间与在所述工艺腔室内的泵送空间,所述处理空间配置在基板支撑件上方,所述泵送空间配置在所述基板支撑件下方,其中所述基板支撑件包含静电夹盘;
环状板,所述环状板环绕所述基板支撑件,位于所述基板支撑件的基板支撑表面的水平面附近,其中所述环状板朝所述工艺腔室的壁径向向外延伸,而界定出所述环状板的外边缘与所述工艺腔室的内周边表面之间的均匀间隙,所述均匀间隙在气体从所述处理空间流到所述泵送空间时充分限制了从所述处理空间到所述泵送空间的流动,从而维持扼流流动条件;以及
泵通口,所述泵通口配置在所述工艺腔室的一侧上,以提供所述工艺腔室内气体的不对称流动。
6.如权利要求5的设备,其中所述均匀间隙提供从所述处理空间到所述泵送空间的均匀流径。
7.如权利要求1、权利要求2或权利要求5任一项的设备,其中所述均匀间隙具有约0.09英寸至约1.24英寸的宽度。
8.如权利要求1、权利要求2或权利要求5任一项的设备,其中所述工艺腔室包含衬垫,所述衬垫配置成邻接所述工艺腔室的壁的内表面,且其中所述衬垫界定所述内周边表面的至少一部分,使得所述均匀间隙被所述环状板的所述外边缘与所述衬垫所界定。
9.如权利要求1、权利要求2或权利要求5任一项的设备,其中气体流动通过所述均匀流径的压降多达约40mTorr。
10.如权利要求1、权利要求2或权利要求5任一项的设备,其中所述环状板包含石英(SiO2)、钇(Y)或陶瓷。
11.如权利要求1、权利要求2或权利要求5任一项的设备,其中所述基板支撑件包含举升件,所述举升件被装配成在垂直方向上移动所述基板支撑件。
CN201180036999XA 2010-07-30 2011-07-27 用于控制工艺腔室中的气体流动的设备 Pending CN103069560A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36923910P 2010-07-30 2010-07-30
US61/369,239 2010-07-30
US13/015,106 2011-01-27
US13/015,106 US9443753B2 (en) 2010-07-30 2011-01-27 Apparatus for controlling the flow of a gas in a process chamber
PCT/US2011/045550 WO2012015931A2 (en) 2010-07-30 2011-07-27 Apparatus for controlling the flow of a gas in a process chamber

Publications (1)

Publication Number Publication Date
CN103069560A true CN103069560A (zh) 2013-04-24

Family

ID=45525513

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180036999XA Pending CN103069560A (zh) 2010-07-30 2011-07-27 用于控制工艺腔室中的气体流动的设备

Country Status (6)

Country Link
US (1) US9443753B2 (zh)
JP (1) JP2013533640A (zh)
KR (1) KR20130093102A (zh)
CN (1) CN103069560A (zh)
TW (1) TW201212119A (zh)
WO (1) WO2012015931A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104103482A (zh) * 2013-04-07 2014-10-15 盛美半导体设备(上海)有限公司 晶圆加工腔室
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法
CN110911336A (zh) * 2014-09-12 2020-03-24 应用材料公司 增加用于静电夹盘的气体效率
CN111640641A (zh) * 2020-06-01 2020-09-08 北京北方华创微电子装备有限公司 半导体工艺腔室及半导体工艺设备
CN114174554A (zh) * 2019-07-29 2022-03-11 应用材料公司 半导体处理腔室及清洁半导体处理腔室的方法

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5926742B2 (ja) * 2010-12-30 2016-05-25 ビーコ・インストゥルメンツ・インコーポレイテッド 反応器及びウェハを処理する方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP6054695B2 (ja) * 2011-11-25 2016-12-27 東京エレクトロン株式会社 成膜装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102352739B1 (ko) 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US20180128647A1 (en) 2016-11-10 2018-05-10 Aixtron Se Device and method to control the uniformity of a gas flow in a cvd or an ald reactor or of a layer grown therein
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20170024592A (ko) * 2017-02-15 2017-03-07 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
JP7065875B2 (ja) * 2017-03-31 2022-05-12 マトソン テクノロジー インコーポレイテッド プラズマ処理装置のためのペデスタルアセンブリ
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20180323042A1 (en) * 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019160714A (ja) * 2018-03-16 2019-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
SG11202100703SA (en) * 2018-07-30 2021-02-25 Nordson Corp Systems for workpiece processing with plasma
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0565655A (ja) * 1991-09-10 1993-03-19 Sony Corp Ecr型プラズマ処理装置
JPH088239A (ja) * 1995-06-21 1996-01-12 Kokusai Electric Co Ltd ウェーハ処理装置
US5639334A (en) * 1995-03-07 1997-06-17 International Business Machines Corporation Uniform gas flow arrangements
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6692575B1 (en) * 1998-09-03 2004-02-17 Cvc Products Inc. Apparatus for supporting a substrate in a reaction chamber
US20060236932A1 (en) * 2005-04-22 2006-10-26 Kenetsu Yokogawa Plasma processing apparatus
CN101188189A (zh) * 2006-11-15 2008-05-28 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
WO2010080069A2 (en) * 2009-01-06 2010-07-15 Frontken (Singapore) Pte Ltd Techniques for maintaining a substrate processing system

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
JPH04240185A (ja) * 1991-01-14 1992-08-27 Furukawa Electric Co Ltd:The 気相成長装置
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP2001085412A (ja) * 1999-09-16 2001-03-30 Matsushita Electric Ind Co Ltd 真空処理方法
JP2001085411A (ja) * 1999-09-16 2001-03-30 Matsushita Electric Ind Co Ltd 真空処理方法
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
AU2002307547A1 (en) * 2001-04-24 2002-11-05 Unit Instruments, Inc. System and method for configuring and asapting a mass flow controller
US7103443B2 (en) * 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
JP4731760B2 (ja) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 真空処理装置および真空処理方法
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US20050211383A1 (en) * 2002-08-21 2005-09-29 Koji Miyata Magnetron plasma-use magnetic field generation device
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US20080264340A1 (en) * 2004-04-12 2008-10-30 Novellus Systems, Inc. Moving interleaved sputter chamber shields
CN100419971C (zh) * 2004-06-15 2008-09-17 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7681581B2 (en) * 2005-04-01 2010-03-23 Fsi International, Inc. Compact duct system incorporating moveable and nestable baffles for use in tools used to process microelectronic workpieces with one or more treatment fluids
JP4756540B2 (ja) * 2005-09-30 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置と方法
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
GB0615722D0 (en) * 2006-08-08 2006-09-20 Boc Group Plc Apparatus for conveying a waste stream
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US7795559B2 (en) * 2007-10-26 2010-09-14 Anvik Corporation Vacuum debris removal system
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0565655A (ja) * 1991-09-10 1993-03-19 Sony Corp Ecr型プラズマ処理装置
US5639334A (en) * 1995-03-07 1997-06-17 International Business Machines Corporation Uniform gas flow arrangements
JPH088239A (ja) * 1995-06-21 1996-01-12 Kokusai Electric Co Ltd ウェーハ処理装置
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US6692575B1 (en) * 1998-09-03 2004-02-17 Cvc Products Inc. Apparatus for supporting a substrate in a reaction chamber
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US20060236932A1 (en) * 2005-04-22 2006-10-26 Kenetsu Yokogawa Plasma processing apparatus
CN101188189A (zh) * 2006-11-15 2008-05-28 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
WO2010080069A2 (en) * 2009-01-06 2010-07-15 Frontken (Singapore) Pte Ltd Techniques for maintaining a substrate processing system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104103482A (zh) * 2013-04-07 2014-10-15 盛美半导体设备(上海)有限公司 晶圆加工腔室
CN104103482B (zh) * 2013-04-07 2017-12-08 盛美半导体设备(上海)有限公司 晶圆加工腔室
CN110911336A (zh) * 2014-09-12 2020-03-24 应用材料公司 增加用于静电夹盘的气体效率
CN110911336B (zh) * 2014-09-12 2023-03-28 应用材料公司 增加用于静电夹盘的气体效率
US11747834B2 (en) 2014-09-12 2023-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
CN109075023A (zh) * 2016-04-01 2018-12-21 应用材料公司 用于提供均匀流动的气体的设备和方法
CN109075023B (zh) * 2016-04-01 2023-09-08 应用材料公司 用于提供均匀流动的气体的设备和方法
CN114174554A (zh) * 2019-07-29 2022-03-11 应用材料公司 半导体处理腔室及清洁半导体处理腔室的方法
CN111640641A (zh) * 2020-06-01 2020-09-08 北京北方华创微电子装备有限公司 半导体工艺腔室及半导体工艺设备
CN111640641B (zh) * 2020-06-01 2023-11-14 北京北方华创微电子装备有限公司 半导体工艺腔室及半导体工艺设备

Also Published As

Publication number Publication date
TW201212119A (en) 2012-03-16
US20120024479A1 (en) 2012-02-02
WO2012015931A2 (en) 2012-02-02
JP2013533640A (ja) 2013-08-22
KR20130093102A (ko) 2013-08-21
US9443753B2 (en) 2016-09-13
WO2012015931A3 (en) 2012-05-10

Similar Documents

Publication Publication Date Title
CN103069560A (zh) 用于控制工艺腔室中的气体流动的设备
US11130142B2 (en) Showerhead having a detachable gas distribution plate
US10790120B2 (en) Showerhead having a detachable high resistivity gas distribution plate
JP6154390B2 (ja) 静電チャック
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
KR101472158B1 (ko) 가변 체적 플라즈마 프로세싱 챔버 및 연관된 방법
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
EP0794553A2 (en) High density plasma CVD and etching reactor
US20190148121A1 (en) Inline dps chamber hardware design to enable axis symmetry for improved flow conductance and uniformity
CN108028163B (zh) 用于等离子体反应器的远程等离子体与电子束生成系统
CN102884610A (zh) 局限工艺空间的pecvd腔室
TW201325324A (zh) 電漿處理設備及其蓋組件(二)
JP6660936B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
TW201511069A (zh) 用於處理半導體工作件之裝置
KR20040005968A (ko) 애노드형 플라즈마 반응기 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130424