CN108028163B - 用于等离子体反应器的远程等离子体与电子束生成系统 - Google Patents

用于等离子体反应器的远程等离子体与电子束生成系统 Download PDF

Info

Publication number
CN108028163B
CN108028163B CN201680051912.9A CN201680051912A CN108028163B CN 108028163 B CN108028163 B CN 108028163B CN 201680051912 A CN201680051912 A CN 201680051912A CN 108028163 B CN108028163 B CN 108028163B
Authority
CN
China
Prior art keywords
chamber
electron beam
plasma
source
chamber body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680051912.9A
Other languages
English (en)
Other versions
CN108028163A (zh
Inventor
R·丁德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108028163A publication Critical patent/CN108028163A/zh
Application granted granted Critical
Publication of CN108028163B publication Critical patent/CN108028163B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

提供装置的实施例,所述装置具有改良的线圈天线组件,所述改良的线圈天线组件有远程等离子体源与电子束生成系统,可在处理腔室提供增强的等离子体。一个实施例中,一种等离子体处理腔室包括:腔室主体、盖、衬底支撑件、双电感耦合源、以及远程等离子体源,所述盖围住所述腔室主体的内部空间,所述衬底支撑件配置在所述内部空间中,所述双电感耦合源包括通过所述盖耦接所述腔室主体的线圈天线组件,而所述远程等离子体源通过所述盖耦接所述腔室主体。

Description

用于等离子体反应器的远程等离子体与电子束生成系统
技术领域
实施例大体上涉及半导体衬底处理系统的装置。更具体地,实施例涉及用于等离子体处理系统的远程等离子体生成组件以及电子束生成系统。
背景技术
在集成电路的制造中,要求精确地控制各种工艺参数以达成衬底内有一致的结果,以及从衬底至衬底可再现的结果。随着形成半导体器件的结构的几何极限推抵技术的极限,对制造成功性而言,更严密的容差与精确的工艺控制是至关重要的。然而,随几何形状缩小,精确的临界尺寸与蚀刻工艺控制已变得逐渐困难。
许多半导体器件在等离子体的存在下进行处理。等离子体可容易在处理腔室中点燃,该处理腔室利用电感耦合电力以供能给形成等离子体的气体。然而其他类型的处理腔室中的等离子体点燃可能不是如此容易发起,经常需要电力的尖波以点燃腔室内的气体。不幸的是,此类电力尖波经常造成对腔室部件生成过高的温度,而减损腔室部件的服务寿命且非期望地在处理腔室内生成颗粒,而颗粒则非期望地影响缺陷率。
再者,等离子体源或点燃的不稳定来源亦造成离子/自由基分布不均匀,造成在处理环境中形成离子/自由基比以及浓度梯度。离子/自由基比以及浓度梯度可能会非期望地产生遍及衬底不均匀的等离子体分布,因此造成蚀刻速率不均匀,从而造成衬底上形成的所得结构过度蚀刻或蚀刻不足。沉积工艺中,不均匀的等离子体分布也可能造成轮廓(profile)扭曲或形成不完整的结构。
因此,需要装置与方法以在处理腔室内于等离子体工艺期间改进自由基/离子比与分布轮廓的控制。
发明内容
实施例大体上提供一种改进的线圈天线组件,该改进的线圈天线组件有远程等离子体源,该远程等离子体源可提供处理腔室内的增强等离子体点燃。此外,也可在该处理腔室中实施电子束生成系统,以增强可用于蚀刻、沉积、注入、和热处理系统以及其他应用中的等离子体分布以及离子/自由基比控制。
一个实施例中,一种等离子体处理腔室包括:腔室主体、盖、衬底支撑件、双电感耦合源、以及远程等离子体源,该盖围住该腔室主体的内部空间,该衬底支撑件配置在内部空间中,该双电感耦合源包括通过该盖耦接该腔室主体的线圈天线组件,而该远程等离子体源通过该盖耦接至该腔室主体。
另一实施例中,一种等离子体处理腔室包括:腔室主体、封住腔室主体的内部空间的盖、设置在内部空间的衬底支撑件、包括通过盖耦合腔室主体的线圈天线组件的双电感耦合源、以及被设置在腔室主体的内部空间中且毗邻于腔室主体的内壁的电子束生成系统。
在又一实施例中,一种用于操作处理腔室的方法包括:在处理腔室中限定的内部空间中从双电感耦合等离子体源生成等离子体,该双电感耦合等离子体源由被设置在处理腔室中的线圈组件形成;在生成该等离子体的同时,于该处理腔室的该内部空间中生成电子束;以及在该处理腔室的该内部空间中生成该电子束的同时,将远程等离子体源引导至分布在该处理腔室的该内部空间中的该等离子体。
附图说明
为了能详细了解上述实施例的特征的方式,可通过参考实施例来对上面简要总结的实施例进行更具体的描述。然而,应注意附图仅示出了本文所述的典型实施例,因此不应被视为对其范围的限制,可容许其他等效实施例。
图1是根据一个实施例的包括远程等离子体源的示例性半导体衬底处理装置的示意图;
图2是根据一个实施例的包括电子束生成系统的示例性半导体衬底处理装置的示意图,该设备;以及
图3是根据一个实施例的包括电子束生成系统与等离子体限制板的示例性半导体衬底处理装置的示意图。
为了便于了解,在可能的情况下使用相同的附图标记指示各图共通的相同元件。还构想到,一个实施例的元件与特征可有利地并入其他实施例,而无需进一步叙述。
具体实施方式
实施例大体上提供一种改进的处理腔室,该改进的处理腔室具有可在处理腔室中提供增强的等离子体分布的远程等离子体源及/或电子束生成系统。远程等离子体源以及电子束生成系统增强等离子体处理腔室中等离子体的位置与分布的控制,且可用在蚀刻、沉积、注入、与热处理系统中,以及期望有等离子体位置控制的其他应用。
图1是示例性半导体衬底处理装置100的示意图,该装置100包括远程等离子体源120以及线圈天线组件104。一个实施例中,图1的半导体衬底处理装置100可被配置成通过使用RF电感耦合等离子体来执行反应性离子蚀刻处理,该RF电感耦合等离子体是由设置在半导体衬底处理装置100中的线圈天线组件104与远程等离子体源120所生成。还构想到,线圈天线组件104与远程等离子体源120可有利地用在其他类型的等离子体处理腔室,所述其他类型的等离子体处理腔室尤其包括化学气相沉积腔室、物理气相沉积腔室、注入腔室、氮化腔室、等离子体退火腔室、等离子体处置(treatment)腔室、与灰化腔室。因此,提供图1的示例性半导体衬底处理装置100的实施例以用于说明,不应将该实施例用于限定该等实施例的范畴。
半导体衬底处理装置100包括腔室主体10,该腔室主体10包括盖12与圆柱形侧壁14,盖12与圆柱形侧壁14限定处理腔室16,围住位于该处理腔室16中的处理区域21。该盖12对RF电力具传输性,且允许定位在盖12上方的双电感耦合等离子体源电力施加器71所提供的RF电力耦合到处理腔室16内的处理气体。盖12可由任何适合的材料制造,且在图1所描绘的实施例中,盖12由介电材料(诸如,石英)制造。
盖加热器62被设置在盖12上,位于处理腔室16外侧。尽管图1中只显示一部分的盖加热器62,但盖加热器62实质上延伸横越整个盖12且实质上覆盖整个盖12。盖加热器62控制盖12的温度,以控制副产物对盖12的沉积与附着,由此增强颗粒控制。盖加热器62可为电阻式加热器或其他类型的加热器,且在图1所描绘的实施例中,盖加热器62包括与加热器电源66耦接的电阻式加热元件64。
衬底支撑基座18位于处理腔室16内侧,该衬底支撑基座18包括偏压电极20。双等离子体偏压生成器22、23为电感耦合等离子体式或电容耦合等离子体式,该双等离子体偏压生成器22、23通过RF偏压阻抗匹配24耦接偏压电极20。尽管本文描绘的实施例包括双等离子体偏压生成器,但应注意等离子体偏压生成器的数目与类型可为任意数目或任意形式。处理气体供应源51通过处理气体分配装置52提供处理气体至处理腔室16中,该处理气体分配装置52可设在侧壁14中(如图所示)或盖12中,此为举例。真空泵53通过泵送通口54抽空处理腔室16。
应注意,或者,双等离子体偏压生成器22、23可为任何适合类型的偏压源,依照需求,该偏压源包括电感耦合偏压源、电容耦合偏压源、或是形状偏压源。
金属形成的线圈天线包壳30设在盖12上方,且包括金属性接地基底圆柱形侧壁35与导电顶部圆柱形侧壁45,该金属性接地基底圆柱形侧壁35具有支撑肩环40的顶部边缘35a,该导电顶部圆柱形侧壁45从肩环40延伸并且支撑上方导电覆盖件50。导电覆盖件50与顶部圆柱形侧壁45可一起一体式(integrally)形成,且可耦接至接地端。浮置支撑板55在肩环40上或在肩环40略上方,且以如下文所述方式受支撑。
双电感耦合等离子体源电力施加器71设置在配置成生成电感耦合等离子体的半导体衬底处理装置100中。双电感耦合等离子体源电力施加器71包括线圈天线组件104以及与该线圈天线组件104耦接的远程等离子体源120。线圈天线组件104由两组托架60、65在支撑板55下方支撑,该两组托架60、65从支撑板55向下延伸。线圈天线组件104包括至少一个线圈天线,且在图1中所描绘的实施例中,该线圈天线组件104包括一或多个内线圈天线70与一或多个外线圈天线75。外线圈天线75可与内线圈天线70同心。于腔室盖12上方,托架60支撑内线圈天线70,而托架65支撑外线圈天线75。线圈天线70、75可具有螺旋配置。每一线圈天线75、70的第一端190、192通过RF阻抗匹配箱76耦接至双(或多)RF电力生成器77、78,而每一线圈天线75、70的第二端194、196耦接至接地端。这样会跨越线圈天线75、70产生压降,使得相对于线圈天线75、70的第二端194、196,第一端190、192具有较大的电压电位。
RF阻抗匹配箱76安置在支撑板55上。第一RF电力生成器77通过阻抗匹配箱76中的阻抗匹配元件(未示出)耦接至内线圈天线70。第二RF电力生成器78通过阻抗匹配箱76中的其他阻抗匹配元件(未示出)耦接至外线圈天线75。双RF电力生成器77、78可于处理期间为处理腔室16提供增强的等离子体密度。
等离子体处理期间,以电力生成器77、78提供的RF电力对线圈天线组件104进行激励,以在腔室主体10的内部空间内维持由处理气体形成的等离子体。
柔性RF垫片57提供肩环40与浮置支撑板55间RF遮蔽与电连续性。RF垫片57可以是环形铜网格,且可中断以容纳下文所述的支撑伺服装置。支撑板55是由三个支撑伺服装置80、85、90所支撑,该等支撑伺服装置80、85、90以相等(120度)间隔放置在肩环40上。一个实施例中,支撑伺服装置80、85、90是相同的。
远程等离子体源120通过RF馈通件(feedthrough)124耦接盖12。RF馈通件124进一步耦接挡板122,该挡板122具有形成于该挡板122中的多个孔隙126,该等孔隙126允许来自远程等离子体源120的离子/自由基得以通过挡板122而至处理腔室16。等离子体可从远程等离子体源120远程地生成,接着,在稍后通过挡板122供应至处理腔室16中,以供处理所用。挡板122平行于衬底支撑基座18的上表面且面向该上表面。
一个示例中,挡板122可旋转,以助于使通过该挡板122的气体或远程等离子体以更好的均匀性进行分配。挡板122可以任何所需的速度顺时钟或逆时钟旋转。
远程等离子体源120以及双ICP源77、78可有效地控制等离子体中形成的离子与自由基以供处理,而该等离子体分布在处理腔室16中。
衬垫152形成于腔室主体10的内壁17上,延伸至衬底支撑基座18的侧表面19,且由配置在腔室主体10的内壁17与衬底支撑基座18的侧表面19之间的限制环154桥接。衬垫152可助于将腔室主体10与处理腔室16维持在期望的温度范围。此外,衬垫152也可为腔室主体10的内壁17提供保护,以防止腔室部件在处理期间受到等离子体攻击。一个实施例中,衬垫152可由对处理腔室16中生成的等离子体呈惰性的任何绝缘材料形成。适合的用于衬垫152的材料包括:氮化铝、氧化铝、阳极氧化铝、钇涂布的材料、或任何适合的材料。
此外,限制环154亦可配置在处理腔室16中环绕衬底支撑基座18的周围区域。限制环154桥接在形成于腔室主体10的内壁17与衬底支撑基座18的侧表面19上的衬垫154之间。限制环154包括多个狭槽156,该等狭槽156容许等离子体或气体得以通过该狭槽156。限制环154中的狭槽156容许处理气体混合物得以通过且减少跨越处理腔室16的流动阻力。等离子体中的中性物质被配置成穿过狭槽156以通过真空泵53被泵送出处理腔室16。
限制环154提供良好的等离子体限制且减少跨越处理腔室16的流动阻力。限制环154可由导电材料(诸如,诸如碳化硅(SiC)或铝(Al))制成。限制环154中狭槽156之外的结构以及有不同机械强度的材料亦可用于限制环154,以提供良好的流动导通性并且提供良好的机械强度,该良好的机械强度供限制环154撑托抵住腔室主体10的内壁17以及衬底支撑基座18的侧表面19。
控制信号缆线170供应来自图1的半导体衬底处理装置100的中央控制器175的电控制信号与电力。中央控制器175控制三个支撑伺服装置80、85、90中的每一个。将该三个支撑伺服装置80、85、90在肩环40周围以相等的间隔放置能够使控制器175绕任何倾斜轴旋转浮置支撑板55,该倾斜轴是相对于处理腔室16的对称轴沿着任何方位角0定向。
图2是半导体衬底处理装置200的另一实施例的示意图,该半导体衬底处理装置200有配置在在处理腔室16中的电子束生成系统230。电子束生成系统230配置在腔室主体10的内壁17周围。电子束生成系统230包括电子束生成源231,该电子束生成源231设置在邻近腔室主体10的内壁17处。电子束源气体供应器202连接至电子束生成源231,被配置成供应气体至电子束生成源231以在处理期间于处理区域21中生成电子束等离子体。
电子束生成源231包括轮廓提取栅极222与加速栅极224,该加速栅极设置在处理区域21中位于提取栅极222与腔室主体10的内壁17之间。轮廓提取栅极222与加速栅极224可形成为例如分开的导电薄片或网格,该导电薄片具有穿过该等导电薄片形成的孔隙或孔洞。一个示例中,电子束生成源231限定薄宽电子束流径208(即,y方向薄而z方向宽)以使电子束侧向流入处理区域21。
电子束生成系统230还包括一对电磁铁(未示出),该电磁铁对齐电子束生成源231以产生平行于所生成的电子束方向(例如,x方向)的磁场。注意到电子束生成源231可通过任何适合方式生成电子束,该方式包括其他类型的电源。所生成的电子束可增强处理区域21中生成的等离子体电子密度。电子束以x方向侧向横跨处理区域21流动,如流径208所指示,于衬底支撑基座18上配置的衬底240上方的空间。
通过衬底240上方的电子束随后被电子束收集器235吸收且收集在处理区域21的相对于电子束生成源231的相对侧上。电子束收集器235是导电主体,该导电主体的形状与尺寸适于捕捉沿着路径208的电子束的宽薄路径。电子束收集器235可被固定在选定的电位,诸如接地。电子收集器电压源237耦接至电子束收集器235,该电子收集器电压源237配置成当从电子束生成源231拉引电子时供应电压给电子束收集器235。
往回参考处理腔室16的电子束生成源侧,束电压供应器210连接到电子束生成源231以当开启电子束生成系统230时供应电压。从电子束生成源230通过提取栅极222与加速栅极224提取电子,以产生流进处理区域21的电子束。电子被加速至与束电压供应器210提供的电压相等的能量。
从电子束生成源23生成的电子束使处理气体(从处理气体供应器202供应)以及等离子体(来自远程等离子体源120与处理气体供应源51而进入处理区域21)离子化,而在处理区域21中形成电子束等离子体。该电子束等离子体包括不同电荷的离子。带电荷的离子可朝向衬底240加速,这是由于来自RF偏压电源22、23的偏压电力所致。带电荷的离子随后可与配置在衬底240上的材料层反应,从而蚀刻及移除衬底240上由图案化光阻层所暴露的材料层。
图3描绘半导体衬底处理装置300的又一实施例,该半导体衬底处理装置300有配置在限制环154下方的额外过滤板302。配置在该限制环154下方的该过滤板302可助于补偿通过限制环154到泵送通口54的不平衡的流动。过滤板302可与限制环154呈间隔开的关系定位,且环绕衬底支撑基座18的周围区域。过滤板302亦可包括多个狭槽304,该等狭槽304与形成在限制环154中的狭槽156对齐,允许来自处理区域21的气体得以通过该等狭槽而至泵送通口54。
一个示例中,过滤板302可具有不同的狭槽304的分布,该等狭槽304形成在该过滤板302的不同位置/区域处。因泵送通口54可形成在处理腔室10的某侧上,所以造成通过定位有泵送通口54的处理腔室10的某侧的流动增强。于是,不平衡的气流经常导致蚀刻工艺期间在处理区域21中有不均匀的蚀刻速率。因此,通过在过滤板302中形成狭槽304的不同尺寸、不同几何形状的直径,可平衡通过该等狭槽304的不均匀气流,以改善蚀刻工艺期间横跨衬底表面的气流分布及/或等离子体分布。
一个实施例中,过滤板302可由石英材料或任何适合的抗等离子体材料制造。过滤板302接近泵送通口54的第一区域中的狭槽304的密度可低于过滤板302远离泵送通口54的第二区域中的狭槽304的密度,以有效减少直接从过滤板302的第一区域抵达的气流/等离子体流离开处理区域21的泵送速率。应注意,过滤板302中形成的狭槽304可为任何形式,诸如孔洞、孔隙、方形开口、或具不同几何形状的任何适合开口。
因此,藉由在处理腔室中利用远程等离子体源、电子束生成系统、及/或视情况任选的额外过滤板302,可有效控制等离子体中的离子密度、离子分布、离子/中性物质/自由基比,而改善蚀刻效率与性能。因等离子体以及等离子体中生成的离子/中性物质/自由基比可定位在更期望的位置且以充分功率密度进行更好的管理,所以可实现更均匀且可预测的处理要求。
尽管上述内容是针对本文所述的实施例,但可设计其他以及进一步的实施例而不偏离本申请案的基本范畴,且本申请案的范畴由下文的权利要求所决定。

Claims (13)

1.一种等离子体处理腔室,包括:
腔室主体;
盖,所述盖围住所述腔室主体的内部空间;
衬底支撑件,所述衬底支撑件设置在所述内部空间中;
双电感耦合源,所述双电感耦合源包括通过所述盖耦接至所述腔室主体的线圈天线组件;
远程等离子体源,所述远程等离子体源通过所述盖耦接至所述腔室主体;
限制环,所述限制环环绕所述衬底支撑件的周围区域;以及
过滤板,所述过滤板设置在所述限制环下方,与所述限制环呈间隔开的关系,且环绕所述衬底支撑件的所述周围区域。
2.如权利要求1所述的腔室,还包括:
双偏压电源,所述双偏压电源耦接至所述衬底支撑件。
3.如权利要求2所述的腔室,其中所述双偏压电源包括电容耦合偏压源。
4.如权利要求1所述的腔室,还包括:
形状偏压源,所述形状偏压源耦接至所述衬底支撑件。
5.如权利要求1所述的腔室,还包括:
电子束生成系统,所述电子束生成系统配置在所述腔室主体的所述内部空间中。
6.如权利要求5所述的腔室,其中所述电子束还包括:
电子束生成源;以及
电子束收集器,所述电子束收集器定位在所述内部空间中的所述电子束生成源的相对处且面向所述电子束生成源。
7.如权利要求6所述的腔室,还包括:
电子收集器电压源,所述电子收集器电压源耦接至所述电子束收集器。
8.如权利要求6所述的腔室,还包括:
束电压供应器,所述束电压供应器耦接至所述电子束生成源。
9.如权利要求1所述的腔室,其中所述过滤板由石英制造。
10.如权利要求1所述的腔室,还包括:
衬垫,所述衬垫形成在所述腔室主体的内壁上。
11.如权利要求1所述的腔室,进一步包括:
挡板,所述挡板配置在所述盖下方且通过RF馈通件耦接至所述远程等离子体源。
12.一种等离子体处理腔室,包括:
腔室主体;
盖,所述盖围住所述腔室主体的内部空间;
衬底支撑件,所述衬底支撑件配置在所述内部空间中;
双电感耦合源,所述双电感耦合源包括通过所述盖耦接至所述腔室主体的线圈天线组件;
电子束生成系统,所述电子束生成系统配置在所述腔室主体的所述内部空间中且邻近所述腔室主体中的内壁;
限制环,所述限制环环绕所述衬底支撑件的周围区域;以及
过滤板,所述过滤板配置在所述限制环下方,与所述限制环呈间隔开的关系,且环绕所述衬底支撑件的所述周围区域。
13.一种用于操作根据权利要求1-12中的任一项所述的等离子体处理腔室的方法,包括下述步骤:
在所述等离子体处理腔室中界定的内部空间中从所述双电感耦合等离子体源生成等离子体,所述双电感耦合等离子体源由所述线圈天线组件形成;
在生成所述等离子体的同时,于所述等离子体处理腔室的所述内部空间中生成电子束;以及
在所述等离子体处理腔室的所述内部空间中生成所述电子束的同时,将所述远程等离子体源引导至分布在所述等离子体处理腔室的所述内部空间中的所述等离子体。
CN201680051912.9A 2015-09-25 2016-08-02 用于等离子体反应器的远程等离子体与电子束生成系统 Active CN108028163B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562233020P 2015-09-25 2015-09-25
US62/233,020 2015-09-25
US14/968,121 2015-12-14
US14/968,121 US10032604B2 (en) 2015-09-25 2015-12-14 Remote plasma and electron beam generation system for a plasma reactor
PCT/US2016/045196 WO2017052789A1 (en) 2015-09-25 2016-08-02 Remote plasma and electron beam generation system for a plasma reactor

Publications (2)

Publication Number Publication Date
CN108028163A CN108028163A (zh) 2018-05-11
CN108028163B true CN108028163B (zh) 2020-03-03

Family

ID=58387163

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680051912.9A Active CN108028163B (zh) 2015-09-25 2016-08-02 用于等离子体反应器的远程等离子体与电子束生成系统

Country Status (6)

Country Link
US (1) US10032604B2 (zh)
JP (1) JP2018530103A (zh)
KR (1) KR20180048681A (zh)
CN (1) CN108028163B (zh)
TW (1) TWI671784B (zh)
WO (1) WO2017052789A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI658750B (zh) * 2017-06-02 2019-05-01 北京北方華創微電子裝備有限公司 用於處理工件的電漿反應裝置
US11127572B2 (en) * 2018-08-07 2021-09-21 Silfex, Inc. L-shaped plasma confinement ring for plasma chambers
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
EP4070358A4 (en) * 2019-12-03 2023-12-13 Rimere, LLC INDUCTION PASS POWER SYSTEM
KR20240031964A (ko) * 2021-05-24 2024-03-08 램 리써치 코포레이션 멀티-섹션 플라즈마 한정 링 (confinement ring) 구조체
CN113482870B (zh) * 2021-08-19 2022-06-03 北京理工大学 一种双栅极结构的碳纳米管气体场电离推力器

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3056772B2 (ja) 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
JP2991192B1 (ja) * 1998-07-23 1999-12-20 日本電気株式会社 プラズマ処理方法及びプラズマ処理装置
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
JP4039834B2 (ja) 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7183514B2 (en) 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
US7426900B2 (en) 2003-11-19 2008-09-23 Tokyo Electron Limited Integrated electrostatic inductive coupling for plasma processing
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
EP1582922B1 (en) 2004-04-01 2008-11-26 STMicroelectronics S.r.l. Nonlithographic method of defining geometries for plasma and/or ion implantation treatments on a semiconductor wafer
KR100725037B1 (ko) 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
US8187416B2 (en) 2005-05-20 2012-05-29 Applied Materials, Inc. Interior antenna for substrate processing chamber
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
WO2009117612A2 (en) 2008-03-21 2009-09-24 Applied Materials, Inc. Shielded lid heater assembly
JP5357486B2 (ja) * 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8414736B2 (en) 2009-09-03 2013-04-09 Applied Materials, Inc. Plasma reactor with tiltable overhead RF inductive source
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8773020B2 (en) 2010-10-22 2014-07-08 Applied Materials, Inc. Apparatus for forming a magnetic field and methods of use thereof
US20120103524A1 (en) 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
US8492980B2 (en) 2010-10-28 2013-07-23 Applied Materials, Inc. Methods for calibrating RF power applied to a plurality of RF coils in a plasma processing system
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
SG10201602780VA (en) * 2011-04-11 2016-05-30 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
JPWO2013153777A1 (ja) * 2012-04-11 2015-12-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
US20140356768A1 (en) * 2013-05-29 2014-12-04 Banqiu Wu Charged beam plasma apparatus for photomask manufacture applications
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation

Also Published As

Publication number Publication date
JP2018530103A (ja) 2018-10-11
US10032604B2 (en) 2018-07-24
TWI671784B (zh) 2019-09-11
US20170092467A1 (en) 2017-03-30
KR20180048681A (ko) 2018-05-10
WO2017052789A1 (en) 2017-03-30
TW201712725A (zh) 2017-04-01
CN108028163A (zh) 2018-05-11

Similar Documents

Publication Publication Date Title
CN108028163B (zh) 用于等离子体反应器的远程等离子体与电子束生成系统
JP7425160B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
TWI673385B (zh) 使用上游電漿源來進行的後腔室減污
KR101257131B1 (ko) 조정가능 전극 면적비를 갖는 제한된 플라즈마
TWM547181U (zh) 利用二次電漿佈植的電漿蝕刻系統
WO2016148769A1 (en) Ion-ion plasma atomic layer etch process and reactor
KR20050103504A (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US20190198295A1 (en) Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
KR100800396B1 (ko) Icp 안테나 및 이를 이용한 플라즈마 발생장치
US11387075B2 (en) Surface processing apparatus
CN210215542U (zh) 具有阴影板的原子层沉积设备与系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant