KR101838943B1 - 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대 - Google Patents

광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대 Download PDF

Info

Publication number
KR101838943B1
KR101838943B1 KR1020127018577A KR20127018577A KR101838943B1 KR 101838943 B1 KR101838943 B1 KR 101838943B1 KR 1020127018577 A KR1020127018577 A KR 1020127018577A KR 20127018577 A KR20127018577 A KR 20127018577A KR 101838943 B1 KR101838943 B1 KR 101838943B1
Authority
KR
South Korea
Prior art keywords
cooling channel
pedestal
substrate
processing chamber
thermal control
Prior art date
Application number
KR1020127018577A
Other languages
English (en)
Other versions
KR20120106977A (ko
Inventor
립예우 얍
투안 안 응우옌 (마이크)
데일 알. 두 보이스
산지브 발루자
토마스 노왁
주안 칼로스 로샤-알바레즈
지엔화 주
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120106977A publication Critical patent/KR20120106977A/ko
Application granted granted Critical
Publication of KR101838943B1 publication Critical patent/KR101838943B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

일반적으로, 본원 발명의 실시예는 반도체 프로세싱 챔버에 관한 것이고, 그리고 보다 구체적으로, 반도체 프로세싱 챔버를 위한 가열형 지지 받침대에 관한 것이다. 일 실시예에서, 반도체 프로세싱 챔버용 받침대가 제공된다. 받침대는 전도성 물질을 포함하고 그리고 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 상기 기판 지지부 내에서 캡슐화된 저항형 히터, 그리고 제 1 단부에서 기판 지지부에 그리고 대향 단부에서 메이팅(mating) 인터페이스에 커플링되는 중공 샤프트를 포함하고, 상기 중공 샤프트는 중공 코어를 가지는 샤프트 바디, 및 내부 냉각 경로를 통해서 받침대로부터 열을 제거하기 위해서 상기 중공 코어를 둘러싸고 그리고 상기 샤프트 바디 내에 배치되는 냉각 채널 조립체를 포함하고, 상기 기판 지지부는 가열 요소와 링-형상의 냉각 채널 사이에 배치된 열 제어 갭을 구비한다.

Description

광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대{MULTIFUNCTIONAL HEATER/CHILLER PEDESTAL FOR WIDE RANGE WAFER TEMPERATURE CONTROL}
본원 발명의 실시예는 전반적으로 반도체 프로세싱 챔버에 관한 것이고, 보다 구체적으로, 반도체 프로세싱 챔버를 위한 가열형 지지 받침대에 관한 것이다.
반도체 프로세싱에는 많은 수의 다양한 화학적 및 물리적 프로세스들이 포함되며, 그러한 프로세스에 의해서 작은(minute) 집적 회로가 기판 상에 생성된다. 집적 회로를 구성하는 물질의 층들이 화학기상증착, 물리기상증착, 에피텍셜 성장, 등을 포함하는 프로세스에 의해서 생성된다. 물질 층들 중 일부가 포토레지스트 마스크 및 습식 또는 건식 에칭 기술을 이용하여 패터닝된다. 집적 회로를 형성하기 위해서 이용되는 기판은 실리콘, 갈륨 아세나이드, 인듐 포스파이드, 유리, 또는 다른 적절한 물질일 수 있다.
집적 회로의 제조 중에, 여러 가지 물질 층의 증착(deposit; 이하에서 편의상 '증착'이라 함) 또는 에칭을 위해서 플라즈마 프로세스가 종종 이용된다. 플라즈마 프로세싱은 열적인 프로세싱에 대비하여 많은 장점을 제공한다. 예를 들어, 플라즈마 강화 화학기상증착(PECVD)에 의해서 증착 프로세스가 유사한 열적인 프로세스에서 달성가능한 것 보다 더 낮은 온도에서 더 빠른 증착 속도로 실시될 수 있다. 그에 따라, PECVD는, 초고밀도 집적 회로 또는 극초고밀도 집적 회로(VLSI 또는 ULSI) 장치(소자) 제조와 같이 열 비용(thermal budgets)이 엄격한 집적 회로 제조에서 장점을 가진다.
전형적으로, 이러한 프로세스에서 이용되는 프로세싱 챔버들은 프로세싱 동안에 기판을 지지하기 위해서 내부에 배치된 기판 지지부 또는 받침대를 포함한다. 일부 프로세스에서, 받침대는 기판의 온도를 제어하도록 및/또는 프로세스 중에 사용될 수 있는 높은 온도를 제공하도록 구성된 매립형 히터를 포함할 수 있을 것이다. 기판 프로세싱 동안의 기판의 적절한 온도 제어 및 균일한 가열은 특히 집적 회로의 크기가 감소됨에 따라 매우 중요하다. 히터들이 매립된 종래의 지지부는 종종 많은 수의 열점 및 냉점을 가지며, 그러한 열점 및 냉점은 기판 상에 증착되는 필름의 품질에 영향을 미친다.
또한, 집적 회로의 제조를 통해서 기판 표면의 평탄도도 매우 중요하다. 그에 따라, 기판을 유지하는 받침대의 표면은 가능한 한 평탄해야 한다. 가열될 때, 받침대의 중심이 가열되고 받침대의 둘레가 열을 빼앗김에 따라, 종래의 기판 지지 받침대는 위쪽으로 휘어지기 매우 쉽다. 휘어진 지지 받침대는 그 상부에서 유지되는 기판의 휘어짐을 유발할 수 있고, 그에 따라 웨이퍼 표면의 평탄도를 크게 저하시킬 수 있다.
그에 따라, 전체 프로세스 사이클을 통해서 항상 능동적인(active) 온도 제어를 제공하는 받침대가 요구되고 있다.
일반적으로, 본원 발명의 실시예는 반도체 프로세싱 챔버에 관한 것이고, 그리고 보다 구체적으로, 반도체 프로세싱 챔버를 위한 가열형 지지 받침대에 관한 것이다. 일 실시예에서, 반도체 프로세싱 챔버용 받침대가 제공된다. 받침대는 전도성 물질을 포함하고 그리고 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 상기 기판 지지부 내에서 캡슐화된 저항형 히터, 그리고 제 1 단부에서 기판 지지부에 그리고 제 2 단부에서 메이팅(mating) 인터페이스에 커플링되는 중공 샤프트를 포함하고, 상기 중공 샤프트는 중공 코어를 가지는 샤프트 바디(body), 및 내부 냉각 경로를 통해서 받침대로부터 열을 제거하기 위해서 상기 중공 코어를 둘러싸고 그리고 상기 샤프트 바디 내에 배치되는 냉각 채널 조립체를 포함하고, 상기 기판 지지부는 가열 요소와 링-형상의 냉각 채널 사이에 배치된 열 제어 갭을 구비한다.
다른 실시예에서, 반도체 프로세싱 챔버를 위한 받침대가 제공된다. 받침대는 전도성 물질을 포함하고 그리고 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 상기 기판 지지부에 커플링되고 중공 코어를 가지는 샤프트 바디를 포함하는 중공 샤프트, 그리고 지지 표면 상에 위치된 기판 온도의 능동적인 제어를 제공하기 위한 능동 냉각 시스템을 포함하고, 상기 능동 냉각 시스템은 상기 기판 지지부 내에 캡슐화된 가열 요소, 그리고 내부 냉각 경로를 통해서 상기 받침대로부터 열을 제거하기 위해서 상기 중공 코어를 둘러싸고 상기 샤프트 바디 내에 배치되는 냉각 채널 조립체를 포함하며, 열 제어 갭이 가열 요소와 링-형상의 냉각 채널 사이에 배치된다.
또 다른 실시예에서, 플라즈마 프로세싱 시스템이 제공된다. 그러한 플라즈마 프로세싱 시스템은 프로세싱 영역들의 쌍을 형성하는 측벽, 하단 벽, 및 내부 측벽을 가지는 프로세싱 챔버 바디; 상기 프로세싱 챔버 바디와 커플링된 무선 주파수 소오스(source), 그리고 상기 프로세싱 영역들의 쌍들 중 하나 이상의 내부에 배치된 받침대를 포함한다. 상기 받침대는 전도성 물질을 포함하고 그리고 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 상기 기판 지지부에 커플링되고 중공 코어를 가지는 샤프트 바디를 포함하는 중공 샤프트, 그리고 지지 표면 상에 위치된 기판의 온도의 능동적인 제어를 제공하기 위한 능동 냉각 시스템을 포함하고, 상기 능동 냉각 시스템은 상기 기판 지지부 내에 캡슐화된 가열 요소, 그리고 내부 냉각 경로를 통해서 상기 받침대로부터 열을 제거하기 위해서 상기 중공 코어를 둘러싸고 상기 샤프트 바디 내에 배치되는 냉각 채널 조립체를 포함하며, 열 제어 갭이 가열 요소와 링-형상의 냉각 채널 사이에 배치되고, 그리고 무선 주파수 소오스가 프로세싱 챔버 바디와 커플링된다.
본원 발명의 전술한 특징들이 구체적으로 이해될 수 있도록 하는 방식으로, 첨부 도면들에 일부가 도시된 실시예들을 참조하여, 간략하게 전술한 본원 발명이 보다 특별하게 설명될 것이다. 그러나, 본원 발명이 다른 균등한 유효 실시예들도 포함할 수 있기 때문에, 첨부 도면들은 본원 발명의 통상적인 실시예들만을 도시한 것이고, 그에 따라 본원 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 주지하여야 할 것이다.
도 1은 플라즈마 시스템의 일 실시예의 부분적인 단면도이다.
도 2a는 도 1에 도시된 받침대의 일 실시예의 등축적인 측면도이다.
도 2b는 도 1에 도시된 받침대의 일 실시예의 등축적인 저면도이다.
도 3a는 도 1에 도시된 받침대의 일 실시예의 개략적인 저면도이다.
도 3b는 도 3a의 선 3B-3B를 따라서 취한 받침대의 일 실시예의 측단면도이다.
도 3c는 도 3b의 받침대의 일 실시예의 단면의 일부를 확대하여 도시한 도면이다.
도 3d는 도 3a의 선 3D-3D를 따라서 취한 측단면도이다.
도 3e는 도 3d의 받침대의 일 실시예의 단면의 일부를 확대하여 도시한 도면이다.
도 4a는 저항형 히터의 일 실시예를 개략적으로 도시한 평면도이다.
도 4b는 저항형 히터의 일 실시예를 개략적으로 도시한 측면도이다.
이해를 돕기 위해서, 가능한 경우에, 동일한 도면부호들을 사용하여 도면들에서 공통되는 동일한 요소들을 표시하였다. 추가적인 언급이 없더라도, 일 실시예의 요소들 및 특징들이 다른 실시예들에서도 유리하게 포함될 수 있다는 것을 이해할 수 있을 것이다.
본원 발명의 실시예는 전반적으로 반도체 프로세싱 챔버에 관한 것이고, 보다 구체적으로, 반도체 프로세싱 챔버를 위한 가열형 지지 받침대에 관한 것이다. 본원 발명의 실시예는 플라즈마 챔버를 참조하여 아래에서 예시적으로 설명된다. 일 실시예에서, 플라즈마 챔버는 플라즈마 강화 화학기상증착(PECVD) 시스템에서 이용된다. 본원에 기재된 실시예들로부터 유리하게 맞춰 적용될 수 있는 PECVD 시스템의 예에는 PRODUCER® SE CVD 시스템, PRODUCER®GTTM CVD 시스템 또는 DXZ®CVD 시스템이 포함되며, 이들 모두는 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수할 수 있다. Producer® SE CVD 시스템(예를 들어, 200 mm 또는 300 mm)은 전도성 필름, 실란, 탄소-도핑형 실리콘 산화물 및 기타 물질과 같은 얇은 필름을 기판 상에 증착하기 위해서 이용될 수 있는 2개의 격리된 프로세싱 영역을 가지고 그리고 본원에서 모두 참조되어 포함되는 미국 특허 5,855,681 및 6,495,233에 기재되어 있다. DXZ®CVD 챔버가 본원에서 또한 참조되어 포함되는 미국 특허 6,364,954에 기재되어 있다. 예시적인 실시예가 2개의 프로세싱 영역을 포함하지만, 본원에 기재된 실시예들이 하나의 프로세싱 영역 또는 둘 보다 많은 프로세싱 영역을 가지는 시스템에서도 유리하게 이용될 수 있다는 것을 이해할 수 있을 것이다. 또한, 본원에 기재된 실시예는 에칭 챔버, 이온 주입 챔버, 플라즈마 처리 챔버, 및 스트립핑 챔버 등을 포함하는 다른 플라즈마 챔버에서도 유리하게 이용될 수 있다는 것을 이해할 수 있을 것이다. 본원에 기재된 실시예들이 다른 제조자로부터 입수가능한 플라즈마 프로세싱 챔버에서도 유리하게 이용될 수 있다는 것을 또한 이해할 수 있을 것이다.
본원에 기재된 받침대의 실시예는 전체 프로세스 사이클에 걸친 모든 시간의 프로세싱 동안의 기판의 능동적인 온도 제어에 대한 요구를 해소한다. 본원에 기재된 특정 실시예는 고유의 요소 패턴(unique element patterns)의 최소 온도 구배(<10 ℃)의 매립형 가열 요소를 이용하여 400 ℃ 보다 높은(upward) 온도에서 보다 높은(higher) 온도 제어를 제공한다. 본원에 기재된 특정 실시예는, 받침대의 바디를 통해서 능동 냉각제를 유동시킴으로써, RF 커플링과 같은 외부 소오스로부터 또는 매립형 가열 요소와 같은 내부 소오스로부터 보다 큰 열적 로드(load)(예를 들어, 2,000 Watts 보다 큰)를 제거할 수 있다. 본원에 기재된 특정 실시예는 받침대의 바디를 통한 냉각제의 유량과 함께 히터 요소의 능동적인 제어를 통해서 낮은 희망 온도 구배를 제공한다.
본원에 기재된 특정 실시예는, 기판이 많은 수의 프로세스들 및 챔버 조건들(예를 들어, 히터 페이스플레이트(faceplate), 챔버에서 타격되는(struck) 커플링 RF, 프로세스 가스, 화학물질 등)에 노출되는 동안에, 넓은 범위에 걸쳐 기판의 온도를 능동적으로 제어할 수 있는 능력을 제공한다. 능동 온도 제어는 2개의 능동 온도 플럭스를 통해서 달성될 수 있으며; 상기 2개의 능동 온도 플럭스 중 첫 번째에서는, 열이 브레이징형(brazed)/매립형 가열 요소를 통해서 받침대로 제공되고, 두번 째에서는, 열이 내부 냉각제 경로를 통해서 받침대로부터 제거된다. 그에 따라, (기판이 놓여지는) 받침대 표면의 온도는 이들 2개의 플럭스의 레벨을 제어함으로써 원하는 온도 셋 포인트로 제어될 수 있다. 보다 많은 전력(power)을 가열 요소로 전달함으로써 그리고 냉각제의 유량을 감소시킴으로써(또는 냉각제 유입구 온도를 감소시킴으로써) 증대된 열이 생성될 수 있으며, 또는 보다 낮은 받침대 온도를 달성하기 위해서 반대의 경우가 이루어질 수 있을 것이다. 보다 넓은 온도 제어 범위는 열 소오스(가열 요소로부터의 내부적인 또는 챔버 조건이나 프로세스 조건들로부터의 외부적인)와 열 드레인(drain)(내부의 능동적인 냉각제) 사이의 상호작용을 제어하는 것을 통해서 달성된다. 일 실시예에서, 이는, 지지 바디 내의 가열 요소를 기판이 놓이는 지지 표면에 보다 근접하게 배치하여 달성가능한 가장 높은 온도를 최대화함으로써 그리고 상기 샤프트의 하부 바디 내의 냉각 채널을 원하는 양의 열을 드레인 배출하기 위한 높이에 배치함으로써, 달성된다.
본원에 기재된 특정 실시예는 온도 균일도를 제어되는 온도의 범위에 걸쳐 10 ℃ 이내로 제어할 수 있는 능력을 더욱 제공한다. 일 실시예에서, 이는, 전술한 바와 같이 가열 요소를 냉각 채널에 대해서 상대적으로 배치함으로써, 그리고 또한 가열 요소와 냉각 채널 사이에 배치된 공기 갭을 이용하여 열 유동의 경로를 추가적으로 제어함으로써, 달성될 수 있을 것이다. 일 실시예에서, 냉각 채널, 공기 갭, 및 가열 요소의 배치는 천분의 5인치(5 thousandth of an inch) 이하의 지지 표면의 최대 평면 편향(planar deflection)을 산출하고, 이는 프로세싱 동안에 기판 슬라이딩 가능성을 감소시킨다.
일 실시예에서, 받침대는 알루미늄 합금을 포함한다. 일 실시예에서, 알루미늄 합금은 알루미늄 6061과 같이 마그네슘 및 실리콘을 포함하는 알루미늄 합금이다. 알루미늄 합금은 다음과 같은 3개의 중요한 특징을 제공한다: 1) 열 소오스로부터 냉각제로의 열 유동의 상호작용에 기여하는 높은 열 전도도, 2) 여러 가지 가공 기술(예를 들어, 냉각 채널을 중간 높이에서 병합하기 위해서 샤프트 조립체를 브레이징하는 것, 복사 열 손실을 높이기 위해서 지지 표면을 비드-브래스팅하는 것, 경수(hard water)를 유동시킬 수 있도록 하기 위해서 코팅 채널을 니켈 도금하는 것)에 의해서 핸들링될 수 있는 능력; 그리고 3) 보다 낮은 생산 비용.
도 1은 본원에 기재된 실시예에 따른 받침대(128)를 구비하는 예시적인 플라즈마 시스템(100)의 부분 단면도이다. 본원에 기재된 바와 같이, 받침대(128)는 기판이 많은 수의 프로세스 및 챔버 조건들에 노출되는 동안에, 넓은 온도 범위에 걸쳐 받침대 상에 배치된 기판의 온도를 능동 제어할 수 있게 허용하는 능동 냉각 시스템을 포함한다. 플라즈마 시스템(100)은 일반적으로 제어부(110)와 커플링된 프로세싱 챔버 바디(102)를 포함한다. 프로세싱 챔버 바디(102)는 프로세싱 영역(120A 및 120B)의 쌍을 형성하는 측벽(112), 하단 벽(116) 및 내부 측벽(101)을 구비한다. 프로세싱 영역(120A 및 120B)의 각각은 유사하게 구성되고, 그리고 간결함을 위해서, 프로세싱 영역(120B) 내의 부품들 만을 설명할 것이다.
받침대(128)는 시스템(100) 내의 하단 벽(116)에 형성된 통로(122)를 통해서 프로세싱 영역(120B) 내에 배치된다. 받침대(128)는 그 상부 표면 상에 기판(도시하지 않음)을 지지하도록 구성된다. 받침대(128)는 기판 온도를 희망하는 프로세스 온도로 가열 및 제어하기 위해서 가열 요소, 예를 들어 저항형 요소를 포함할 수 있을 것이다. 그 대신에, 받침대(128)가 램프 조립체와 같은 원격 가열 요소에 의해서 가열될 수 있을 것이다.
받침대(128)는 샤프트(126)에 의해서 전력 배출구(outlet) 또는 전력 박스(103)에 커플링되고, 그러한 전력 박스는 프로세싱 영역(120B) 내의 받침대(128)의 상승 및 이동을 제어하는 구동 시스템을 포함할 수 있을 것이다. 샤프트(126)는 또한 받침대(128)로 전력을 제공하기 위한 전력 인터페이스를 포함한다. 또한, 전력 박스(103)는 열전쌍 인터페이스와 같은 전력 및 온도 표시장치를 위한 인터페이스를 포함한다. 또한, 샤프트(126)는 전력 박스(103)에 분리가능하게 커플링되도록 구성된 베이스 조립체(129)를 포함한다. 원주방향 링(135)이 전력 박스(103) 위에 도시되어 있다. 일 실시예에서, 원주방향 링(135)은 베이스 조립체(129)와 전력 박스(103)의 상부 표면 사이의 기계적인 인터페이스를 제공하도록 구성된 기계적인 정지부 또는 랜드(land)로서 구성된 쇼울더(shoulder)이다.
로드(130)는 하단 벽(116)에 형성된 통로(124)를 통해서 배치되고 그리고 받침대(128)를 통해서 배치된 기판 리프트 핀(161)을 활성화시키기 위해서 이용된다. 기판 이송 포트(160)를 통해서 프로세싱 영역(120B)의 내외로 기판을 이송하기 위해서 이용되는 로봇(도시하지 않음)과의 기판 교환을 돕기 위해서, 기판 리프트 핀(161)은 받침대로부터 기판을 선택적으로 이격시킨다.
챔버 덮개(104)가 챔버 바디(102)의 상부 부분에 커플링된다. 덮개(104)는 그러한 덮개에 커플링된 하나 또는 둘 이상의 가스 분배 시스템(108)을 수용한다. 가스 분배 시스템(108)은 샤워헤드 조립체(142)를 통해서 프로세싱 영역(120B) 내로 반응물 가스 및 세정 가스를 전달하는 가스 유입구 통로(140)를 포함한다. 샤워헤드 조립체(142)는 페이스플레이트(146)에 대해서 중간에 배치된 차단 플레이트(144)를 구비하는 환형 베이스 플레이트(148)를 포함한다. 무선 주파수(RF) 소오스(165)가 샤워헤드 조립체(142)에 커플링된다. RF 소오스(165)는 샤워헤드 조립체(142)로 전력을 공급하여 샤워헤드 조립체(142)의 페이스플레이트(146)와 가열형 받침대(128) 사이에서의 플라즈마 생성을 돕는다. 일 실시예에서, RF 소오스(165)가 13.56MHz RF 발생기와 같은 고주파 무선 주파수(HFRF) 전력 소오스일 수 있다. 다른 실시예에서, RF 소오스(165)가 HFRF 전력 소오스 및 저주파 무선 주파수(LFRF) 전력 소오스, 예를 들어 300 kHz RF 발생기를 포함할 수 있을 것이다. 그 대신에, 플라즈마 생성을 돕기 위해서, RF 소오스가 받침대(128)와 같은 프로세싱 챔버 바디(102)의 다른 부분에 커플링될 수 있을 것이다. 유전체 격리부(158)가 덮개(104)와 샤워헤드 조립체(142) 사이에 배치되어 RF 전력이 덮개(104)로 전도되는 것을 방지한다. 쉐도우(shadow) 링(106)이 받침대(128)의 둘레 상에 배치될 수 있을 것이고, 그러한 쉐도우 링은 받침대(128)의 원하는 높이에서 기판과 결합한다.
선택적으로, 냉각 채널(147)이 가스 분배 시스템(108)의 환형 베이스 플레이트(148)에 형성되어 작동 중에 환형 베이스 플레이트(148)를 냉각시킨다. 베이스 플레이트(148)가 미리 규정된 온도에서 유지되도록, 물, 에틸렌 글리콜, 또는 가스, 등과 같은 열 전달 유체가 냉각 채널(147)을 통해서 순환될 수 있을 것이다.
측벽(101, 112)이 프로세싱 영역(120B) 내의 프로세싱 분위기에 노출되는 것을 방지하기 위해서, 챔버 라이너 조립체(127)가 챔버 바디(102)의 측벽(101, 112)에 매우 근접하여 프로세싱 영역(120B) 내에 배치된다. 라이너 조립체(127)는 원주방향 펌핑 공동(125)을 포함하고, 그러한 공동은 프로세싱 영역(120B)으로부터 가스 및 부산물을 배기하도록 그리고 프로세싱 영역(120B) 내의 압력을 제어하도록 구성된 펌핑 시스템(164)에 커플링된다. 복수의 배기 포트(131)가 챔버 라이너 조립체(127) 상에 형성될 수 있을 것이다. 배기 포트(131)는, 시스템(100) 내의 프로세싱을 촉진하는 방식으로 프로세싱 영역(120B)으로부터 원주방향 펌핑 공동(125)으로 가스가 유동하는 것을 허용하도록 구성된다.
제어부(110)는 본원에 기재된 능동 냉각 시스템을 포함하는 프로세스 시퀀스를 제어하기 위해서 이용되는 중앙처리유닛(CPU), 메모리, 및 지원 회로를 포함할 수 있을 것이다. CPU는 산업적인 셋팅에서 이용될 수 있는 임의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴이 랜덤 억세스 메모리, 리드 온리 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장장치와 같은 메모리 내에 저장될 수 있다. 지원 회로는 통상적으로 CPU에 커플링될 수 있을 것이고 그리고 캐시, 클록 회로, 입력/출력 시스템, 전원, 등을 포함할 수 있을 것이다. 제어 유닛(110)과 플라즈마 시스템(100)의 여러 부품들 사이의 양-방향 통신은, 일부가 도 1에 도시된 신호 버스들로서 통칭되는, 다양한 신호 케이블을 통해서 핸들링된다.
도 2a는 플라즈마 시스템(100)에서 이용되는 받침대(128)의 일 실시예의 등축적인 평면도이다. 받침대(128)는 원형 기판 지지부(205)의 반대쪽에 베이스 조립체(129) 및 샤프트(126)를 포함한다. 일 실시예에서, 샤프트(126)는 튜브형 부재 또는 중공 샤프트로서 구성된다. 일 실시예에서, 베이스 조립체(129)는 전력 배출구 또는 전력 박스(103) 상에 또는 그 내부에 배치된 전기 연결부들과의 분리 가능한 메이팅 인터페이스로서 이용된다. 기판 지지부(205)는 실질적으로 평면형인 기판 수용 표면 또는 지지 표면(210)을 포함한다. 지지 표면(210)은 200 mm 기판, 300 mm 기판, 또는 450 mm 기판을 지지하도록 구성될 수 있을 것이다. 일 실시예에서, 지지 표면(210)은 복수의 구조물(215)을 포함하고, 그러한 구조물은 지지 표면(210)의 평면 위로 연장하는 범프(bumps) 또는 돌출부일 수 있을 것이다. 지지 표면(210)으로부터 약간 상승된 또는 약간 이격된 실질적으로 평면형인 기판 수용 평면 또는 표면을 제공하기 위해서, 복수의 구조물(215)의 각각의 높이가 실질적으로 동일하다. 일 실시예에서, 구조물(215)의 각각이 지지 표면(210)의 물질과 상이한 물질로 형성 또는 코팅된다. 기판 지지부(205)는 또한 리프트 핀(161)(도 1)을 수용하도록 구성되고 관통 형성되는 복수의 개구부(220)를 포함한다.
일 실시예에서, 샤프트(126) 및 기판 지지부(205)의 바디는 전도성 금속 물질로 제조되는 한편 베이스 조립체(129)는 전도성 금속 물질과 절연 물질의 조합으로 제조된다. 전도성 금속 물질로 부터 기판 지지부(205)를 제조하는 것은 세라믹으로 제조된 기판 지지부에 대비하여 소유 비용을 보다 더 낮춘다. 추가적으로, 전도성 금속 물질은 RF 전력으로부터 매립형 히터(본 도면에서는 도시되지 않음)를 차폐하는 기능을 한다. 이는 기판 지지부(205)의 수명 및 효율을 향상시키고, 이는 소유 비용을 감소시킨다.
일 실시예에서, 샤프트(126) 및 기판 지지부(205)의 바디가 알루미늄 합금과 같은 알루미늄 물질만으로 제조된다. 특정 실시예에서, 기판 지지부(205) 및 샤프트 모두가 6061 알루미늄으로 제조된다. 일 실시예에서, 베이스 조립체(129)는, 당해 베이스 조립체(129)의 부분들을 기판 지지부(205) 및 샤프트(126)의 전도성 부분으로부터 전기적으로 절연하기 위해서 내부에 배치된 폴리에테르에테르케톤(PEEK) 수지와 같은 절연성 부분 및 알루미늄 부분을 포함한다. 일 실시예에서, 기판 지지부(205)의 바디는 알루미늄 물질로 제조되는 한편, 지지 표면(210) 상에 배치된 각각의 구조물(215)은 알루미늄 산화물과 같은 세라믹 물질로 제조되거나 코팅된다.
일 실시예에서, 받침대(128)의 지지 표면(210)이 텍스쳐링된다(textured). 지지 표면(210)은 당업계에 공지된 기술, 예를 들어, 비드 블래스팅, 에치-백 프로세스, 또는 이들의 조합을 이용하여 텍스쳐링될 수 있을 것이다. 일 실시예에서, 받침대(128)의 텍스쳐링된 지지 표면(210)의 제곱 평균 제곱근("RMS") 조도가 약 0.75 미크론 내지 약 6 미크론일 수 있고, 예를 들어 약 1.5 미크론 내지 약 5 미크론, 예를 들어 약 2 미크론일 수 있다.
도 2b는 받침대(128)의 일 실시예의 등축적인 저면도이다. 샤프트(126)는 기판 지지부(205)에 커플링되는 제 1 단부(212) 및 상기 기판 지지부(205)의 반대쪽에서 베이스 조립체(129)에 커플링되는 제 2 단부(214)를 포함한다. 이러한 실시예에서, 베이스 조립체(129)는, 유전체 플러그(230)에 커플링되고 및/또는 그러한 유전체 플러그를 포함하는 슬롯형(slotted) 전도성 부분(225)을 포함한다. 일 실시예에서, 슬롯형 전도성 부분(225)은 전력 박스(103)(도 1)와 짝을 이루도록(mate) 구성된 플러그 또는 메일(male) 인터페이스로서 구성될 수 있을 것이다. 도 2b에 도시된 실시예에서, 전도성 부분(225)은 외측 표면 또는 벽을 통해서 적어도 부분적으로 형성된 슬롯을 가지며 단면이 원형일 수 있다. 유전체 플러그(230)는 소켓 또는 피메일(female) 인터페이스로서 구성될 수 있고, 또는 그 대신에, 전력 박스(103) 내의 전기 연결부들을 수용하도록 또는 그 전기 연결부들과 짝을 이루도록 구성된 소켓 또는 피메일 인터페이스로서 구성된 부분 또는 부분들을 포함할 수 있다. 일 실시예에서, 슬롯형 전도성 부분(225)이 샤프트(126)의 일체형(integral) 연장부일 수 있고 그리고 알루미늄 물질로 제조될 수 있는 한편, 유전체 플러그(230)가 PEEK 수지로 제조된다.
또한, 베이스 조립체(129)는 도 1에 도시된 전력 박스(103)와 인터페이싱하는 0-링(240)을 수용하도록 구성된 원주방향 링(135)을 포함한다. 이러한 실시예에서, 슬롯형 전도성 부분(225)은 유전체 플러그(230)를 수용하도록 구성된 개구부를 포함하고, 상기 유전체 플러그(230)는 슬롯형 전도성 부분(225)에 체결된다. 또한, 상기 유전체 플러그(230)는 전력 박스(103)로부터의 전기 리드를 수용하도록 내부에 형성된 개구부 또는 소켓을 포함한다.
도 3a는 받침대(128)의 일 실시예의 개략적인 저면도이다. 유전체 플러그(230)는 냉각제를 냉각 채널로 전달하기 위한 냉각 채널 유입구(302), 상기 냉각 채널로부터 냉각제를 제거하기 위한 냉각제 채널 배출구(304), 및 전도성 플러그(320)를 가진다.
도 3b는 능동 냉각 시스템을 가지는 받침대(128)의 일 실시예의 도 3a의 선 3B-3B를 따라서 취한 측단면도이다. 도 3c는 도 3b의 받침대의 일 실시예를 확대하여 도시한 단면도이다. 일 실시예에서, 능동 냉각 시스템은 저항형 히터 조립체(305), 냉각 채널 조립체(306), 및 열 제어 갭(308)을 포함한다. 저항형 히터(305)는 기판 지지부(205)의 전도성 바디(300) 내에 캡슐화되거나 그 내부에 배치된다. 일 실시예에서, 전도성 바디(300)는 알루미늄과 같은 전도성 금속으로 이루어진 물질로 제조된다.
냉각 채널 조립체(306)는 냉각 채널(307), 냉각 채널 유입구(302), 냉각 채널 배출구(304), 및 열 전달 유체 또는 "냉각제"를 냉각 채널 조립체로 공급하기 위한 유체 재순환장치(309)를 구비한다. 일 실시예에서, 냉각 채널(307)은 샤프트(126)의 중공 부분을 둘러싸는 샤프트(126)의 바디 내에 위치된 링-형상의 채널이다. 도 3e를 참조하면, 냉각 채널(307)은 상부 벽(350), 반대쪽의 하부 벽(352), 내측 둘레 벽(354), 및 외측 둘레 벽(356)에 의해서 형성된다. 일 실시예에서, 냉각 채널(307)은 샤프트(126)의 중공 부분의 지름을 둘러싸는 연속적인 링이다. 특정 실시예에서, 냉각 채널(307)은 샤프트(126)의 중공 부분의 부분만을 둘러싸는 부분적인 링이다.
일 실시예에서, 냉각 채널 유입구(302)는 받침대 조립체(128)의 샤프트(126)를 통해서 연장하는 길이방향 채널이다. 냉각 채널 유입구(302)의 제 1 단부가 유체 재순환장치(309)와 커플링되고 그리고 냉각 채널 유입구(302)의 제 2 단부가 냉각 채널(307)과 유체적으로 커플링된다. 일 실시예에서, 냉각 채널 배출구(304)는 받침대 조립체(128)의 샤프트(126)를 통해서 연장하는 길이방향 채널이다. 냉각 채널 배출구(304)의 제 1 단부는 냉각 채널(307)과 커플링되고 그리고 냉각 채널 배출구의 제 2 단부는 유체 재순환장치(309)와 커플링된다.
작동 중에, 열 전달 유체가 재사용될 수 있고 그리고 유체 재순환장치(309)에 의해서 냉각 채널 조립체(306)를 통해서 연속적으로 펌핑될 수 있다. 특정 실시예에서, 열 전달 유체는 냉각 채널 유입구(302)로 유입되기에 앞서서 유체 재순환장치(309)에 의해서 미리 선택된 온도로 가열 또는 냉각될 수 있을 것이다. 예를 들어, 열 전달 유체를 냉각 채널 조립체(306), 열 전달 유체의 냉각 또는 가열을 위한 냉각기 또는 히터(도시하지 않음), 그리고 열 전달 유체의 온도를 모니터링하고 그리고 냉각기 또는 히터를 제어하여 온도를 원하는 레벨로 유지하기 위한 써모스탯(thermostat)(또한 도시하지 않음)을 통해서 펌핑하기 위해서, 유체 재순환장치(309)가 펌프(또한 도시하지 않음)를 포함할 수 있을 것이다. 유체 재순환장치(309)는 또한 유체 압력을 모니터링하기 위한 압력 게이지, 게이지, 유동을 제어하기 위한 밸브, 및 간결함을 위해서 설명하지 않은 열 전달 유체의 유동을 제어하기 위한 다른 부품들을 포함할 수 있을 것이다. 작동 중에, 열 전달 유체가 냉각 채널 조립체(306)의 냉각 채널 유입구(302)로 공급된다. 열 전달 유체는 냉각 채널 유입구(302)로 펌핑되고, 냉각 채널(306)을 통해서 유동하여 (열 전달 유체 및 기판 지지부(205)의 상대적인 온도들에 따라서) 기판 지지부(205)의 전도성 바디(300)를 가열 또는 냉각하며, 그리고 냉각 채널 배출구(304)로부터 제거 또는 배출된다.
특정 실시예에서, 열 전달 유체가 냉각 채널 유입구(302)로 유입될 때 열 전달 유체의 온도를 모니터링하기 위해서, 열전쌍과 같은 제 1 온도 센서(362)가 냉각 채널 유입구(302)에 인접하여 기판 지지부(205) 내에 위치될 수 있을 것이다. 특정 실시예에서, 열 전달 유체가 냉각 채널 배출구(304)로부터 배출될 때 열 전달 유체의 온도를 모니터링하기 위해서, 열전쌍과 같은 제 2 온도 센서(364)가 냉각 채널 배출구(304)에 인접하여 기판 지지부(205) 내에 위치될 수 있을 것이다. 냉각 채널 유입구(302) 및 냉각 채널 배출구(304) 내에 배치되었지만, 제 1 온도 센서(362) 및 제 2 온도 센서(364)는 열 전달 유체의 온도를 모니터링할 수 있는 기판 지지부(205) 내부 또는 외부의 어떠한 위치에도 배치될 수 있을 것이다. 또한, 2개의 온도 센서를 도시하였지만, 임의 수의 온도 센서를 이용하여 열 전달 유체의 온도를 모니터링할 수 있을 것이다. 측정된 온도는, 열 교환기(도시하지 않음)로 공급되는 전력을 제어하여 열 전달 유체 및 기판 지지부(205)를 희망 온도로 유지하기 위해서 제어부(110)에 의해서 이용될 수 있을 것이다. 열 교환기는 유체 재순환장치(309)의 일부일 수 있고 또는 독립된 부품일 수 있다. 열 전달 유체의 측정된 온도에 응답하여, 제어부(110)는 또한 유체 재순환장치(309)로부터의 열 전달 유체의 유동을 증가 또는 감소시킬 수 있을 것이다.
일 실시예에서, 열 전달 유체가 물, 에틸렌 글리콜, 또는 가스 등을 포함할 수 있을 것이다. 일 실시예에서, 열 전달 유체는 물과 에틸렌 글리콜의 혼합물, 예를 들어 50%의 물과 50%의 에틸렌 글리콜의 혼합물을 포함한다. 특정 실시예에서, 사용된 냉각제를 저장하기 위해서 독립된 저장 용기가 냉각 채널 배출구(304)와 커플링될 수 있을 것이다. 도 3d에 도시된 바와 같이, 냉각 채널 유입구(302) 및 냉각 채널 배출구(304)가 샤프트(126)의 바디에 의해서 전도성 리드(315a, 315b)로부터 격리된다.
일 실시예에서, 열 유동의 경로를 추가적으로 제어하기 위해서 샤프트(126)의 중공 부분을 둘러싸는 받침대(128)의 전도성 바디(300) 내에 열 제어 갭(308)이 배치된다. 받침대(128)의 샤프트(126)를 통한 열 전달 유체의 유동이 지지 표면(210)의 중심에서 국소적인 냉점을 생성하고, 열 제어 갭(308)은 받침대의 지지 표면(210)의 중심 주위의 열적 저항을 증대시키며 그에 따라 저온 확산재(spreader)로서 작용한다. 도 3c를 참조하면, 열 제어 갭(308)은 상부 벽(312), 반대쪽의 하부 벽(313), 및 열 제어 갭(308)을 둘러싸는 둘레 벽(314)에 의해서 형성된다. 일 실시예에서, 둘레 벽(314)은 원형이고 그에 따라 열 제어 갭(308)에 원형 형상을 부여한다. 열 제어 갭(308)은 또한 능동 냉각 시스템을 위한 원하는 양의 열 제어를 제공하는 임의의 다른 형상을 가질 수 있을 것이다. 예를 들어, 열 제어 갭(308)은 타원형, 정사각형, 직사각형, 및 불균일 형상과 같은 다른 형상으로부터 선택된 형상을 가질 수 있을 것이다. 일 실시예에서, 열 제어 갭(308)은 약 2 인치(5.1 cm) 내지 약 6 인치(15. 2cm)의 지름을 가진다. 일 실시예에서, 열 제어 갭(308)은 약 3 인치(7.6 cm) 내지 약 4 인치(10.2 cm)의 지름을 가진다. 원하는 양의 열 제어를 제공하기 위해서, 열 제어 갭(308)의 지름이 변경될 수 있을 것이다. 원하는 양의 열 제어를 제공하기 위해서, 열 제어 갭(308)의 상부 벽과 하부 벽 사이의 거리(예를 들어, 높이)가 또한 변경될 수 있을 것이다. 일 실시예에서, 열 제어 갭(308)의 높이는 약 0.1 인치(0.3 cm) 내지 약 1 인치(2.5 cm)이다. 다른 실시예에서, 열 제어 갭(308)의 높이는 약 0.4 인치(1 cm) 내지 약 0.5 인치(1.3 cm)이다.
일 실시예에서, 저항형 히터(305)의 상단 표면이 기판 지지부(205)의 지지 표면(210)으로부터 약 0.10 인치(0.3 cm) 내지 약 0.80 인치(2 cm)에 위치된다. 다른 실시예에서, 저항형 히터(305)의 상단 표면이 기판 지지부(205)의 지지 표면(210)으로부터 약 0.15 인치(0.4 cm) 내지 약 0.20 인치(0.5 cm)에 위치된다. 일 실시예에서, 열 제어 갭(308)의 상부 벽(312)이 기판 지지부(205)의 지지 표면(210)으로부터 약 0.5 인치(1.3 cm) 내지 약 1.5 인치(3.8 cm)에 위치된다. 다른 실시예에서, 열 제어 갭(308)의 상부 벽이 기판 지지부(205)의 지지 표면(210)으로부터 약 0.9 인치(2.3 cm) 내지 약 1.2 인치(3.0 cm)에 위치된다. 일 실시예에서, 냉각 채널(307)의 상부 벽(350)이 기판 지지부(205)의 지지 표면(210)으로부터 약 3 인치(7.6 cm) 내지 약 5 인치(12.7 cm)에 위치된다. 다른 실시예에서, 냉각 채널(307)의 상부 벽(350)이 기판 지지부(205)의 지지 표면(210)으로부터 약 4 인치(10.2 cm) 내지 약 4.5 인치(11.4 cm)에 위치된다.
일 실시예에서, 냉각 채널(307)은 저항형 가열 요소(305)로부터 거리("X")에 배치된다. 일 실시예에서, 열 제어 갭(308)의 상부 벽(312)이 저항형 히터(305)의 하단 표면으로부터 거리("Y")에 배치된다. 일 실시예에서, 열 제어 갭(308)의 하부 벽(313)이 냉각 채널(307)로부터 거리("Z")에 배치된다. 일 실시예에서, 거리("X", "Y", 및 "Z")는 받침대(128)로부터 원하는 양의 열을 드레인시키기 위해서 선택된다.
도 3d는 본원에 기재된 능동 냉각 시스템을 가지는 받침대(128)의 일 실시예의 도 3a의 선 3D-3D를 따라서 취한 측단면도이다. 도 3b에 도시된 바와 같이, 샤프트(126)가 도 1에 도시된 바와 같은 전력 배출구 또는 전력 박스(103)에 커플링된다. 저항형 히터(305)는 샤프트(126) 내에 배치된 전도성 리드(315a, 315b)에 의해서 전력 박스(103) 내에 배치된 전력 소오스(310)에 커플링된다. 또한, 샤프트(126)는 열전쌍(도시하지 않음)을 수용하도록 구성된 길이방향 채널 또는 홀(350)을 포함한다. 이러한 실시예에서, 유전체 플러그(230)는 전력 박스(103) 내에 배치된 하나 또는 둘 이상의 각각의 소켓(326a, 326b)과 전도성 리드(315)를 커플링시키기 위해서 내부에 배치된 하나 또는 둘 이상의 전도성 플러그(320)를 포함한다. 일 실시예에서, 전도성 플러그(320)는 다중-콘택 플러그이다. 전도성 리드(315) 및 전도성 플러그(320)가 작동 중에 전기적으로 바이어스될 수 있으나, 유전체 플러그(230)의 둘레 벽(325)에 의해서 슬롯형 전도성 부분(225), 샤프트(126), 및 기판 지지부(205)로부터 전기적으로 격리된다.
일 실시예에서, 샤프트(126) 및 기판 지지부(205)는 알루미늄으로 제조되고 그리고 전기적으로 접지된다. 알루미늄 물질은 가열 요소를 캡슐화하고 그리고 저항형 히터(305)에 대한 유효한 RF 차폐부로서 작용한다. 알루미늄 물질에 의한 RF 차폐는 저항형 히터(305)에 대한 RF 커플링을 필터링하기 위한 밴드 패스 필터에 대한 필요성을 배제하고, 그러한 밴드 패스 필터는 세라믹과 같은 다른 물질로 제조된 가열형 받침대에서는 필요할 수 있을 것이다. 저항형 히터(305)를 위한 전력 단자로서 전도성 플러그(320)를 이용하는 전기 인터페이스의 디자인에 의해서, 맞춤형(custom) 디자인의 전기 연결부들과 달리, 전력 박스(103)로부터의 표준형 게이지 와이어 및 연결부가 이용될 수 있다. 전도성 플러그(320)는 PEEK 수지를 포함하는 고유한 베이스 디자인 상에 장착된다. 전도성 플러그(320)는 베이스 조립체(129)의 전도성 부분(225) 상에 체결되는 유전체 플러그(230)에 의해서 기계적으로 지지되는 전력 단자 조립체를 포함한다. PEEK 수지는 라이브(live) 전력 단자(전도성 플러그(320))를 접지된 히터 바디(기판 지지부(205) 및 샤프트(126))에 대해서 전기적으로 절연한다. 그에 따라, 받침대(128)는 밴드-패스 필터의 배제에 의해서 비용을 최소화하고 그리고 덜-비싼 알루미늄 물질을 이용하며, 이는 소유 비용을 상당히 줄인다. 또한, 본원에 기재된 받침대(128)는, 과도한 재디자인 및/또는 중단시간이 없이도, 기존 챔버들 내의 원래의 받침대를 대체하기 위해 개장될(retrofitted) 수 있을 것이다.
도 4a는 저항형 히터(305)의 일 실시예의 개략적인 평면도이다. 도 4b는 저항형 히터(305)의 일 실시예를 개략적으로 도시한 측면도이다. 일 실시예에서, 저항형 히터(305)는 가열 요소(410)를 포함한다. 도 4a에 도시된 바와 같이, 저항형 히터(305)의 중앙 부분 내에 중앙 조밀 패턴을 제공하여 기판의 열 손실에 매칭되고 그러한 열 손실을 보상하는 복사 가열 프로파일을 제공하기 위해서, 가열 요소(410)가 패터닝된다. 예를 들어, 도 3d를 참조하면, 가열 요소(410)가 전도성 지지 바디(300)의 가장자리에 대비하여 전도성 지지 바디(300)의 중심을 향해서 서로 보다 더 근접하여 이격된다. 샤프트(126)를 통한 냉각제의 유동은 지지 표면(210)의 가장자리에 대비하여 지지 표면(210)의 중앙에서 냉점을 생성한다. 중앙이 조밀한 것으로 도시되어 있지만, 가열 요소(410)가 기판 손실 열 프로파일의 임의 변동을 포함하도록 구성될 수 있음을 이해하여야 한다. 예를 들어, 가열 요소의 크기, 간격, 비저항, 또는 입력 전력 등을 기판 손실 프로파일에 보다 근접하게 매칭시켜 변화시킴으로써, 가변적인 열 출력량을 제공하도록 가열 요소(410)가 구성될 수 있을 것이다.
표 1은 본원에 기재된 능동 냉각 시스템을 이용하는 받침대의 열적 및 구조적 모델링 시뮬레이션을 요약하여 기재한 것이다. 유입구 온도(Inlet Temp; ℃)는 열 전달 유체가 냉각 채널 조립체로 유입될 때의 열 전달 유체의 유입구 온도를 나타낸다. 배출구 온도(Outlet Temp; ℃) 는 열 전달 유체가 능동 냉각 조립체를 빠져나갈 때의 열 전달 유체의 배출구 온도를 나타낸다. 부피 유량(Volume Flow Rate ;GPM)은 냉각 채널 조립체를 통해서 유동하는 냉각제의 분당 갤런을 나타낸다. 온도 셋 포인트(Temp Set Point; ℃)는 저항형 히터의 셋 포인트 온도를 나타낸다. 온도 구배(Temp Gradient; ℃)는 본원에 기재된 능동 냉각 시스템을 이용하는 받침대의 지지 표면 상의 높은 온도와 낮은 온도 사이의 온도 차이를 나타낸다. 최대 변형(Max Deform; 밀(mil))은 받침대의 최대 평면 편향을 나타낸다. 편향은 2개의 모드 즉, 첫 번째로, 받침대의 지지 표면 및 전도성 바디가 휘어질 수 있는 모드, 두 번째로, 내측 유체와 외측 유체 사이의 온도 변화로 인해서 받침대의 샤프트가 틸팅될 수 있는 모드를 가진다. 최대 변형 결과(Max Deformation Results)는 본원에 기재된 실시예가 천분의 5인치(5 밀) 이하의 지지 표면의 최대 평면 편향을 산출할 수 있다는 것을 나타낸다.
받침대의 열적 및 구조적 모델링에 대한 결과
유입구
온도
[℃]
배출구
온도
[℃]
부피
유량
[GPM]
온도
셋 포인트
[℃]
RF
커플링
전력
전력
[W]
온도
구배
[℃]
최대
변형
[밀]
25 26.4 1.5 - 430 0 7.18 1.86
25 26.6 1.5 180 430 196 7.91 2.45
25 41.8 0.1 400 0 1410 9.29 4.84
앞의 기재 내용이 본 발명의 실시예들에 대한 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 본 발명의 기본 범위를 이탈함이 없이 안출될 수 있을 것이며, 본 발명의 범위는 이하의 특허청구범위에 의해서 결정된다.

Claims (21)

  1. 반도체 프로세싱 챔버를 위한 받침대(pedestal)로서:
    기판 지지부; 및
    제 1 단부에서 상기 기판 지지부에 그리고 제 2 단부에서 메이팅 인터페이스(mating interface)에 커플링되는 중공 샤프트;를 포함하며,
    상기 기판 지지부는:
    기판을 수용하기 위한 지지 표면을 갖는 전도성 바디;
    상기 지지 표면 아래에서 상기 전도성 바디 내에 캡슐화되는 저항형 히터; 및
    상기 저항형 히터 아래에서 상기 전도성 바디 내에 형성되는 열 제어 갭;을 포함하며,
    상기 중공 샤프트는 샤프트 바디를 포함하며, 상기 샤프트 바디는:
    상기 제 2 단부로부터 상기 제 1 단부로 연장하는 중공 코어; 및
    내부 냉각 경로를 통해서 상기 받침대로부터 열을 제거하도록 상기 샤프트 바디 내에 배치되고 그리고 상기 중공 코어를 둘러싸는 냉각 채널 조립체;를 가지며,
    상기 중공 코어는, 상기 전도성 바디 내부로 연장하고 그리고 상기 열 제어 갭에 의해 둘러싸이는,
    반도체 프로세싱 챔버를 위한 받침대.
  2. 제 1 항에 있어서,
    상기 저항형 히터는 기판 열 손실과 매칭되고 기판 열 손실을 보상하는 복사 가열 프로파일을 제공하도록 중앙 조밀 패턴을 가지는 가열 요소를 포함하는,
    반도체 프로세싱 챔버를 위한 받침대.
  3. 제 1 항에 있어서,
    상기 냉각 채널 조립체는:
    링-형상의 냉각 채널;
    열 전달 유체를 상기 링-형상의 냉각 채널로 전달하기 위한 냉각 채널 유입구; 및
    상기 열 전달 유체를 상기 링-형상의 냉각 채널로부터 제거하기 위한 냉각 채널 배출구;를 가지는,
    반도체 프로세싱 챔버를 위한 받침대.
  4. 제 3 항에 있어서,
    상기 냉각 채널 조립체는 상기 열 전달 유체를 상기 링-형상의 냉각 채널로 공급하도록 상기 냉각 채널 유입구 및 상기 냉각 채널 배출구에 커플링된 유체 재순환장치를 더 포함하는,
    반도체 프로세싱 챔버를 위한 받침대.
  5. 제 4 항에 있어서,
    상기 냉각 채널 유입구가 상기 샤프트 바디를 통해서 길이방향으로 연장하는,
    반도체 프로세싱 챔버를 위한 받침대.
  6. 제 1 항에 있어서,
    상기 열 제어 갭은:
    상기 전도성 바디에 의해 형성되는 상부 벽;
    상기 전도성 바디에 의해 형성되는, 반대쪽의 하부 벽; 및
    상기 전도성 바디에 의해 형성되고, 상기 열 제어 갭을 둘러싸는 둘레 벽;에 의해서 형성되고,
    상기 둘레 벽은 원형이고, 그에 따라 상기 열 제어 갭에 원형 형상을 부여하는,
    반도체 프로세싱 챔버를 위한 받침대.
  7. 제 6 항에 있어서,
    상기 열 제어 갭은 7.6 cm 내지 10.2 cm의 지름 및 1 cm 내지 1.3 cm의 높이를 가지는,
    반도체 프로세싱 챔버를 위한 받침대.
  8. 제 6 항에 있어서,
    상기 저항형 히터의 상단은 상기 전도성 바디의 지지 표면으로부터 0.3 cm 내지 2 cm에 위치되고, 그리고 상기 열 제어 갭의 상부 벽은 상기 지지 표면으로부터 1.3 cm 내지 3.8 cm에 위치되는,
    반도체 프로세싱 챔버를 위한 받침대.
  9. 제 3 항에 있어서,
    상기 메이팅 인터페이스는 유전체 플러그를 포함하고,
    상기 유전체 플러그는, 상기 중공 샤프트로부터 전기적으로 격리되고 그리고 상기 프로세싱 챔버 상에 배치되는 전력 배출구에 커플링되도록 구성된 하나 이상의 노출된 전기 연결부를 포함하고,
    상기 냉각 채널 유입구 및 냉각 채널 배출구는 상기 유전체 플러그를 가로지르고 그리고 상기 하나 이상의 노출된 전기 연결부로부터 전기적으로 격리되는,
    반도체 프로세싱 챔버를 위한 받침대.
  10. 제 1 항에 있어서,
    천분의 5인치 이하의 상기 지지 표면의 최대 평면 편향을 산출하도록(yield) 상기 냉각 채널 조립체의 상단은 상기 저항형 히터로부터 거리를 두고 배치되는,
    반도체 프로세싱 챔버를 위한 받침대.
  11. 제 9 항에 있어서,
    상기 메이팅 인터페이스를 상기 캡슐화된 저항형 히터와 커플링시키는 전도성 리드의 쌍을 더 포함하고,
    상기 전도성 리드는 상기 중공 코어 내에 배치되는,
    반도체 프로세싱 챔버를 위한 받침대.
  12. 플라즈마 프로세싱 시스템으로서:
    프로세싱 챔버 바디, 받침대, 및 상기 프로세싱 챔버 바디와 커플링된 무선 주파수 소오스를 포함하고,
    상기 프로세싱 챔버 바디는:
    측벽;
    하단 벽; 및
    프로세싱 영역의 쌍을 형성하는 내부 측벽;을 가지며,
    상기 프로세싱 영역들의 쌍 중 하나 이상의 프로세싱 영역의 내부에 배치된 상기 받침대는:
    기판 지지부; 및
    제 1 단부에서 상기 기판 지지부와 커플링되고, 그리고 제 2 단부에서 메이팅 인터페이스에 커플링되는 중공 샤프트 - 상기 중공 샤프트는, 상기 제 2 단부로부터 상기 제 1 단부로 연장하는 중공 코어를 가지는 샤프트 바디를 포함함 - ; 및
    지지 표면 상에 위치한 기판의 온도의 능동적인 제어를 제공하기 위한 능동 냉각 시스템;을 포함하며,
    상기 기판 지지부는:
    기판을 수용하기 위한 지지 표면을 갖는 전도성 바디;
    상기 지지 표면 아래에서 상기 전도성 바디 내에 캡슐화되는 저항형 히터; 및
    상기 저항형 히터 아래에서 상기 전도성 바디 내에 형성되는 열 제어 갭;을 포함하며,
    상기 능동 냉각 시스템은:
    상기 저항형 히터; 및
    내부 냉각 경로를 통해서 상기 받침대로부터 열을 제거하도록 상기 샤프트 바디 내에 배치되고 그리고 상기 중공 코어를 둘러싸는 냉각 채널 조립체;를 포함하며,
    상기 중공 코어는, 상기 전도성 바디 내부로 연장하고 그리고 상기 열 제어 갭에 의해 둘러싸이는,
    플라즈마 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 냉각 채널 조립체는:
    링-형상의 냉각 채널;
    열 전달 유체를 상기 링-형상의 냉각 채널로 전달하기 위한 냉각 채널 유입구; 및
    상기 열 전달 유체를 상기 링-형상의 냉각 채널로부터 제거하기 위한 냉각 채널 배출구;를 포함하는,
    플라즈마 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 열 제어 갭은:
    상기 전도성 바디에 의해 형성되는 상부 벽;
    상기 전도성 바디에 의해 형성되는, 반대쪽의 하부 벽; 및
    상기 전도성 바디에 의해 형성되고, 상기 열 제어 갭을 둘러싸는 둘레 벽;에 의해서 형성되고,
    상기 둘레 벽이 원형이고, 그에 따라 상기 열 제어 갭에 원형 형상을 부여하는,
    플라즈마 프로세싱 시스템.
  15. 제 12 항에 있어서,
    상기 저항형 히터는, 기판 열 손실과 매칭되고 기판 열 손실을 보상하는 복사 가열 프로파일을 제공하기 위해서 중앙 조밀 패턴을 가지는 가열 요소를 포함하는,
    플라즈마 프로세싱 시스템.
  16. 반도체 프로세싱 챔버를 위한 받침대로서:
    기판 지지부;
    제 1 단부에서 상기 기판 지지부와 커플링되고, 그리고 제 2 단부에서 메이팅 인터페이스에 커플링되는 중공 샤프트 - 상기 중공 샤프트는, 상기 제 2 단부로부터 상기 제 1 단부로 연장하는 중공 코어를 가지는 샤프트 바디를 포함함 - ; 및
    지지 표면 상에 위치된 기판의 온도의 능동적인 제어를 제공하기 위한 능동 냉각 시스템;을 포함하며,
    상기 기판 지지부는:
    기판을 수용하기 위한 지지 표면을 갖는 전도성 바디;
    상기 지지 표면 아래에서 상기 전도성 바디 내에 캡슐화되는 저항형 히터; 및
    상기 저항형 히터 아래에서 상기 전도성 바디 내에 형성되는 열 제어 갭;을 포함하며,
    상기 능동 냉각 시스템은:
    상기 저항형 히터; 및
    내부 냉각 경로를 통해서 상기 받침대로부터 열을 제거하도록 상기 샤프트 바디 내에 배치되고 그리고 상기 중공 코어를 둘러싸는 냉각 채널 조립체;를 포함하며,
    상기 중공 코어는, 상기 전도성 바디 내부로 연장하고 그리고 상기 열 제어 갭에 의해 둘러싸이는,
    반도체 프로세싱 챔버를 위한 받침대.
  17. 제 16 항에 있어서,
    천분의 5인치 이하의 상기 지지 표면의 최대 평면 편향을 산출하도록 냉각 채널의 상단이 가열 요소로부터 거리를 두고 배치되는,
    반도체 프로세싱 챔버를 위한 받침대.
  18. 제 17 항에 있어서,
    상기 저항형 히터는 기판 열 손실과 매칭되고 기판 열 손실을 보상하는 복사 가열 프로파일을 제공하도록 중앙 조밀 패턴을 가지는 가열 요소를 포함하는,
    반도체 프로세싱 챔버를 위한 받침대.
  19. 제 16 항에 있어서,
    상기 냉각 채널 조립체는:
    링-형상의 냉각 채널;
    열 전달 유체를 상기 링-형상의 냉각 채널로 전달하기 위한 냉각 채널 유입구; 및
    상기 열 전달 유체를 상기 링-형상의 냉각 채널로부터 제거하기 위한 냉각 채널 배출구;를 가지는,
    반도체 프로세싱 챔버를 위한 받침대.
  20. 제 19 항에 있어서,
    링-형상의 상기 냉각 채널 조립체는 상기 열 전달 유체를 상기 링-형상의 냉각 채널로 공급하기 위해서 상기 냉각 채널 유입구 및 상기 냉각 채널 배출구에 커플링된 유체 재순환장치를 더 포함하는, 반도체 프로세싱 챔버를 위한 받침대.
  21. 제 20 항에 있어서,
    상기 열 제어 갭은:
    상기 전도성 바디에 의해 형성되는 상부 벽;
    상기 전도성 바디에 의해 형성되는, 반대쪽의 하부 벽; 및
    상기 전도성 바디에 의해 형성되고, 상기 열 제어 갭을 둘러싸는 둘레 벽에 의해서 형성되고,
    상기 둘레 벽이 원형이고, 그에 따라 상기 열 제어 갭에 원형 형상을 부여하는, 반도체 프로세싱 챔버를 위한 받침대.
KR1020127018577A 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대 KR101838943B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/641,819 US8274017B2 (en) 2009-12-18 2009-12-18 Multifunctional heater/chiller pedestal for wide range wafer temperature control
US12/641,819 2009-12-18
PCT/US2010/060069 WO2011075437A2 (en) 2009-12-18 2010-12-13 Multifunctional heater/chiller pedestal for wide range wafer temperature control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187006654A Division KR101881332B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대

Publications (2)

Publication Number Publication Date
KR20120106977A KR20120106977A (ko) 2012-09-27
KR101838943B1 true KR101838943B1 (ko) 2018-03-15

Family

ID=44149631

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187006654A KR101881332B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
KR1020187020594A KR101965725B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
KR1020127018577A KR101838943B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020187006654A KR101881332B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
KR1020187020594A KR101965725B1 (ko) 2009-12-18 2010-12-13 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대

Country Status (6)

Country Link
US (1) US8274017B2 (ko)
JP (1) JP5813005B2 (ko)
KR (3) KR101881332B1 (ko)
CN (1) CN102844854B (ko)
TW (1) TWI529845B (ko)
WO (1) WO2011075437A2 (ko)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP6223983B2 (ja) * 2011-09-30 2017-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103576463B (zh) * 2012-07-20 2015-09-30 上海微电子装备有限公司 光刻机工作台及其工作方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
CN105143502B (zh) 2013-03-11 2017-11-14 应用材料公司 高温处理腔室盖体
JP6224366B2 (ja) 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9837250B2 (en) 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9698074B2 (en) 2013-09-16 2017-07-04 Applied Materials, Inc. Heated substrate support with temperature profile control
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US20150170955A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Actively-cooled shadow ring for heat dissipation in plasma chamber
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104846346B (zh) * 2015-05-20 2017-11-24 中国科学院宁波材料技术与工程研究所 衬底温度的控制方法、装置及薄膜沉积设备
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
DE102016110884A1 (de) * 2016-06-14 2017-12-14 Aixtron Se Vorrichtung und Verfahren zum Abscheiden organischer Schichten auf ein oder mehreren Substraten
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
TWI671429B (zh) * 2016-07-02 2019-09-11 美商應用材料股份有限公司 在空間ald處理腔室中用以增加沉積均勻性的裝置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
TWI671851B (zh) * 2016-09-22 2019-09-11 美商應用材料股份有限公司 用於寬範圍溫度控制的加熱器基座組件
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6704834B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
JP6704836B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN110785837A (zh) * 2017-06-23 2020-02-11 沃特洛电气制造公司 高温热板基座
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
CN107841727A (zh) * 2017-12-15 2018-03-27 北京创昱科技有限公司 一种冷却构件及真空镀膜设备
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108342691B (zh) * 2018-05-21 2024-04-26 深圳市原速光电科技有限公司 一种加热装置及一种真空镀膜系统
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10910243B2 (en) 2018-08-31 2021-02-02 Applied Materials, Inc. Thermal management system
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11682574B2 (en) 2018-12-03 2023-06-20 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022523630A (ja) 2019-01-15 2022-04-26 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル
US20220074627A1 (en) * 2019-01-31 2022-03-10 Lam Research Corporation Cooling system for processing chamber
KR20210158409A (ko) * 2019-05-22 2021-12-30 어플라이드 머티어리얼스, 인코포레이티드 경사면 에칭 챔버를 위한 가열기 지지 키트
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
KR20220046682A (ko) * 2019-08-26 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 균일성이 개선된 반도체 프로세싱 장치
EP3843501B1 (en) * 2019-12-23 2022-10-19 Kanthal GmbH Methods and systems for cooling a heating element
JP7018978B2 (ja) * 2020-01-31 2022-02-14 株式会社日立ハイテク プラズマ処理装置
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111364026B (zh) * 2020-05-27 2020-08-14 上海陛通半导体能源科技股份有限公司 往复式旋转cvd设备及应用方法
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040063312A1 (en) * 2001-03-02 2004-04-01 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
JP2005032898A (ja) * 2003-07-10 2005-02-03 Ngk Insulators Ltd セラミックサセプターの支持構造
JP2006165475A (ja) 2004-12-10 2006-06-22 Nippon Dennetsu Co Ltd 被処理基板の加熱冷却構造

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US6278089B1 (en) * 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6998579B2 (en) * 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
WO2007018139A1 (ja) * 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2007258115A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 加熱装置
JP2009231401A (ja) * 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040063312A1 (en) * 2001-03-02 2004-04-01 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
JP2005032898A (ja) * 2003-07-10 2005-02-03 Ngk Insulators Ltd セラミックサセプターの支持構造
JP2006165475A (ja) 2004-12-10 2006-06-22 Nippon Dennetsu Co Ltd 被処理基板の加熱冷却構造

Also Published As

Publication number Publication date
TW201125069A (en) 2011-07-16
KR20120106977A (ko) 2012-09-27
US8274017B2 (en) 2012-09-25
WO2011075437A3 (en) 2011-11-17
CN102844854B (zh) 2016-04-27
KR20180029264A (ko) 2018-03-20
KR101965725B1 (ko) 2019-04-04
JP5813005B2 (ja) 2015-11-17
WO2011075437A2 (en) 2011-06-23
CN102844854A (zh) 2012-12-26
KR101881332B1 (ko) 2018-07-24
KR20180085819A (ko) 2018-07-27
US20110147363A1 (en) 2011-06-23
TWI529845B (zh) 2016-04-11
JP2013514669A (ja) 2013-04-25

Similar Documents

Publication Publication Date Title
KR101838943B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
KR102396779B1 (ko) 플라즈마 프로세싱을 위한 다중-구역 페데스탈
KR102457649B1 (ko) 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
TWI444501B (zh) 用於低溫pecvd應用之基座加熱器
US10811301B2 (en) Dual-zone heater for plasma processing
KR20200075012A (ko) 플라즈마 가열된 윈도우의 멀티-존 (multi-zone) 냉각
KR102236934B1 (ko) 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant