CN102844854A - 宽范围晶圆温度控制的多功能加热器/冷却器基座 - Google Patents

宽范围晶圆温度控制的多功能加热器/冷却器基座 Download PDF

Info

Publication number
CN102844854A
CN102844854A CN2010800555630A CN201080055563A CN102844854A CN 102844854 A CN102844854 A CN 102844854A CN 2010800555630 A CN2010800555630 A CN 2010800555630A CN 201080055563 A CN201080055563 A CN 201080055563A CN 102844854 A CN102844854 A CN 102844854A
Authority
CN
China
Prior art keywords
pedestal
cooling duct
substrate support
thermal control
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800555630A
Other languages
English (en)
Other versions
CN102844854B (zh
Inventor
叶立耀
T·A·M·恩古耶
D·R·杜鲍斯
S·巴录佳
T·诺瓦克
J·C·罗查-阿尔瓦雷斯
周建华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102844854A publication Critical patent/CN102844854A/zh
Application granted granted Critical
Publication of CN102844854B publication Critical patent/CN102844854B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

本发明的实施例大致关于半导体处理腔室,且更明确地,关于半导体处理腔室的加热支撑基座。在一个实施例中,提供半导体处理腔室的基座。基座包括:基板支撑件,基板支撑件包括导电材料并具有接收基板的支撑表面;电阻式加热器,密封于基板支撑件中;中空轴,在第一端耦接至基板支撑件并在相反端耦接至相配接口,中空轴包括具有中空核心的轴主体;及冷却通道组件,环绕中空核心并配置于轴主体中,以通过内部冷却路径从基座移除热量,其中基板支撑件具有位于加热元件与环形冷却通道之间的热控制间隙。

Description

宽范围晶圆温度控制的多功能加热器/冷却器基座
发明背景
发明领域
本发明的实施例大致关于半导体处理腔室,且更具体地,关于半导体处理腔室的加热支撑基座。
相关技术的描述
半导体处理包括数个不同的化学与物理处理,通过此在基板上产生精密的集成电路。通过包括化学气相沉积、物理气相沉积、外延生长等的处理产生构成集成电路的材料层。利用光刻胶掩模与湿或干蚀刻技术来图案化某些材料层。用以形成集成电路的基板可为硅、砷化镓、磷化铟、玻璃或其它合适的材料。
在集成电路的制造中,等离子体处理通常用来沉积或蚀刻不同的材料层。等离子体处理相对于热处理提供了多个优点。举例而言,等离子体增强化学气相沉积(PECVD)可使沉积处理以相比在类似热处理中实现的温度和沉积速率更低的温度和更高的沉积速率来执行。因此,PECVD有利于热预算紧张的集成电路制造,诸如超大规模或极大规模集成电路(VLSI或ULSI)器件制造。
用于这些处理的处理腔室通常包括基板支撑件或基座,所述基座配置于所述处理腔室中以在处理过程中支撑基板。在某些处理中,基座可包括嵌入式加热器,所述嵌入式加热器适于控制基板的温度与/或提供可用于处理中的升高的温度。基板处理过程中基板的适当温度控制与均匀加热是相当重要的,特别当集成电路的尺寸减小时。具有嵌入式加热器的传统支撑件往往具有数个热点与冷点,这些热点与冷点会影响沉积于基板上的膜的质量。
在整个集成电路制造中,基板表面的平坦性也相当重要。因此,保持基板的基座的表面必须尽可能平坦。加热时,由于基座的中心加热且基座的周边损失热,传统的基板支撑基座非常容易向上弯曲。弯曲的支撑基座可造成保持于所述支撑基座上的基板的弯曲,由此大幅度地降低晶圆表面的平坦性。
因此,需要一种在贯穿完整处理循环中在任何时候提供主动温度控制的基座。
发明内容
本发明的实施例大致关于半导体处理腔室,且更具体地,关于半导体处理腔室的加热支撑基座。在一个实施例中,提供半导体处理腔室的基座。所述基座包括:基板支撑件,所述基板支撑件包括导电材料并具有用于接收基板的支撑表面;电阻式加热器,密封于所述基板支撑件中;中空轴,在第一端耦接至所述基板支撑件并在第二端耦接至相配界面,所述中空轴包括具有中空核心的轴主体;及冷却通道组件,环绕所述中空核心并配置于所述轴主体中以通过内部冷却路径自所述基座移除热量,其中所述基板支撑件具有热控制间隙,所述热控制间隙位于所述加热元件与环形冷却通道之间。
在另一个实施例中,提供半导体处理腔室的基座。所述基座包括:基板支撑件,所述基板支撑件包括导电材料并具有用于接收基板的支撑表面;中空轴,与所述基板支撑件耦接且包括具有中空核心的轴主体;及主动冷却系统,用于对位于所述支撑表面上的基板的温度提供主动控制,所述主动冷却系统包括密封于所述基板支撑件中的加热元件以及环绕所述中空核心且配置于所述轴主体中以通过内部冷却路径自所述基座移除热量的冷却通道组件,其中热控制间隙位于所述加热元件与环形冷却通道之间。
在又一个实施例中,提供等离子体处理系统。所述等离子体处理系统包括:处理腔室主体,具有界定一对处理区的侧壁、底壁与内部侧壁;射频源,与所述处理腔室主体耦接;及基座,配置于所述一对处理区的至少一个处理区中。所述基座包括基板支撑件,所述基板支撑件包括导电材料并具有用于接收基板的支撑表面;中空轴,与所述基板支撑件耦接且包括具有中空核心的轴主体;及主动冷却系统,用于对位于所述支撑表面上的基板的温度提供主动控制,所述主动冷却系统包括密封于所述基板支撑件中的加热元件以及环绕所述中空核心且配置于所述轴主体中以通过内部冷却路径自基座移除热量的冷却通道组件,其中热控制间隙位于所述加热元件与环形冷却通道之间,且射频源与所述处理腔室主体耦接。
附图简述
为了详细地了解本发明的上述特征,可参照实施例(某些描绘于附图中)来理解如上所简短概述的本发明的更特定的描述。然而,需注意附图仅描绘本发明的典型实施例而因此不被视为本发明范围的限制因素,因为本发明可允许其它等效实施例。
图1是等离子体系统的一个实施例的部分横截面图;
图2A是图1所示的基座的一个实施例的等角侧视图;
图2B是图1所示的基座的一个实施例的等角仰视图;
图3A是图1所示的基座的一个实施例的示意仰视图;
图3B是沿着图3A的线3B-3B的基座的一个实施例的横截面侧视图;
图3C是图3B的基座的一个实施例的横截面图的放大部分;
图3D是沿着图3A的线3D-3D的横截面侧视图;
图3E是图3D的基座的一个实施例的横截面图的放大部分;
图4A是电阻式加热器的一个实施例的示意俯视图;及
图4B是电阻式加热器的一个实施例的示意侧视图。
为了便于理解,已尽可能使用相同的附图标记来标示图中共同的元件。可以预期的是,一个实施例的元件与特征可有利地并入其它实施例而不需要进一步的论述。
详细说明
本发明的实施例大致关于半导体处理腔室,且更具体地,关于半导体处理腔室的加热支撑基座。以下参照等离子体腔室示意性地描述本发明的实施例。在一个实施例中,在等离子体增强化学气相沉积(PECVD)系统中使用所述等离子体腔室。可适于从本文所述实施例受益的PECVD系统的实例包括
Figure BDA00001737568400041
SE CVD系统、
Figure BDA00001737568400042
GTTM CVD系统或CVD系统,上述所有系统均可商业地从Applied Materials,Inc.(应用材料有限公司)(Santa Clara,California)取得。
Figure BDA00001737568400044
SE CVD系统(例如,200mm或300mm)具有两个隔离处理区,所述隔离处理区可用来在基板上沉积薄膜,诸如导电膜、硅烷、碳-掺杂氧化硅与其它材料,所述SE CVD系统在美国专利5,855,681与6,495,233中得到描述,这两个专利通过参考结合于本文中。CVD腔室在美国专利6,364,954中被披露,所述专利也通过参考结合于本文中。虽然示范性实施例包括两个处理区,但可预期本文所述实施例可有利地用于具有单一处理区或超过两个处理区的系统中。也可预期本文所述实施例可有利地用于其它等离子体腔室,包括蚀刻腔室、离子注入腔室、等离子体处理腔室、和剥除腔室等。进一步可预期本文所述实施例可有利地用于从其它制造商获得的等离子体处理腔室。
本文所述的基座的实施例解决了在完整处理循环过程中在任何时候的处理中对基板进行主动温度控制的需求。本文所述某些实施例利用具有极微温度梯度(<10°C)与独特元件图案的嵌入式加热元件提供温度高于400°C的较高温度控制。本文所述某些实施例可通过使活性冷却剂通过基座的主体,来从外部源(如,RF联接器)或内部源(诸如嵌入式加热元件)任一者移除较大的热负载(例如,高于2,000瓦特)。本文所述某些实施例通过主动控制加热元件以及通过基座的主体的冷却剂的流率来提供较低的所希望的温度梯度。
本文所述某些实施例在基板经受多种处理与腔室条件(例如,加热器面板、击发于腔室中的耦连RF、处理气体、化学作用等)的同时,提供在宽范围上主动控制基板的温度的能力。可通过两个主动温度通量实现主动温度控制;第一,通过钎焊接/嵌入式加热元件提供热量至基座,而第二,通过内部冷却剂路径从基座移除热量。因此,可通过控制这两个通量的水平来将基座表面(基板坐落于所述基座表面上)的温度控制到所希望的温度设定点。可通过输送更多功率至加热元件并降低冷却剂的流率(或降低冷却剂入口温度)来产生更多的热量,或者可反过来进行以实现较冷的基座温度。通过控制热源(内部的加热元件或外部的腔室或处理条件)与热沉(内部活性冷却剂)之间的互动来实现较宽的温度控制范围。在一个实施例中,通过将支撑件主体中的加热元件置于更靠近支撑件表面(基板坐落于所述支撑件表面上)来最大化可实现的最高温度,并通过将轴的较低主体中的冷却通道置于可排除所希望热量的高度,从而实现所述较宽的温度控制范围。
本文所述某些实施例还提供在所控制的温度范围的10°C内控制温度均匀性的能力。在一个实施例中,这可通过将加热元件如上所述般相对于冷却通道配置而实现,并且还利用了位于加热元件与冷却通道间的空隙来进一步控制热流的路径。在一个实施例中,冷却通道、空隙和加热元件的配置产生不超过0.005英寸的支撑表面的最大平面偏斜,这降低了处理过程中基板滑动的可能性。
在一个实施例中,基座包括铝合金。在一个实施例中,铝合金是包含镁与硅的铝合金,诸如铝6061。铝合金提供三个重要特征:1)高热传导性,这促进了热源至冷却剂的热流的交互作用,2)由多种加工技术处理的能力(例如,钎焊轴组件以在中间高度处并入冷却通道,喷砂处理支撑表面以提高辐射热损失,镍镀涂层通道而能够流动硬水),及3)较低的制造成本。
图1是具有根据本文所述实施例的基座128的示范性等离子体系统100的部分横截面图。如本文所述,基座128包括主动冷却系统,该系统在基板经受多种处理与腔室条件的同时,允许在宽的温度范围下主动控制位于基座上的基板的温度。等离子体系统100通常包括与控制器110耦接的处理腔室主体102。处理腔室主体102具有界定一对处理区120A与120B的侧壁112、底壁116和内部侧壁101。各个处理区120A-B相似地配置,且为了简洁,将仅描述处理区120B中的部件。
透过系统100中的底壁116中形成的通道122将基座128配置于处理区120B中。基座128适于在所述基座128的上表面上支撑基板(未显示)。基座128可包括加热元件(例如,电阻式元件)以加热并控制基板温度处于所希望的处理温度下。或者,可通过远程加热元件(诸如灯组件)加热基座128。
基座128通过轴126耦接至功率出口或功率盒103,所述功率出口或功率盒103可包括控制处理区120B中的基座128的高度与移动的驱动系统。轴126也包含电功率接口以提供电功率至基座128。功率盒103还包含电功率与温度指示器的接口,诸如热电偶接口。轴126还包括基部组件129,所述基部组件129适于可分开地耦接至功率盒103。显示出圆周环135位于功率盒103上方。在一个实施例中,圆周环135是适于作为机械止动部或焊盘的肩部,配置以提供基部组件129与功率盒103的上表面之间的机械接口。
杆130经配置通过形成于底壁116中的通道124,且杆130用以活化经配置通过基座128的基板升降杆161。基板升降杆161选择性地将基板与基座分隔,以有助于利用机器人(未显示)的基板交换,所述机器人用于通过基板传送孔160传送基板进出处理区120B。
腔室盖104耦接至腔室主体102的顶部。盖104容纳一个或多个耦接至所述盖104的气体分配系统108。气体分配系统108包括气体入口通道140,所述气体入口通道140通过喷头组件142输送反应物与清洁气体进入处理区120B。喷头组件142包括环状基部板148,所述环状基部板148与面板146之间配置有阻隔板144。射频(RF)源165耦接至喷头组件142。RF源165供能给喷头组件142以促进在喷头组件142的面板146与加热的基座128之间产生等离子体。在一个实施例中,RF源165可为高频率射频(HFRF)功率源,诸如13.56MHz RF产生器。在另一个实施例中,RF源165可包括HFRF功率源与低频率射频(LFRF)功率源,诸如300kHz RF产生器。或者,RF源可耦接至处理腔室主体102的其它部分(诸如,基座128)以促进等离子体产生。在盖104与喷头组件142之间配置电介质隔离器158以避免传导RF功率至盖104。遮蔽环106可配置于基座128的周边,所述遮蔽环106在基座128的所希望高度上啮合基板。
选择性地,冷却通道147形成于气体分配系统108的环状基部板148中以在操作过程中冷却环状基部板148。热传送流体(诸如,水、乙二醇、气体等)可通过冷却通道147循环,从而将基部板148维持在预定温度下。
腔室衬垫组件127配置于处理区120B中且非常接近腔室主体102的侧壁101、112,以防止将侧壁101、112暴露于处理区120B中的处理环境。衬垫组件127包括耦接至泵送系统164的周围泵送腔125,泵送系统164经配置以从处理区120B排出气体与副产物并控制处理区120B中的压力。多个排出孔131可形成于腔室衬垫组件127上。排出孔131经配置以用促进系统100中的处理的方式使气体从处理区120B流至周围泵送腔125。
控制器110可包括中央处理单元(CPU)、存储器与支持电路,用以控制包括本文所述的主动冷却系统的处理次序。CPU可为任何形式可用于工业设定的通用计算机处理器。软件例程可存储于存储器中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动或其它形式的数字存储。支持电路可传统地耦接至CPU并可包括高速缓存、时钟电路、输入/输出系统、电源等。通过多个信号缆线(通称为信号总线)来处理控制单元110与等离子体系统100的不同部件之间的双向通信,某些信号缆线描述于图1中。
图2A是用于等离子体系统100的基座128的一个实施例的等角俯视图。基座128包括轴126以及与圆形基板支撑件205相对的基部组件129。在一个实施例中,轴126设置成管状件或中空轴。在一个实施例中,基部组件129用作为可分开的相配接口,该接口的电连接配置于功率出口或功率盒103之中或之上。基板支撑件205包括基本平坦的基板接收表面或支撑表面210。支撑表面210可适于支撑200mm的基板、300mm的基板或450mm的基板。在一个实施例中,支撑表面210包括多个结构215,结构215可为延伸于支撑表面210的平面上方的凸块或突出物。多个结构215各自的高度基本相同,以提供稍微提高或与支撑表面210间隔的基本平坦的基板接收面或表面。在一个实施例中,各个结构215由与支撑表面210的材料不同的材料所形成或涂覆。基板支撑件205还包括多个开220,开口220穿过所述基板支撑件205而形成且适于接收升降杆161(图1)。
在一个实施例中,基板支撑件205的主体与轴126由导电金属材料制成,而基部组件129由导电金属材料与绝缘材料的组合制成。相比于陶瓷制成的基板支撑件,由导电金属材料制造基板支撑件205降低了拥有成本。此外,导电金属材料用以保护嵌入式加热器(此图中未显示)免于RF功率。这提高了基板支撑件205的效率与寿命,而降低了拥有成本。
在一个实施例中,基板支撑件205的主体与轴126仅由铝材料(诸如,铝合金)制成。在特定实施例中,基板支撑件205与轴都由6061铝制成。在一个实施例中,基部组件129包括铝部分与配置于所述基部组件129中的绝缘部分(诸如,聚醚醚酮(PEEK)树脂),以将基部组件129的部分与基板支撑件205的导电部分和轴126电绝缘。在一个实施例中,基板支撑件205的主体由铝材料制成,而各个配置于支撑表面210上的结构215由陶瓷材料(诸如,氧化铝)制成或涂覆。
在一个实施例中,基座128的支撑表面210具有纹理。可利用本领域中已知的技术使支撑表面210具有纹理,例如喷砂处理、回蚀处理或这两种处理的组合。在一个实施例中,基座128的纹理支撑表面210的均方根(“RMS”)粗糙度可约0.75微米至约6微米,例如约1.5微米与约5微米之间,例如约2微米。
图2B是基座128的一个实施例的等角仰视图。轴126包括第一端212以及第二端214,所述第一端212耦接至基板支撑件205,所述第二端214耦接至与基板支撑件205相对的基部组件129。在此实施例中,基部组件129包括沟槽导电部分225,所述沟槽导电部分225耦接至与/或包含电介质插头230。在一个实施例中,沟槽导电部分225可设置成插头或者适于与功率盒103(图1)相配的阳接口。在图2B所示的实施例中,导电部分225的横截面可为圆形,并具有至少部分穿过外表面或壁形成的槽。电介质插头230可设置成插座或阴接口,或者包括一个或多个设置成插座或阴接口的一个部分或多个部分,所述部分适于接收功率盒103中的电连接或与所述电连接相配。在一个实施例中,沟槽导电部分225可为轴126的整体延伸并由铝材料制成,而电介质插头230由PEEK树脂制成。
基部组件129还包括圆周环135,圆周环135适于接收与图1所示的功率盒103相接合的o-形环240。在此实施例中,沟槽导电部分225包括适于接收电介质插头230的开口,且电介质插头230固定至沟槽导电部分225。电介质插头230还包括形成于所述电介质插头230中的开口或插座,以接收来自功率盒103的电导线。
图3A是基座128的一个实施例的仰视示意图。电介质插头230具有冷却通道入口302,以输送冷却剂至冷却通道;冷却通道出口304,以从冷却通道移除冷却剂;及导电插头320。
图3B是沿着图3A的线3B-3B的具有主动冷却系统的基座128的一个实施例的横截面侧视图。图3C是图3B的基座的一个实施例的放大横截面图。在一个实施例中,主动冷却系统包括电阻式加热器组件305、冷却通道组件306和热控制间隙308。电阻式加热器305配置或密封于基板支撑件205的导电主体300中。在一个实施例中,导电主体300由导电金属(诸如,铝)所组成的材料制成。
冷却通道组件306具有冷却通道307、冷却通道入口302、冷却通道出口304和流体再循环器309,用以供应热传送流体或“冷却剂”至冷却通道组件。在一个实施例中,冷却通道307是配置于轴126的主体中且环绕轴126的中空部分的环形通道。参照图3E,冷却通道307由上壁350、相对下壁352、内周围壁354和外周围壁356界定。在一个实施例中,冷却通道307是连续环,所述连续环环绕轴126的中空部分的直径。在某些实施例中,冷却通道307是仅环绕轴126的中空部分的一部分的部分环。
在一个实施例中,冷却通道入口302是延伸通过基座组件128的轴126的纵向通道。冷却通道入口302的第一端耦接于流体再循环器309,而冷却通道入口302的第二端流体耦接于冷却通道307。在一个实施例中,冷却通道出口304是延伸通过基座组件128的轴126的纵向通道。冷却通道出口304的第一端耦接于冷却通道307,而冷却通道出口的第二端耦接于流体再循环器309。
在操作中,可通过流体再循环器309将热传送流体重新应用并连续地泵送通过冷却通道组件306。在某些实施例中,可在进入冷却通道入口302之前,通过流体再循环器309将热传送流体加热或冷却至预选温度。举例而言,流体再循环器309可包括:泵(未显示),用以泵送热传送流体通过冷却通道组件306;冷却器或加热器(也未显示),用以冷却或加热热传送流体;及恒温器(也未显示),用以监控热传送流体的温度并控制冷却器或加热器以维持温度在所希望的水平。流体再循环器309也可包括用以监控流体压力的压力表;用以控制流动的表计、阀;和其它用以控制热传送流体的流动的部件,为了简明对这些部件不作描述。在操作中,将热传送流体供应至冷却通道组件306的冷却通道入口302。将热传送流体泵入冷却通道入口302、流过冷却通道306以加热或冷却基板支撑件205的导电主体300(取决于热传送流体与基板支撑件205的相对温度)、并由冷却通道出口304移除或排出。
在某些实施例中,第一温度传感器362(诸如,热电偶)可配置于基板支撑件205中且邻近冷却通道入口302,以在热传送流体进入冷却通道入口302时监控热传送流体的温度。在某些实施例中,第二温度传感器364(诸如,热电偶)可配置于基板支撑件205中且邻近冷却通道出口304,以在热传送流体离开冷却通道出口304时监控热传送流体的温度。虽然配置于冷却通道入口302与冷却通道出口304中,但可将第一温度传感器362与第二温度传感器364配置于可以监测热传送流体的温度的基板支撑件205内部或外部的任何位置。另外,虽然显示两个温度传感器,但可利用任何数目的温度传感器来监控热传送流体的温度。测量的温度可由控制器110用来控制供应至热交换器(未显示)的功率,以维持热传送流体与基板支撑件205在所希望的温度下。热交换器可为流体再循环器309的部分或可为单独的部件。响应于热传送流体的测量温度,控制器110也可提高或减少来自流体再循环器309的热传送流体的流动。
在一个实施例中,热传送流体可包括水、乙二醇、气体等。在一个实施例中,热传送流体包括水与乙二醇的混合物,例如50%水与50%乙二醇的混合物。在某些实施例中,单独的存储容器可耦接于冷却通道出口304以存储用过的冷却剂。如图3D所示,冷却通道入口302与冷却通道出口304通过轴126的主体与导电线路315a、315b隔离。
在一个实施例中,热控制间隙308配置于基座128的导电主体300中且环绕轴126的中空部分以进一步控制热流的路径。热传送流体通过基座128的轴126的流动在支撑表面210的中心处产生局部冷却点,热控制间隙308提高了基座的支撑表面210的中心周围的热阻抗,由此用作冷扩散器。参照图3C,热控制间隙308由上壁312、相对下壁313和围绕热控制间隙308的周围壁314形成。在一个实施例中,周围壁314是圆形的,因此使热控制间隙308为圆形形状。热控制间隙308也可具有任何提供所希望热控制量给主动冷却系统的其它形状。举例而言,热控制间隙308的形状可选自诸如椭圆形、正方形、矩形与非均匀形状之类的其它形状。在一个实施例中,热控制间隙308的直径在约2英寸(5.1cm)与约六英寸(15.2cm)之间。在一个实施例中,热控制间隙308的直径在约3英寸(7.6cm)与约4英寸(10.2cm)之间。热控制间隙308的直径可有所变化以提供所希望的热控制量。热控制间隙308的上壁与下壁间的距离(例如,高度)也可有所变化以提供所希望的热控制量。在一个实施例中,热控制间隙308的高度在约0.1英寸(0.3cm)与约1英寸(2.5cm)之间。在另一个实施例中,热控制间隙308的高度在约0.4英寸(1cm)与约0.5英寸(1.3cm)之间。
在一个实施例中,电阻式加热器305的顶表面位于离基板支撑件205的支撑表面210为约0.10英寸(0.3cm)与约0.80英寸(2cm)之间的距离处。在另一个实施例中,电阻式加热器305的顶表面位于离基板支撑件205的支撑表面210为约0.15英寸(0.4cm)与约0.20英寸(0.5cm)之间的距离处。在一个实施例中,热控制间隙308的上壁312位于离基板支撑件205的支撑表面210为约0.5英寸(1.3cm)与约1.5英寸(3.8cm)之间的距离处。在另一个实施例中,热控制间隙308的上壁位于离基板支撑件205的支撑表面210为约0.9英寸(2.3cm)与约1.2英寸(3.0cm)之间的距离处。在一个实施例中,冷却通道307的上壁350位于离基板支撑件205的支撑表面210为约3英寸(7.6cm)与约5英寸(12.7cm)之间的距离处。在另一个实施例中,冷却通道307的上壁350位于离基板支撑件205的支撑表面210为约4英寸(10.2cm)与约4.5英寸(11.4cm)之间的距离处。
在一个实施例中,冷却通道307的位置与电阻式加热元件305相隔的距离为“X”。在一个实施例中,热控制间隙308的上壁312的位置与电阻式加热器305的底面相隔的距离为“Y”。在一个实施例中,热控制间隙308的下壁313的位置与冷却通道307相隔的距离为“Z”。在一个实施例中,距离“X”、“Y”与“Z”经选择以从基座128排出所希望的热量。
图3D是沿着图3A的线3D-3D的具有本文所述的主动冷却系统的基座128的一个实施例的横截面侧视图。如图3B所示,轴126耦接至图1所示的功率出口或功率盒103。电阻式加热器305通过配置于轴126中的导电线路315a、315b耦接至配置于功率盒103中的功率源310。轴126还包括适于接收热电偶(未显示)的纵向通道或孔350。在此实施例中,电介质插头230包括一个或多个配置于所述电介质插头230中的导电插头320,以将导电线路315耦接于一个或多个配置于功率盒103中的各个插座326a、326b。在一个实施例中,导电插头320是多触点插头。在操作过程中,导电线路315与导电插头320可被电偏压,但通过电介质插头230的周围壁325而与沟槽导电部分225、轴126和基板支撑件205电绝缘。
在一个实施例中,轴126与基板支撑件205由铝制成并电接地。铝材料密封加热元件并作为电阻式加热器305的有效RF护罩。由铝材料制成的RF护罩消除了对带通滤波器(滤除耦接至电阻式加热器305的RF)的需求,而由不同材料(诸如,陶瓷)制成的加热基座中可能需要带通滤波器。利用导电插头320作为电阻式加热器305的功率接线端的电接口的设计,与传统设计的电连接器相反,可应用来自功率盒103的量表线路与连接器。导电插头320安装于包括PEEK树脂的唯一基部设计上。导电插头320包括功率接线端组件,所述功率接线端组件由电介质插头230机械地支撑,电介质插头230固定于基部组件129的导电部分225上。PEEK树脂与相对于接地加热器主体(基板支撑件205与轴126)的通电的功率接线端(导电插头320)电绝缘。因此,基座128通过排除带通滤波器并利用较便宜的铝材料(这明显地降低了拥有成本)来最小化成本。此外,可重新翻修本文所述的基座128来取代现有腔室中的原有基座,而无需大规模地重新设计与/或停工。
图4A是电阻式加热器305的一个实施例的示意俯视图。图4B是电阻式加热器305的一个实施例的示意侧视图。在一个实施例中,电阻式加热器305包括加热元件410。如图4A所示,加热元件410经图案化以在电阻式加热器305的中心部中提供中心密集图案,从而提供匹配并补偿基板热损失的辐射加热分布。举例而言,参照图3D,相比于导电支撑件主体300的边缘,加热元件410朝向导电支撑件主体300的中心的间隔较近。通过轴126的冷却剂的流动相对于支撑表面210的边缘在支撑表面210的中心处产生冷点。虽然显示为中心密集,但应当理解,加热元件410可适于包含基板热损失分布中的任何变化。举例而言,加热元件410可适于通过改变加热元件410的尺寸、间距、电阻系数、输入功率等来提供变量的热输出,以更匹配基板损失分布。
表1概括了利用本文所述的主动冷却系统的基座的热与结构模型仿真。入口温度[°C]代表热传送流体进入冷却通道组件时的热传送流体的入口温度。出口温度[°C]代表热传送流体离开主动冷却组件时的热传送流体的出口温度。体积流率[GPM]代表每分钟流过冷却通道组件的冷却剂的加仑。温度设定点[°C]代表电阻式加热器的设定点温度。温度梯度[°C]代表利用本文所述的主动冷却系统的基座的支撑表面上高温与低温之间的温度差异。最大变形[密尔]代表基座的最大平面偏斜。偏斜具有两种模式,第一,基座的支撑表面与导电主体可能弯曲,第二,基座的轴可因为内部流体与外部流体之间的温度变化而倾斜。最大变形结果指示,本文所示实施例可产生不超过0.005英寸(5密尔)的支撑表面的最大平面偏斜。
Figure BDA00001737568400161
表1:基座的热与结构模型的结果。
虽然上述针对的是本发明的实施例,但可在不悖离本发明的基本范围下设计出本发明的其它与更多实施例,而本发明的范围则由下列的权利要求界定。

Claims (15)

1.一种用于半导体处理腔室的基座,包括:
基板支撑件,包括导电材料并具有接收基板的支撑表面;
电阻式加热器,密封于所述基板支撑件中;及
中空轴,在第一端耦接至所述基板支撑件并在第二端耦接至相配接口,所述中空轴包括:
轴主体,具有中空核心;及
冷却通道组件,环绕所述中空核心并配置于所述轴主体中,以通过内部冷却路径从所述基座移除热量,其中所述基板支撑件具有热控制间隙,所述热控制间隙位于所述加热元件与环形冷却通道之间。
2.如权利要求1所述的基座,其特征在于,所述电阻式加热器包括加热元件,所述加热元件具有中心密集图案,以提供匹配且补偿基板热损失的辐射加热分布。
3.如权利要求1所述的基座,其特征在于,所述冷却通道组件具有:
环形冷却通道;
冷却通道入口,用以输送热传送流体至所述环形冷却通道;及
冷却通道出口,用以从所述环形冷却通道移除所述热传送流体。
4.如权利要求3所述的基座,其特征在于,所述环形冷却通道组件还包括流体再循环器,所述流体再循环器耦接于所述冷却通道入口与所述冷却通道出口,以供应所述热传送流体至所述环形冷却通道。
5.如权利要求4所述的基座,其特征在于,所述冷却通道入口纵向延伸通过所述轴主体。
6.如权利要求1所述的基座,其特征在于,所述热控制间隙由下列形成:
上壁;
相对下壁;及
周围壁,围绕所述热控制间隙,其中所述周围壁是圆形的,从而使所述热控制间隙为圆形形状。
7.如权利要求6所述的基座,其特征在于,所述热控制间隙的直径在约7.6cm与约10.2cm之间,而所述热控制间隙的高度在约1cm与约1.3cm之间。
8.如权利要求6所述的基座,其特征在于,所述电阻式加热器的顶部位于离所述基板支撑件的支撑表面为约0.3cm与约2cm之间的距离处,而所述热控制间隙的上壁位于离所述支撑表面为约1.3cm与约3.8cm之间的距离处。
9.如权利要求3所述的基座,其特征在于,所述相配接口包括:
电介质插头,包括:
至少一个暴露的电连接器,适于耦接配置于所述处理腔室上的功率出口并与所述中空轴电隔离,其中所述冷却通道入口与所述冷却通道出口横跨所述电介质插头并与所述至少一个暴露的电连接器电隔离。
10.如权利要求1所述的基座,其特征在于,所述冷却通道的顶部的位置与所述电阻式加热器相隔一距离,以产生不大于0.005英寸的所述支撑表面的最大平面偏斜。
11.如权利要求9所述的基座,其特征在于,还包括一对导电线路,所述导电线路将所述相配接口与所述密封的电阻式加热器进行耦接,其中所述导电线路位于所述中空核心中。
12.一种等离子体处理系统,包括:
处理腔室主体,具有:
侧壁;
底壁;及
内部侧壁,界定一对处理区;及
基座,配置于所述一对处理区的至少一个处理区中;所述基座包括:
基板支撑件,包括导电材料并具有接收基板的支撑表面;
中空轴,耦接于所述基板支撑件,所述中空轴包括:
轴主体,具有中空核心;及
主动冷却系统,对位于所述支撑表面上的基板的温度提供主动控制,所述主动冷却系统包括:
加热元件,密封于所述基板支撑件中;及
冷却通道组件,环绕所述中空核心并配置于所述轴主体中,以通过内部冷却路径从所述基座移除热量,其中热控制间隙位于所述加热元件与环形冷却通道之间;及
射频源,耦接于所述处理腔室主体。
13.如权利要求12所述的系统,其特征在于,所述冷却通道组件包括:
环形冷却通道;
冷却通道入口,用以输送热传送流体至所述环形冷却通道;及
冷却通道出口,用以从所述环形冷却通道移除所述热传送流体。
14.如权利要求13所述的系统,其特征在于,所述热控制间隙由下列形成:
上壁;
相对下壁;及
周围壁,围绕所述热控制间隙,其中所述周围壁是圆形的,从而使所述热控制间隙为圆形形状。
15.如权利要求12所述的系统,其特征在于,所述加热元件具有中心密集图案,以提供匹配且补偿基板热损失的辐射加热分布。
CN201080055563.0A 2009-12-18 2010-12-13 宽范围晶圆温度控制的多功能加热器/冷却器基座 Expired - Fee Related CN102844854B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/641,819 US8274017B2 (en) 2009-12-18 2009-12-18 Multifunctional heater/chiller pedestal for wide range wafer temperature control
US12/641,819 2009-12-18
PCT/US2010/060069 WO2011075437A2 (en) 2009-12-18 2010-12-13 Multifunctional heater/chiller pedestal for wide range wafer temperature control

Publications (2)

Publication Number Publication Date
CN102844854A true CN102844854A (zh) 2012-12-26
CN102844854B CN102844854B (zh) 2016-04-27

Family

ID=44149631

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080055563.0A Expired - Fee Related CN102844854B (zh) 2009-12-18 2010-12-13 宽范围晶圆温度控制的多功能加热器/冷却器基座

Country Status (6)

Country Link
US (1) US8274017B2 (zh)
JP (1) JP5813005B2 (zh)
KR (3) KR101838943B1 (zh)
CN (1) CN102844854B (zh)
TW (1) TWI529845B (zh)
WO (1) WO2011075437A2 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104846346A (zh) * 2015-05-20 2015-08-19 中国科学院宁波材料技术与工程研究所 衬底温度的控制方法、装置及薄膜沉积设备
CN105870039A (zh) * 2015-02-09 2016-08-17 应用材料公司 用于等离子体处理的双区式加热器
CN107533945A (zh) * 2015-02-03 2018-01-02 应用材料公司 用于等离子体处理系统的低温夹具
CN108342691A (zh) * 2018-05-21 2018-07-31 深圳市原速光电科技有限公司 一种加热装置及一种真空镀膜系统
CN109075108A (zh) * 2016-04-11 2018-12-21 应用材料公司 半导体处理腔室
CN109716497A (zh) * 2016-09-22 2019-05-03 应用材料公司 用于宽范围温度控制的加热器基座组件
WO2019114237A1 (zh) * 2017-12-15 2019-06-20 北京创昱科技有限公司 冷却构件及真空镀膜设备
CN110265323A (zh) * 2019-05-31 2019-09-20 沈阳拓荆科技有限公司 具有接点阵列的晶圆加热座
CN110352479A (zh) * 2017-06-19 2019-10-18 应用材料公司 原位半导体处理腔室温度装置
CN110785837A (zh) * 2017-06-23 2020-02-11 沃特洛电气制造公司 高温热板基座
US20210050234A1 (en) * 2019-08-16 2021-02-18 Applied Materials, Inc. Heated substrate support with thermal baffles
CN113091324A (zh) * 2019-12-23 2021-07-09 山特维克原料技术德国公开股份有限公司 用于冷却加热元件的方法和系统
CN114303224A (zh) * 2019-08-26 2022-04-08 应用材料公司 具有改进的均匀性的半导体处理设备

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103843129B (zh) * 2011-09-30 2017-03-01 应用材料公司 具有温度控制的静电夹具
US10274270B2 (en) * 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103576463B (zh) * 2012-07-20 2015-09-30 上海微电子装备有限公司 光刻机工作台及其工作方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
JP6333941B2 (ja) * 2013-03-11 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド及びそれを備えた処理チャンバ
JP6224366B2 (ja) 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9698074B2 (en) 2013-09-16 2017-07-04 Applied Materials, Inc. Heated substrate support with temperature profile control
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US20150170955A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Actively-cooled shadow ring for heat dissipation in plasma chamber
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10781518B2 (en) 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
DE102016110884A1 (de) * 2016-06-14 2017-12-14 Aixtron Se Vorrichtung und Verfahren zum Abscheiden organischer Schichten auf ein oder mehreren Substraten
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
TWI671429B (zh) 2016-07-02 2019-09-11 美商應用材料股份有限公司 在空間ald處理腔室中用以增加沉積均勻性的裝置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
JP6704836B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
JP6704834B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10910243B2 (en) 2018-08-31 2021-02-02 Applied Materials, Inc. Thermal management system
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20210088723A (ko) 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020149972A1 (en) 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
KR20210111874A (ko) * 2019-01-31 2021-09-13 램 리써치 코포레이션 프로세싱 챔버를 위한 냉각 시스템
JP2022533826A (ja) * 2019-05-22 2022-07-26 アプライド マテリアルズ インコーポレイテッド 斜面エッチングチャンバのためのヒータ支持キット
JP7018978B2 (ja) * 2020-01-31 2022-02-14 株式会社日立ハイテク プラズマ処理装置
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111364026B (zh) * 2020-05-27 2020-08-14 上海陛通半导体能源科技股份有限公司 往复式旋转cvd设备及应用方法
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US20040063312A1 (en) * 2001-03-02 2004-04-01 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US20050006374A1 (en) * 2003-07-10 2005-01-13 Ngk Insulators, Ltd. Systems for supporting ceramic susceptors
CN1782133A (zh) * 2004-11-04 2006-06-07 应用材料股份有限公司 六氟化硫远程清洁等离子源

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
US7221553B2 (en) 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP2006165475A (ja) * 2004-12-10 2006-06-22 Nippon Dennetsu Co Ltd 被処理基板の加熱冷却構造
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
US20060281310A1 (en) 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
JPWO2007018139A1 (ja) * 2005-08-10 2009-02-19 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP2007258115A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 加熱装置
JP2009231401A (ja) * 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US20040063312A1 (en) * 2001-03-02 2004-04-01 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US20050006374A1 (en) * 2003-07-10 2005-01-13 Ngk Insulators, Ltd. Systems for supporting ceramic susceptors
CN1782133A (zh) * 2004-11-04 2006-06-07 应用材料股份有限公司 六氟化硫远程清洁等离子源

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533945B (zh) * 2015-02-03 2020-03-17 应用材料公司 用于等离子体处理系统的低温夹具
CN107533945A (zh) * 2015-02-03 2018-01-02 应用材料公司 用于等离子体处理系统的低温夹具
CN105870039A (zh) * 2015-02-09 2016-08-17 应用材料公司 用于等离子体处理的双区式加热器
US10811301B2 (en) 2015-02-09 2020-10-20 Applied Materials, Inc. Dual-zone heater for plasma processing
CN104846346A (zh) * 2015-05-20 2015-08-19 中国科学院宁波材料技术与工程研究所 衬底温度的控制方法、装置及薄膜沉积设备
CN109075108B (zh) * 2016-04-11 2022-04-01 应用材料公司 半导体处理腔室
CN109075108A (zh) * 2016-04-11 2018-12-21 应用材料公司 半导体处理腔室
CN109716497B (zh) * 2016-09-22 2023-09-26 应用材料公司 用于宽范围温度控制的加热器基座组件
CN109716497A (zh) * 2016-09-22 2019-05-03 应用材料公司 用于宽范围温度控制的加热器基座组件
CN110352479A (zh) * 2017-06-19 2019-10-18 应用材料公司 原位半导体处理腔室温度装置
CN110785837A (zh) * 2017-06-23 2020-02-11 沃特洛电气制造公司 高温热板基座
WO2019114237A1 (zh) * 2017-12-15 2019-06-20 北京创昱科技有限公司 冷却构件及真空镀膜设备
CN108342691A (zh) * 2018-05-21 2018-07-31 深圳市原速光电科技有限公司 一种加热装置及一种真空镀膜系统
CN108342691B (zh) * 2018-05-21 2024-04-26 深圳市原速光电科技有限公司 一种加热装置及一种真空镀膜系统
CN110265323B (zh) * 2019-05-31 2021-09-03 拓荆科技股份有限公司 具有接点阵列的晶圆加热座
CN110265323A (zh) * 2019-05-31 2019-09-20 沈阳拓荆科技有限公司 具有接点阵列的晶圆加热座
US20210050234A1 (en) * 2019-08-16 2021-02-18 Applied Materials, Inc. Heated substrate support with thermal baffles
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
CN114303224A (zh) * 2019-08-26 2022-04-08 应用材料公司 具有改进的均匀性的半导体处理设备
CN113091324A (zh) * 2019-12-23 2021-07-09 山特维克原料技术德国公开股份有限公司 用于冷却加热元件的方法和系统

Also Published As

Publication number Publication date
US8274017B2 (en) 2012-09-25
KR101965725B1 (ko) 2019-04-04
KR20120106977A (ko) 2012-09-27
WO2011075437A3 (en) 2011-11-17
WO2011075437A2 (en) 2011-06-23
KR101881332B1 (ko) 2018-07-24
TW201125069A (en) 2011-07-16
US20110147363A1 (en) 2011-06-23
JP2013514669A (ja) 2013-04-25
TWI529845B (zh) 2016-04-11
CN102844854B (zh) 2016-04-27
KR20180085819A (ko) 2018-07-27
KR20180029264A (ko) 2018-03-20
KR101838943B1 (ko) 2018-03-15
JP5813005B2 (ja) 2015-11-17

Similar Documents

Publication Publication Date Title
CN102844854B (zh) 宽范围晶圆温度控制的多功能加热器/冷却器基座
US20210296144A1 (en) Substrate support pedestal having plasma confinement features
US10090187B2 (en) Multi-zone pedestal for plasma processing
TWI654712B (zh) 用於具有多區加熱之基材支撐件的方法及設備
CN102077338A (zh) 用于低温pecvd应用的基座加热器
KR200465330Y1 (ko) 기판 지지체의 가열 및 냉각
CN103890928A (zh) 静电夹盘
US10910238B2 (en) Heater pedestal assembly for wide range temperature control
CN107230655A (zh) 用于等离子体处理的双区式加热器
US20190378696A1 (en) Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
KR200488076Y1 (ko) 히터를 구비한 기판 지지 페디스털
CN100477091C (zh) 处理装置
CN210765582U (zh) 用于碳化硅外延的加热装置
CN209607706U (zh) 用于半导体处理腔室的基板加热器
CN105097630A (zh) 承载装置以及等离子刻蚀设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160427

Termination date: 20181213

CF01 Termination of patent right due to non-payment of annual fee