CN110352479A - 原位半导体处理腔室温度装置 - Google Patents

原位半导体处理腔室温度装置 Download PDF

Info

Publication number
CN110352479A
CN110352479A CN201880014818.5A CN201880014818A CN110352479A CN 110352479 A CN110352479 A CN 110352479A CN 201880014818 A CN201880014818 A CN 201880014818A CN 110352479 A CN110352479 A CN 110352479A
Authority
CN
China
Prior art keywords
electrode
temperature
heat
nozzle component
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880014818.5A
Other languages
English (en)
Other versions
CN110352479B (zh
Inventor
A·恩古耶
Y·萨罗德
X·常
K·拉马斯瓦米
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110352479A publication Critical patent/CN110352479A/zh
Application granted granted Critical
Publication of CN110352479B publication Critical patent/CN110352479B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/10Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects
    • H10N10/13Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects characterised by the heat-exchanging means at the junction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Extrusion Moulding Of Plastics Or The Like (AREA)
  • Human Computer Interaction (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一个实现中,提供了一种喷头组件。喷头组件包括第一电极和气体分配面板,第一电极具有穿过所述第一电极的多个开口,气体分配面板附接到电极的第一下主表面。气体分配板包括多个通孔,用于将工艺气体输送至处理腔室。气体分配板被分成多个温度控制区域。喷头组件进一步包括急冷板和多个热控制装置,急冷板位于电极上方用于提供温度控制,多个热控制装置用以管理喷头组件内的热传递。热控制装置包括热电模块和与热电模块耦接的热管组件。多个热控制装置中的每一个与温度控制区域相关联,并向其相关联的温度控制区域提供独立的温度控制。

Description

原位半导体处理腔室温度装置
背景技术
技术领域
在本文中描述的实现总体上涉及半导体处理,且更具体地涉及用于半导体处理腔室内部的原位温度测量的设备和方法。
相关技术的描述
半导体器件通常通过一系列工艺而被制造,其中层沉积在基板的表面上,且沉积的材料被蚀刻成期望的图案。随着半导体器件几何尺寸的减小,在这些工艺期间,精确的工艺控制变得越来越重要。
温度控制对于实现用于半导体处理的腔室(诸如蚀刻腔室)中的具有改进产量和高生产力的可重复的半导体制造而言尤其重要。精确的制造技术具有小的工艺窗口,且即使是在可接受的工艺控制公差之外的微小变化也可能导致灾难性数量的生产缺陷。例如,当喷头组件、卡盘表面或腔室侧壁的温度太低时,聚合物沉积在这些冷点上的风险增加,这可不期望地改变蚀刻侧壁轮廓。例如,当喷头组件的温度太高时,喷头组件的面板上的薄膜破裂和剥落的风险增加,这可导致基板上的缺陷。此外,包括气体分配组件、腔室侧壁和卡盘表面的腔室处理表面的温度漂移也将不期望地导致处理结果随基板而变化。
因此,存在对一种用于监测半导体处理腔室中的腔室表面和内部腔室部件的温度的改进的方法和设备的需求。
发明内容
本文中描述的实现总体上涉及半导体处理,且更具体地涉及用于半导体处理腔室内部的原位温度测量的设备和方法。在一个实现中,提供了一种喷头组件。喷头组件包括第一电极和气体分配面板,所述第一电极具有穿过所述第一电极的多个开口,所述气体分配面板附接到电极的第一下主表面。气体分配板包括多个通孔,用于将工艺气体输送到处理腔室。气体分配板被分成多个温度控制区域。喷头组件进一步包括急冷板和多个热控制装置,所述急冷板位于电极上方用于提供温度控制,所述多个热控制装置用以管理喷头组件内的热传递。热控制装置包括热电模块和与热电模块耦接的热管组件。多个热控制装置中的每一个与温度控制区域相关联,并向其相关联的温度控制区域提供独立的温度控制。
在另一个实现中,提供了一种温度感测盘。温度感测盘包括盘形主体。盘形主体具有300毫米的直径、前表面和与前表面相对的后表面。温度感测盘进一步包括位于前表面和后表面中的至少一个上的一个或多个相机,其中所述一个或多个相机被配置为执行基于IR的成像。
在又一个实现中,提供了一种处理腔室。处理腔室包括腔室主体,腔室主体具有界定处理容积的顶壁、侧壁和底壁。处理腔室进一步包括定位在处理容积中的基板支撑组件和与基板支撑件相对地定位的喷头组件。喷头组件包括第一电极和气体分配面板,所述第一电极具有穿过所述第一电极的多个开口,所述气体分配面板附接到电极的第一下主表面。气体分配板包括多个通孔,用于将工艺气体输送至处理腔室。气体分配板被分成多个温度控制区域。喷头组件进一步包括急冷板和多个热控制装置,所述急冷板位于电极上方用于提供温度控制,所述多个热控制装置用以管理喷头组件内的热传递。多个热控制装置各自包括热电模块和与热电模块耦接的热管组件,其中多个热控制装置中的每一个与温度控制区域相关联,并向其相关联的温度控制区域提供独立的温度控制。
在又一个实现中,提供了一种基板支撑组件。基板支撑组件包括:用于支撑基板的上表面,其中所述上表面被分成多个温度控制区域;以及用以管理基板支撑组件内的热传递的多个热控制装置。每个热控制装置包括热电模块和与热电模块耦接的热管组件。多个热控制装置中的每一个与温度控制区域相关联,并向其相关联的温度控制区域提供独立的温度控制。
在又一个实现中,提供了一种方法。方法包括以下步骤:将温度感测盘输送到处理腔室的处理区域中而不破坏真空。温度感测盘包括被配置为执行基于IR的成像的一个或多个相机。方法进一步包括以下步骤:通过使用温度感测盘对至少一个表面进行成像来测量处理腔室的处理区域中的至少一个腔室表面的至少一个区域的温度。方法进一步包括以下步骤:将测量的温度与期望的温度进行比较以确定温差。方法进一步包括以下步骤:调节至少一个腔室表面的温度以补偿温差。
附图简单说明
为了以可以详细理解本公开文本的上述特征的方式,可通过参考实现获得对上面简要概述的实现的更具体的描述,所述实现中的一些示出在所附的附图中。然而,应注意所附的附图仅示出了本公开文本的典型实现,且因此不应被视为限制本公开文本的范围,因为本公开文本可允许其他同等有效的实现。
图1是根据本公开文本的一个或多个实现的处理系统的示例的平面图;
图2是根据本公开文本的一个或多个实现的处理腔室的示例的横截面图;
图3A是根据本公开文本的一个或多个实现的温度感测盘的一个示例的顶视图;
图3B是根据本公开文本的一个或多个实现的沿图3A的线3B-3B所截取的温度感测盘的横截面图;
图4是根据本公开文本的一个或多个实现的喷头组件的横截面图;
图5是根据本公开文本的一个或多个实现的可与热控制装置一起使用的热电模块的横截面图;
图6是根据本公开文本的一个或多个实现的可与热控制装置一起使用的热管组件的横截面图;
图7A至图7D描绘了根据本公开文本的一个或多个实现的可与热控制装置一起使用的各种腔室表面的示意图;以及
图8是根据本公开文本的一个或多个实现的用于原位温度控制的方法的一个实现的工艺流程图。
为促进理解,在可能的情况下,使用相同的附图标记来标示附图共用的相同元件。可预期到一个实现的元素和特征可有利地并入其他实现中而无需进一步叙述。
具体实施方式
以下的公开文本描述了用于温度控制和基板处理腔室的技术和设备。某些细节在以下的实施方式和图1至图8中阐述,以提供对本公开文本的各种实现的透彻理解。描述通常与蚀刻工艺、沉积工艺和温度控制相关联的已知结构和系统的其他细节未在以下的公开文本中阐述,以避免不必要地模糊对各种实现的描述。
附图中所示的许多细节、尺寸、角度和其他特征仅仅是对特定实现的说明。因此,在不背离本公开文本的精神或范围的情况下,其他实现可具有其他细节、部件、尺寸、角度和特征。另外,可在没有下面描述的若干细节的情况下实施本公开文本的进一步实现。
下面将参考可使用任何合适的薄膜沉积或蚀刻系统而执行的温度控制工艺来描述本文中描述的实现。合适系统的示例包括系统、PRECISION 系统、系统、GTTM系统、XP PrecisionTM系统、SETM系统、处理腔室和MesaTM处理腔室,这些都是可从加州圣克拉拉市的应用材料公司商购获得。其它能够进行原位温度控制工艺的工具也可经适配而受益于本文中所述的实现。另外,可使用能够实现本文中所述的原位温度控制工艺的任何系统来获利。本文中描述的装置描述是说明性的,且不应该被解释或诠释为限制本文中描述的实现的范围。
本公开文本的一些实现总体上涉及半导体等离子体蚀刻腔室技术和硬件控制系统,以用于解决在蚀刻工艺腔室中加热或冷却喷头腔室表面(例如,顶部电极、底部电极和腔室侧壁)的不均匀性的问题。
随着技术节点的进步和特征尺寸的减小,RF、气流和热控制的精确控制将有助于实现在具有器件性能和的改进的产量的情况下晶片上的均匀性以用于半导体处理,同时每个晶片的成本更低。基于用于蚀刻和工艺应用需求的化学物质,跨腔室表面(例如,喷头组件、静电卡盘和腔室壁)的均匀稳定加热或冷却对于实现可重复的工艺结果是至关重要的。由于等离子体蚀刻在喷头组件的暴露表面上产生热量,因此控制喷头组件和其他腔室表面的温度对于避免表面上的热点或冷点是至关重要的。这些热点和冷点可导致聚合物粘附在冷点上,这导致较慢的蚀刻速率以及轮廓控制问题。当蚀刻更高深宽比特征和其他1x nm节点关键应用时,这个问题更加严重。
半导体等离子体处理硬件通常包括具有泵送系统的高真空腔室。通常,取决于应用和正在被处理的膜,使用具有喷头和气体分配的顶部源/电极,且在等离子体处理期间蚀刻硅晶片的同时将硅晶片静电夹持至真空腔室内部的静电卡盘。为了将工艺均匀性和临界尺寸(“CD”)变化保持在一定范围内,电(AC/DC/RF)控制、气流控制以及热均匀性是至关重要的。本公开文本的一些实现提供了用于维持喷头组件和其他腔室表面的区域启用的加热和冷却的方法和装置,其包括用于原位调节温度的闭环控制系统。
在本公开文本的一些实现中,嵌入在晶片大小的盘(真空兼容材料)上的IR相机用于监测处理腔室内的一个或多个表面的温度。在一些实现中,嵌入在盘的顶表面和底表面中的至少一个上的相机(例如,纳米相机)实现对顶部电极(例如,喷头组件)和底部电极(例如,静电卡盘)以及腔室壁进行基于IR的成像而无需使工艺腔室通风。此外,盘可从FOUP中的所存储的位置移动到传送腔室并最终移动到工艺腔室而不需要使工艺腔室通风。另外,可使用现有的平台机器人来将盘传送到处理腔室内部。当在处理腔室内部时,可以使用现有的晶片升降杆来定位盘,以对顶部电极和底部电极两者进行成像。图像数据可无线传输到外部装置和控制系统,以产生电极和腔室表面的温度轮廓图。取决于温度图所指示的热点或冷点的位置,可以以像素级而调节(增加或减少)电极和腔室表面的温度,以产生更均匀的温度轮廓。
在本公开文本的一些实现中,提供了一种改进的喷头组件设计。传统的喷头组件设计包括标准急冷板,其中急冷板的全部面积被加热或冷却。在本公开文本的一些实现中,提供了一种喷头组件,其中可经由多个区域或像素来控制温度。典型的喷头设计包括气体分配板,其通常是陶瓷的,被接合到铝底座上以增加寿命和产量。在本公开文本的一些实现中,热管被用作热传递装置的一部分,其结合热导率和相变的原理,以高效地管理在两个固体界面(例如,顶部铝板和底部铝板)之间的热传递。本公开文本的一些实现还包括喷头组件,其具有与一系列热管连接的顶部和底部铝底座。热管可定位在预先界定的像素图案中。
在本公开文本的一些实现中,喷头组件的每个像素元件或区域也连接到热电元件(例如,p型元件和n型元件)。每个热电元件与热管耦接,以形成热控制装置。每个热控制装置与像素或区域耦接,以独立地控制此像素或区域的温度。热电元件被配置为串联电连接但并联热连接,以确保最大的发电输出,这是可逆的,以使得两种模块类型都可被用作冷却器或发电机;若向模块施加电压,其将泵送热量。本公开文本的一些实现还包括标准急冷板,所述标准急冷板被用作热交换器以用于快速地全面地加热和冷却喷头组件的温度。在一些实现中,使用基于IR的成像数据和与外部控制系统的无线数据交换以及用于温度调节的像素控制来实现喷头组件的温度调节以移除热点或冷点。
图1是根据本公开文本的一个或多个实现的处理系统100的示例的平面图。图1描绘了温度感测盘300通过处理系统100的可能移动。处理系统100通常包括工厂界面105、用于存储温度感测盘300的侧存储盒103、传送腔室112、大气压保持站109和多个双处理腔室108a-108b、108c-108d和108e-108f。工厂界面105在大气压下操作以存储和保持基板。工厂界面105包括至少一个大气机器人104,诸如双叶片大气机器人,且被配置为接收一个或多个基板盒。
在工厂界面105的第一侧,可提供一个或多个装载端口。在一个实现中,提供三个装载端口。为清楚起见,在图1的实现中仅描绘了两个装载端口111、113。装载端口111、113适于从前开式标准舱(“FOUP”)102接收待处理的基板(例如,300mm直径的晶片)。(一个或多个)FOUP 102具有一个或多个基板载体,所述一个或多个基板载体被配置为临时且可携带地存储基板。装载锁定腔室106耦接到工厂界面105的第二侧(与第一侧相对)。装载锁定腔室106耦接至传送腔室112,多个双处理腔室108a-108b、108c-108d和108e-108f位于传送腔室112中。
基板由大气机器人104从FOUP 102传送到装载锁定腔室106。第二机械臂110设置在传送腔室112中,传送腔室112耦接到装载锁定腔室106以将基板从装载锁定腔室106传送到处理腔室108a-108f,处理腔室108a-108f耦接到传送腔室112。因此,工厂界面105提供在工厂界面的大气环境与工具或处理腔室的真空环境之间的过渡。
处理腔室108a-108f可为任何类型的处理腔室,例如,化学气相沉积(CVD)腔室、原子层沉积(ALD)腔室、物理气相沉积(PVD)腔室、离子金属注入(IMP)腔室、等离子体蚀刻腔室、退火腔室、其他熔炉腔室等。在一个实现中,处理腔室108a-108f配置用于在基板上沉积、退火、固化和/或蚀刻膜。在一种配置中,可使用三对处理腔室(例如,108a-108b、108c-108d和108e-108f)以将膜沉积在基板上。若需要的话,这些处理腔室108a-108b、108c-108d和108e-108f中的任何一个、或一个或多个额外处理腔室可耦接到传送腔室112,并根据应用而被布置成执行其他常规半导体器件制造工艺,诸如氧化、膜沉积、蚀刻、加热、脱气、灰化、离子注入、计量等。
侧存储盒103可包括用于保持温度感测盘300的腔室主体103B和狭缝阀103A。在由大气机器人104将温度感测盘300定位在其中之后,狭缝阀103A用以封闭腔室主体103B的内部区域。
温度感测盘300由大气机器人104从侧存储盒103传送到装载锁定腔室106。第二机械臂110设置在传送腔室112中,传送腔室112耦接到装载锁定腔室106,以将温度感测盘300从装载锁定腔室106运输到处理腔室108a-108f,在处理腔室108a-108f处执行温度监测。
在一些实现中,温度感测盘300位于(一个或多个)FOUP 102中。温度感测盘300由大气机器人104从(一个或多个)FOUP 102传送到装载锁定腔室106。第二机械臂110设置在传送腔室112中,传送腔室112耦接到装载锁定腔室106,以将温度感测盘300从装载锁定腔室106运输到处理腔室108a-108f,处理腔室108a-108f耦接到传送腔室112。
图2是根据本公开文本的一个或多个实现的处理腔室200的示例的横截面图,处理腔室200具有设置在处理腔室200中的温度感测盘300。处理腔室200可为处理系统100的处理腔室108a-f中的任一个。处理腔室200与气体面板210和控制系统220耦接。处理腔室200通常包括具有顶壁232、侧壁234和底壁236的腔室主体230。顶壁232、侧壁234和底壁236界定处理容积238。基板支撑组件240设置在处理腔室200的处理容积238中。基板支撑组件240通常包括由杆244支撑的静电卡盘242。静电卡盘242可由铝、陶瓷和其他合适的材料制成。静电卡盘242可使用位移机构(未示出)而在处理腔室200内部在垂直方向上移动。
静电卡盘242具有用于支撑基板的上表面246。升降杆243可移动地设置通过基板支撑组件240,并适于将基板(若存在)或温度感测盘300与上表面246间隔开。温度感测盘300定位在距(一个或多个)待监测的表面(例如,静电卡盘242的上表面246、喷头组件260的表面、侧壁的表面、顶壁232的表面和底壁的表面中的任何一个)适当的距离处。在一个实现中,如图2所示,温度感测盘300使用升降杆243定位在处理容积238中,以使得温度感测盘300可监测多个表面。
静电卡盘242包括卡紧电极248,卡紧电极248可为导电材料的网孔。卡紧电极248可嵌入静电卡盘242中。卡紧电极248与电源274耦接,当通电时,卡紧电极248将基板静电夹持到静电卡盘242的上表面246。电源274可为经由匹配网路276与卡紧电极248耦接。
具有多个孔径262的喷头组件260设置在处理腔室200的顶部上,位于静电卡盘242的上方。喷头组件260的孔径262用以将工艺气体引入处理腔室200中。孔径262可具有不同的尺寸、数量、分布、形状、设计和直径,以促进用于不同的工艺需求的各种工艺气体的流动。喷头组件260连接到气体面板210,气体面板210在处理期间允许各种气体供应到处理容积238。由离开喷头组件260的工艺气体混合物形成等离子体,以增强工艺气体的热分解,导致在未示出的基板的表面上蚀刻或沉积材料。
喷头组件260和静电卡盘242可在处理容积238中形成一对间隔开的电极。一个或多个RF电源270通过任选的匹配网路272向喷头组件260提供偏压电位,以促进在喷头组件260和静电卡盘242之间产生等离子体。替代地,RF电源270和匹配网路272可耦接到喷头组件260、静电卡盘242,或耦接到喷头组件260和静电卡盘242两者,或耦接到设置在处理腔室200外部的天线(未示出)。
真空泵250耦接到形成在处理腔室200的底壁236中的端口。真空泵250用以在处理腔室200中保持所期望的气体压力。真空泵250也从处理腔室200排空处理后的气体和工艺的副产物。
处理腔室200可进一步包括用于控制腔室压力的额外设备,例如,位于腔室主体230和真空泵250之间的阀(例如,节流阀和隔离阀),以控制腔室压力。
控制系统220包括中央处理单元(CPU)222、存储器226和支持电路224,用于控制工艺顺序并调节来自气体面板210的气流。CPU 222可为可在工业环境中使用的通用计算机处理器的任何形式。软件例程可存储在存储器226中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动器、或者其他形式的数字存储器。支持电路224通常耦接到CPU 222,并可包括高速缓存、时钟电路、输入/输出系统、电源等等。在控制系统220和处理腔室200的各种部件之间的双向通信通过被统称为信号总线228的多条信号缆线来处理,所述信号总线中的一些信号总线示出在图2中。
图3A是根据本公开文本的一个或多个实现的温度感测盘300的一个示例的顶视图。图3B是根据本公开文本的一个或多个实现的沿图3A的线3B-3B截取的温度感测盘300的横截面图。在一些实现中,温度感测盘300是基于IR的温度感测盘。温度感测盘300的尺寸通常设定为与由处理腔室处理的晶片相似。例如,在处理系统被配置为处理300mm尺寸的晶片的一些实现中,温度感测盘300的尺寸被设定为类似于300mm晶片尺寸的盘。在处理系统被配置为处理200mm尺寸的晶片的一些实现中,温度感测盘300的尺寸被设定为类似于200mm晶片尺寸的盘。将温度感测盘300的尺寸设定为类似于处理腔室进行的晶片工艺允许温度感测盘300从其所储存的位置(例如,FOUP或侧存储盒)移动到传送腔室并最终到处理腔室,而无需使处理腔室通风。现有的平台机器人可用以将温度感测盘300传送到处理腔室中。可使用现有的升降杆来将温度感测盘300定位在处理腔室中。尽管温度感测盘300被描述为盘,但是温度感测盘300可根据待监测的处理腔室而具有其他的形状。
温度感测盘300可包括任何真空兼容材料。合适的材料包括介电材料和含硅材料。在一个实现中,温度感测盘300由含硅材料构成。在一些实现中,温度感测盘300由介电材料构成。
温度感测盘300具有位于温度感测盘300上的一个或多个相机310a-310i(统称为“310”)。一个或多个相机310通常被配置为执行处理腔室内的表面的基于IR的成像。在一个实现中,相机310被配置为执行对腔室表面的红外成像并且从处理腔室的内部无线地传输红外图像。可使用任何合适的附接方法将相机310附接到温度感测盘300。在一些实现中,相机310胶合到温度感测盘300的表面。在一些实现中,相机310部分嵌入或完全嵌入到温度感测盘300的主体中。在一些实现中,一个或多个相机是纳米相机。
在一些实现中,相机310定位在温度感测盘300的前表面320和后表面330两者上。将相机310定位在温度感测盘300的前表面320和后表面330两者上允许相对的腔室表面被同时地成像。例如,参照图2,前表面320上的相机310可对喷头组件260的表面进行成像,而后表面330上的相机310可对静电卡盘242的上表面246进行成像。在一些实现中,相机310仅定位在温度感测盘300的前表面320或后表面330上。在一些实现中,九个或更多个相机定位在盘形主体的前表面上。在一些实现中,九个或更多个相机定位在盘形主体的后表面上。还应理解到,可使用任何数量的相机,这取决于(例如)待监测的表面的数量以及待监测的总表面积。
图4是根据本公开文本的一个或多个实现的喷头组件400的横截面图。在一些实现中,喷头组件400可用于代替处理腔室200中的喷头组件260。喷头组件400包含一个或多个热控制装置460a-460e(统称为460)以管理喷头组件400内的热传递。每个热控制装置460包括热电模块464a-464e(统称为464)和热管组件466a-466e(统称为466)。在一些实现中,每个热控制装置460与像素或区域相关联,如将在图7A至图7D中讨论的。每个热控制装置460为其相关的像素或区域提供独立的温度控制。
喷头组件400包括急冷板(盖)420、顶板430、底板440和气体分配面板450。急冷板420定位在顶板430上。急冷板420提供对喷头组件400的温度控制。凹槽422界定在急冷板420和顶板430之间。
顶板430包括多个通孔432。在一个实现中,多个通孔432中的每一个容纳热控制装置460的热管组件466。在一些实现中,顶板430具有多个第二通孔(未在这个图中示出),用于将工艺气体输送到处理腔室中。例如而非作为限定,顶板430可由铝、陶瓷、Si-Si碳化物或转化为碳化硅的石墨制成。在一个实现中,顶板430是金属板。在一个实现中,顶板430由铝制成。在一些实现中,顶板430由阳极化的氧化铝制成。
底板440包括多个孔442。在一个实现中,多个孔442中的每一个孔容纳热控制装置460的热管组件466的一部分。在一些实现中,底板440具有多个第二通孔(未在这个图中示出),用于将工艺气体输送到处理腔室中。例如而非作为限定,底板440可由铝、陶瓷、Si-Si碳化物或转化为碳化硅的石墨制成。在一个实现中,底板440是金属板。在一个实现中,底板440由铝制成。在一些实现中,底板440由阳极化的氧化铝制成。
气体分配面板450包括多个通孔(未示出),用于将工艺气体输送到半导体处理腔室的内部。气体分配面板450中的通孔可为(例如但不限于)圆形或月牙形。
例如而非作为限定,气体分配面板450可由碳化硅、氧化钇、阳极化的氧化铝、陶瓷、石英或硅制成。在一个实现中,气体分配面板450由碳化硅制成。气体分配面板450可通过接合层446接合到底板440的第一下主表面444上。在一些实现中,接合使用硅树脂基粘合剂来完成接合层446,所述硅树脂基粘合剂拥有被定制用于提高导热性的不同类型的填充物。可使用本领域中已知的其他材料和/或方法来实现气体分配面板450与底板440的接合。然而,气体分配面板450与底板440的接合应该使用接合材料来执行,所述接合材料具有足够顺应性以防止由于在气体分配面板450和底板440之间的热失配而导致的分层。尽管示出了接合层,但是还应该理解气体分配面板450可以使用本领域中已知的其他附接方法来附接到喷头组件。
喷头组件400进一步包括多个热控制装置460a-460e(统称为460)。每个热控制装置460包括与热管组件466a-466e(统称为466)耦接的热电模块464a-464e(统称为464)。每个热控制装置460与在气体分配面板450上界定的像素或区域相关联。每个热控制装置460将热导率与相变的原理相结合以高效地管理在顶板430和底板440以及急冷板420之间的热传递。每个热控制装置460与像素或区域相关联,如将参考图7A至图7D所述。
图5是根据本公开文本的一个或多个实现的可与喷头组件一起使用的热电模块的横截面图。热电模块可为热电模块464,且喷头组件可为喷头组件400。通常,热电模块464由n型热电材料510、p型热电材料520、导电金属层530a和530b、顶部基板540a和底部基板540b构成。在一些实现中,第一绝缘层550a定位于导电金属层530a与顶部基板540a之间。在一些实现中,第二绝缘层550b定位于导电金属层530b与底部基板540b之间。
n型热电材料510和p型热电材料520是块状的,且顶部基板540a和底部基板540b两者都拥有高导热率。在一些实现中,n型热电材料510和p型热电材料520由半导体或半金属元素或拥有高ZT值的化合物(诸如掺杂锑和硒的碲化铋((BiSb)2(TeSe)3)系列、碲化铋(Bi2Te3)、碲化铅(PbTe)和碲化锡(PbSnTe)系列)或化合物系列(诸如硅(Si)和硅锗(SiGe)系列、半赫斯勒(Hessler)介电合金系列(强磁性非铁合金)、硅化物、或二硒化钨(WSe,)系列)制成。此外,热电元件可通过溅射、热蒸发、电弧离子镀、化学气相蒸发、电镀和化学镀形成。然而,在实际应用中,材料的选择和形成方式是根据实际需要和实际情况而确定的,且本公开文本不具有特定限制。
n型热电材料510和p型热电材料520被配置为串联电连接但并联热连接,以确保最大发电输出。接着将元件夹在两个陶瓷板之间,一侧覆盖热连接且另一侧覆盖冷连接。效果是可逆的,使得两种模块类型都可充当冷却器或发电机。若向模块施加电压,则模块将泵送热量,但是若在模块上施加温差,则将产生电压。
在一些实现中,顶部基板540a和底部基板540b也拥有绝缘性质。热电模块的功能主要由热电材料510和520的性质确定。如图5所示,n型热电材料510和p型热电材料520通常是垂直型的,并经由导电金属层530a和530b串联连接。
在一些实现中,具有电绝缘和高导热性的顶部和底部基板540a和540b例如由具有高导热性的陶瓷材料制成,具有高导热性的陶瓷材料由诸如氧化铝、氮化铝和碳化硅、或表面覆盖有绝缘介电层的硅或金属基板而实现。然而,本公开文本对实际应用中的材料选择没有特定限制。在一些实现中,顶部基板540a或散热板用作散热器,其将热量释放到例如急冷板420中。在一些实现中,顶部基板540a定位为与急冷板420相邻。在一些实现中,底部基板540b用作冷却板,其例如从顶板430和/或底板440吸收热量。在一些实现中,底部基板540b定位为与顶板430和/或底板440相邻。
在热电冷却模块的应用中,输入的直流电流在n型热电材料510和p型热电材料520中在与转换装置的热流(垂直传递)平行的方向上流动(垂直流动),且热电冷却模块产生温差,并且分别吸收并消散底部和顶部处的热量。例如通过温差进行发电。热电模块温差和热流的方向仍然平行于热电材料中产生的电流的流动方向。
图6是根据本公开文本的一个或多个实现的可与喷头组件一起使用的热管组件的横截面图。热管组件可为热管组件466,且喷头组件可为喷头组件400。热管组件466形成图4的热控制装置460的一部分。如图4所示,热控制装置460a-460e由多个平行且独立操作的热管构成。
每个热管组件466包括将腔608封围的壳体606。壳体可由具有高导热性的材料形成,诸如铜或铝。将腔608抽真空并填充零点几体积百分比的工作流体612。工作流体612可为水、乙醇、丙酮、钠或汞。可根据热管组件466的操作温度来选择工作流体612。因为腔内的部分真空状态,腔608中的工作流体612的一部分处于液相而其余部分工作流体612处于气相。
热管组件466可具有热界面602和冷界面604,热界面602被配置为在第一端处与待冷却的目标热接触,冷界面604被配置为在与热界面602相对第二端处与散热器热接触。任选地,芯结构610可在壳体606内部排列并围绕腔608。芯结构610被配置成在冷界面604处在工作流体612的液体表面上施加毛细管压力并将工作流体612芯吸(wick)至热界面602。
热管组件466是热交换装置,其结合了热导率和相变的原理,以高效地管理在热界面602和冷界面604之间的热传递。在热管内的热界面602处,与壳体606接触的工作流体612的液体通过吸收来自热源的热量而变成蒸汽,所述热源与热界面602热接触。蒸汽在冷界面604处冷凝回液体,朝向与冷界面热接触的散热器释放潜热。液体接着通过芯结构610的毛细管作用、离心力或重力作用中的任何一个而返回到热界面602。循环重复。
在一个实现中,如图4所示,热管组件466的热界面602与顶板430和底板440中的至少一个热接触,顶板430和底板440是待冷却的表面,并且冷界面604与热电模块464和急冷板420接触,急冷板420用作散热器。
图7A至图7D描绘了根据本公开文本的一个或多个实现的使用热控制装置而经受温度控制的部件的各种表面700a-700d的示意图。每个表面700a-700d被分成多个区段或像素。每个区段与热控制装置(例如,热控制装置460)耦接,这样允许对每个表面700a-700d的每个温度控制区域进行分段温度控制。
表面700a-700d可为气体分配板、静电卡盘(例如,晶片支撑表面)或腔室壁的表面。在一个实现中,表面700a-700d表示气体分配面板的表面的各种设计,例如,如图4所描绘的气体分配面板450的表面。在另一个实现中,表面700a-700d表示卡盘的晶片支撑表面的各种设计,例如,如图2所描绘的静电卡盘242。在另一个实现中,表面700a-700d表示腔室壁的表面的各种设计,例如,如图2所描绘的顶壁232、侧壁234和底壁236中的任一个。
图7A描绘了根据本公开文本的一个或多个实现的可使用热控制装置而经受温度控制的表面700a的一个实现的示意图。表面700a包括多个同心区域,所述多个同心区域包括中心区域702、中间内部区域704、中间区域706、中间外部区域708和外部区域710。每个区域被分成多个区段或像素,所述多个区段或像素各自使用本文中所述的热控制装置而经受独立的热控制。表面700a包括四十八个区段。
图7B描绘了根据本公开文本的一个或多个实现的可使用热控制装置而经受温度控制的表面700b的另一实现的示意图。类似于表面700a,表面700b包括多个同心区域。表面700a包括多个同心区域,所述多个同心区域包括中心区域712、中间内部区域714、中间区域716、中间外部区域718和外部区域720。每个区域被分成多个区段或像素,所述多个区段或像素各自使用本文中所述的热控制装置而经受独立的热控制。表面700a包括三十二个区段。
图7C描绘了根据本公开文本的一个或多个实现的可使用热控制装置而经受温度控制的表面700c的另一实现的示意图。表面700c被分成多个像素或(一个或多个)六边形区段730。使用本文中所述的热控制装置而使每个像素或六边形区段730经受独立的热控制。
图7D描绘了可使用根据本公开文本的一个或多个实现的热控制装置而经受温度控制的表面700c的另一实现的示意图。表面700d以X-Y图案而被分成多个区段或像素740。使用本文中所述的热控制装置而使每个区段或像素740经受独立的热控制。
图8是根据本公开文本的一个或多个实现的用于原位温度控制的方法800的一个实现的处理流程图。在一些实现中,方法800在处理系统中被执行,例如,图1中描绘的处理系统100。方法800可在受益于改进的温度控制的其他系统中执行。可在处理一批晶片的同时执行方法800。例如,若运行一批500个晶片,则在用户选择的任何数量的晶片之后,可用温度感测盘代替晶片。
在操作810处,将温度感测盘传送到处理腔室中。在一些实现中,在不破坏真空的情况下将温度感测盘输送到处理腔室的处理区域中。温度感测盘可为具有相机310的温度感测盘300,相机310被配置为对处理腔室内的表面执行基于IR的成像。待成像的表面包括期望温度控制的任何表面。待成像的表面通常包括喷头组件的表面、腔室的壁(例如,处理腔室的内表面,包括侧壁、底壁和室顶)和基板支撑组件(例如,静电卡盘)的暴露的表面中的至少一个。在一些实现中,通过使用温度感测盘对至少一个表面成像来测量处理腔室的处理区域中的至少一个腔室表面的至少一个区域的温度。例如,参考图2,前表面320上的相机310可对喷头组件260和侧壁234的表面进行成像,而后表面330上的相机310可对静电卡盘242和侧壁234的表面进行成像。由温度感测盘捕获到的红外图像可无线传输到控制系统(例如,控制系统220)。
在操作820处,分析被成像的表面的捕获到的IR图像以确定被成像的表面的区域是否在工艺温度规格范围内。捕获到的IR图像可用以产生被成像的表面的测量温度轮廓。可将测量的温度轮廓与温度规格范围进行比较。可以基于实现期望结果的先前运行的工艺的期望温度范围来建立工艺温度规格范围。在操作830处,若温度轮廓表明表面的所有区域都在期望的温度范围内,那么方法800结束且腔室内的基板处理继续。
若测量的温度轮廓指示表面的一个或多个区域在期望的温度范围之外,则在操作840处,方法800进行到操作850并执行被成像的表面的温度调节。若特定区域的测量温度低于期望的温度范围,则此区域被识别为冷点。若特定区域的测量温度高于期望的温度范围,则此区域被识别为热点。
在操作860处,将测量的温度轮廓与基线温度轮廓进行比较,基线温度轮廓是基于期望的处理温度而确定的。在一些实现中,基线温度轮廓被包括在查找表或其他算法方法中。查找表可存储在控制系统220中。将测量的温度轮廓与基线温度轮廓进行比较,以产生温度轮廓图。温度控制图识别具有局部冷点和/或热点的区域。
在操作870处,基于温度控制图,可激活各个热电模块以增加或降低已被识别为冷点或热点中的任一个的每个区域的温度。例如,可将额外的电压施加到热电模块464以增加热量的泵送。取决于温度图所示的热点或冷点的位置,可以以像素级而调节(增加或减少)电极和腔室表面的温度,以产生更均匀的温度轮廓。
在使被成像的表面的温度在期望的温度规格内之后,可继续基板处理。
总之,本公开文本的一些益处包括用于在不对处理腔室进行通风的情况下对处理腔室的内部进行原位温度测量的装置和方法。本文中描述的一些实现提供了以像素级而测量和调节温度水平以产生更均匀的温度轮廓的能力。这种更均匀的温度轮廓减少了腔室表面上的热点和冷点的存在,这随后降低了冷点上的聚合物粘附,从而保持了蚀刻速率并减少了轮廓控制问题。此外,可使用当前可用的硬件和系统架构来执行本文中描述的一些实现。
当介绍本公开文本的元件或其示例性方面或(一个或多个)实现时,冠词“一(a)”、“一(an)”、“此(the)”和“所述(said)”旨在表示存在有一个或多个元件。
术语“包括(comprising)”、“包括(including)”和“具有(having)”旨在是包括性的,并意味着可能存在有除所列出的元件之外的其他的元件。
虽然前述内容涉及本公开文本的实现,但是可在不背离本公开文本的基本范围的情况下设计本公开文本的其他和进一步的实现,且本发明的范围由所附权利要求来界定。

Claims (15)

1.一种喷头组件,包括:
第一电极,所述第一电极具有穿过所述第一电极的多个开口;
气体分配面板,所述气体分配面板附接到所述第一电极的一第一下主表面,其中所述气体分配板包括多个通孔,所述多个通孔用于将工艺气体输送到处理腔室,并且所述气体分配板被分成多个温度控制区域;
急冷板,所述急冷板位于所述第一电极的上方用于提供温度控制;以及
多个热控制装置,所述多个热控制装置用以管理所述喷头组件内的热传递,所述多个热控制装置包括:
热电模块;以及
热管组件,所述热管组件与所述热电模块耦接,其中所述多个热控制装置中的每一个与温度控制区域相关联,并向其相关联的温度控制区域提供独立的温度控制。
2.如权利要求1所述的喷头组件,进一步包括第二电极,所述第二电极位于所述急冷板和所述第一电极之间。
3.如权利要求2所述的喷头组件,其中所述第二电极具有第一多个通孔,所述第一多个通孔各自用于容纳所述热控制装置的热管组件的一部分。
4.如权利要求3所述的喷头组件,其中所述第二电极具有第二多个通孔,所述第二多个通孔用于将工艺气体输送到所述处理腔室中。
5.如权利要求3所述的喷头组件,其中所述第一电极具有多个孔,所述多个孔各自用于容纳所述热控制装置的热管组件的一部分。
6.如权利要求5所述的喷头组件,其中所述第一电极进一步具有第二多个孔,所述第二多个孔用于将工艺气体输送到所述处理腔室中。
7.如权利要求3所述的喷头组件,其中所述热电模块包括:
散热板;
第一导电层;
n型热电材料;
p型热电材料;
第二导电层;以及
冷却板。
8.如权利要求7所述的喷头组件,其中所述散热板定位为与所述急冷板相邻,并将热量释放到所述急冷板中,且所述冷却板定位为与所述热管组件相邻。
9.一种处理腔室,包括:
腔室主体,所述腔室主体具有界定处理容积的顶壁、侧壁和底壁;
基板支撑组件,所述基板支撑组件定位在所述处理容积中;以及
喷头组件,所述喷头组件与所述基板支撑组件相对地定位,所述喷头组件包括:
第一电极,所述第一电极具有穿过所述第一电极的多个开口;
气体分配面板,所述气体分配面板附接到所述电极的第一下主表面,其中所述气体分配板包括多个通孔,所述多个通孔用于将工艺气体输送到所述处理容积,并且所述气体分配板被分成多个温度控制区域;
急冷板,所述急冷板位于所述第一电极的上方用于提供温度控制;以及
多个热控制装置,所述多个热控制装置用以管理所述喷头组件内的热传递,所述多个热控制装置包括:
热电模块;以及
热管组件,所述热管组件与所述热电模块耦接,其中所述多个热控制装置中的每一个与温度控制区域相关联,并向其相关的温度控制区域提供独立的温度控制。
10.如权利要求9所述的处理腔室,进一步包括第二电极,所述第二电极位于所述急冷板和所述第一电极之间。
11.如权利要求10所述的处理腔室,其中所述第二电极具有:
第一多个通孔,所述第一多个通孔各自用于容纳所述热控制装置的热管组件的一部分;以及
第二多个通孔,所述第二多个通孔用于将多个工艺气体输送到所述处理容积中。
12.如权利要求9所述的处理腔室,其中所述第一电极具有:
多个孔,所述多个孔各自用于容纳所述热控制装置的热管组件的一部分;以及
第二多个孔,所述第二多个孔用于将工艺气体输送到所述处理容积中。
13.如权利要求9所述的处理腔室,其中所述热电模块包括:
散热板;
第一导电层;
n型热电材料;
p型热电材料;
第二导电层;以及
冷却板。
14.如权利要求13所述的处理腔室,其中所述散热板定位为与所述急冷板相邻,并将热量释放到所述急冷板中,并且所述冷却板定位为与所述热管组件相邻。
15.如权利要求9所述的处理腔室,进一步包括温度感测盘,所述温度感测盘位于所述处理容积中,并包括:
盘形主体,所述盘形主体具有:
300毫米的直径;
前表面;
后表面,所述后表面与所述前表面相对;
一个或多个相机,所述一个或多个相机位于所述前表面和所述后表面中的至少一个上,其中所述一个或多个相机被配置为执行基于IR的成像。
CN201880014818.5A 2017-06-19 2018-05-02 原位半导体处理腔室温度装置 Active CN110352479B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762521879P 2017-06-19 2017-06-19
US62/521,879 2017-06-19
US15/964,296 US20180366354A1 (en) 2017-06-19 2018-04-27 In-situ semiconductor processing chamber temperature apparatus
US15/964,296 2018-04-27
PCT/US2018/030726 WO2018236472A1 (en) 2017-06-19 2018-05-02 SEMI-CONDUCTOR CHAMBER TEMPERATURE APPARATUS IN SITU

Publications (2)

Publication Number Publication Date
CN110352479A true CN110352479A (zh) 2019-10-18
CN110352479B CN110352479B (zh) 2024-05-10

Family

ID=64658296

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880014818.5A Active CN110352479B (zh) 2017-06-19 2018-05-02 原位半导体处理腔室温度装置

Country Status (6)

Country Link
US (2) US20180366354A1 (zh)
JP (2) JP7186719B2 (zh)
KR (2) KR20230035698A (zh)
CN (1) CN110352479B (zh)
TW (2) TW202322254A (zh)
WO (1) WO2018236472A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112259550A (zh) * 2020-10-21 2021-01-22 长江存储科技有限责任公司 半导体器件的刻蚀方法及刻蚀装置
CN112951694A (zh) * 2019-11-26 2021-06-11 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN113745082A (zh) * 2020-05-28 2021-12-03 中微半导体设备(上海)股份有限公司 等离子体处理装置及其加热装置与工作方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102198929B1 (ko) * 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
US10819905B1 (en) * 2019-09-13 2020-10-27 Guangdong Media Kitchen Appliance Manufacturing Co., Ltd. System and method for temperature sensing in cooking appliance with data fusion
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20220270865A1 (en) * 2021-02-25 2022-08-25 Kurt J. Lesker Company Pressure-Induced Temperature Modification During Atomic Scale Processing
CN117594413A (zh) * 2024-01-17 2024-02-23 专心护康(厦门)科技有限公司 一种用于等离子表面处理的加热装置

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
DE4039007A1 (de) * 1989-12-06 1991-06-13 Hitachi Ltd Infrarottemperaturmessgeraet, eichverfahren fuer das geraet, infrarottemperaturbildmessmethode, geraet zur messung desselben, heizgeraet mit messgeraet, verfahren zur steuerung der erwaermungstemperatur, und vakuumbedampfungsgeraet mit infrarotem temperaturmessgeraet
US5793522A (en) * 1995-12-29 1998-08-11 Comet Observation window for checking the temperature of objects
JP2000508119A (ja) * 1996-03-29 2000-06-27 ラム リサーチ コーポレイション 温度制御された半導体の基板ホルダ
JP2005188970A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 熱型赤外線固体撮像装置および赤外線カメラ
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
US20060114012A1 (en) * 2004-11-26 2006-06-01 Erich Reitinger Method and apparatus for testing semiconductor wafers by means of a probe card
CN1830072A (zh) * 2003-09-03 2006-09-06 东京毅力科创株式会社 气体处理装置和散热方法
CN101374973A (zh) * 2006-03-31 2009-02-25 东京毅力科创株式会社 基板处理装置以及基板载置台
JP2009278345A (ja) * 2008-05-14 2009-11-26 Mitsubishi Electric Corp 赤外線撮像素子
CN101935750A (zh) * 2010-09-27 2011-01-05 辽宁衡业高科新材股份有限公司 高性能钢板热处理机组生产工艺
CN102844854A (zh) * 2009-12-18 2012-12-26 应用材料公司 宽范围晶圆温度控制的多功能加热器/冷却器基座
CN203233503U (zh) * 2013-03-11 2013-10-09 陈仲璀 一体化红外成像在线测温装置
JP2014185898A (ja) * 2013-03-22 2014-10-02 Dainippon Screen Mfg Co Ltd 温度測定装置、温度測定方法および熱処理装置
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP2015135984A (ja) * 2015-03-13 2015-07-27 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN106769163A (zh) * 2017-03-14 2017-05-31 常州市环境监测中心 一种用于地下管道采样检测的无人机

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20020162339A1 (en) * 2001-05-04 2002-11-07 Harrison Howard R. High performance thermoelectric systems
JP2005024928A (ja) 2003-07-02 2005-01-27 Fujikura Ltd 光部品
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
TW200913798A (en) * 2007-09-14 2009-03-16 Advanced Display Proc Eng Co Substrate processing apparatus having electrode member
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP2010135450A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 電極部材及びこれを含む基板処理装置
CN102934203B (zh) * 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US20150128614A1 (en) * 2012-05-08 2015-05-14 Sheetak, Inc. Thermoelectric heat pump
US8901518B2 (en) * 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
US9222842B2 (en) * 2013-01-07 2015-12-29 Kla-Tencor Corporation High temperature sensor wafer for in-situ measurements in active plasma
CN105283973B (zh) * 2013-09-27 2018-05-08 京瓷株式会社 热电模块

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
DE4039007A1 (de) * 1989-12-06 1991-06-13 Hitachi Ltd Infrarottemperaturmessgeraet, eichverfahren fuer das geraet, infrarottemperaturbildmessmethode, geraet zur messung desselben, heizgeraet mit messgeraet, verfahren zur steuerung der erwaermungstemperatur, und vakuumbedampfungsgeraet mit infrarotem temperaturmessgeraet
US5793522A (en) * 1995-12-29 1998-08-11 Comet Observation window for checking the temperature of objects
JP2000508119A (ja) * 1996-03-29 2000-06-27 ラム リサーチ コーポレイション 温度制御された半導体の基板ホルダ
CN1830072A (zh) * 2003-09-03 2006-09-06 东京毅力科创株式会社 气体处理装置和散热方法
JP2005188970A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 熱型赤外線固体撮像装置および赤外線カメラ
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
US20060114012A1 (en) * 2004-11-26 2006-06-01 Erich Reitinger Method and apparatus for testing semiconductor wafers by means of a probe card
CN101374973A (zh) * 2006-03-31 2009-02-25 东京毅力科创株式会社 基板处理装置以及基板载置台
JP2009278345A (ja) * 2008-05-14 2009-11-26 Mitsubishi Electric Corp 赤外線撮像素子
CN102844854A (zh) * 2009-12-18 2012-12-26 应用材料公司 宽范围晶圆温度控制的多功能加热器/冷却器基座
CN101935750A (zh) * 2010-09-27 2011-01-05 辽宁衡业高科新材股份有限公司 高性能钢板热处理机组生产工艺
CN203233503U (zh) * 2013-03-11 2013-10-09 陈仲璀 一体化红外成像在线测温装置
JP2014185898A (ja) * 2013-03-22 2014-10-02 Dainippon Screen Mfg Co Ltd 温度測定装置、温度測定方法および熱処理装置
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP2015135984A (ja) * 2015-03-13 2015-07-27 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN106769163A (zh) * 2017-03-14 2017-05-31 常州市环境监测中心 一种用于地下管道采样检测的无人机

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112951694A (zh) * 2019-11-26 2021-06-11 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN113745082A (zh) * 2020-05-28 2021-12-03 中微半导体设备(上海)股份有限公司 等离子体处理装置及其加热装置与工作方法
CN113745082B (zh) * 2020-05-28 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其加热装置与工作方法
CN112259550A (zh) * 2020-10-21 2021-01-22 长江存储科技有限责任公司 半导体器件的刻蚀方法及刻蚀装置

Also Published As

Publication number Publication date
US20180366354A1 (en) 2018-12-20
CN110352479B (zh) 2024-05-10
TW201906047A (zh) 2019-02-01
JP2023029910A (ja) 2023-03-07
KR20230035698A (ko) 2023-03-14
WO2018236472A1 (en) 2018-12-27
KR20200010180A (ko) 2020-01-30
US20220076972A1 (en) 2022-03-10
TWI815810B (zh) 2023-09-21
KR102506497B1 (ko) 2023-03-06
JP2020524393A (ja) 2020-08-13
TW202322254A (zh) 2023-06-01
JP7186719B2 (ja) 2022-12-09

Similar Documents

Publication Publication Date Title
CN110352479A (zh) 原位半导体处理腔室温度装置
US10879053B2 (en) Temperature controlled substrate support assembly
US6334311B1 (en) Thermoelectric-cooling temperature control apparatus for semiconductor device fabrication facility
KR101881332B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
EP1098354A2 (en) Apparatus for controlling temperature in a semiconductor processing system
US20070102118A1 (en) Method and apparatus for controlling temperature of a substrate
US20120074126A1 (en) Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US8110045B2 (en) Processing equipment for object to be processed
US20060242967A1 (en) Termoelectric heating and cooling apparatus for semiconductor processing
US6508062B2 (en) Thermal exchanger for a wafer chuck
US11871667B2 (en) Methods and apparatus for warpage correction
TW202413710A (zh) 利用整合式熱電冷卻系統的基板溫度控制
CN116403943A (zh) 一种加热盘及其制造方法、一种半导体设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant