TWI815810B - 噴頭組件、處理腔室及控制溫度之方法 - Google Patents

噴頭組件、處理腔室及控制溫度之方法 Download PDF

Info

Publication number
TWI815810B
TWI815810B TW107118109A TW107118109A TWI815810B TW I815810 B TWI815810 B TW I815810B TW 107118109 A TW107118109 A TW 107118109A TW 107118109 A TW107118109 A TW 107118109A TW I815810 B TWI815810 B TW I815810B
Authority
TW
Taiwan
Prior art keywords
temperature
assembly
chamber
electrode
processing
Prior art date
Application number
TW107118109A
Other languages
English (en)
Other versions
TW201906047A (zh
Inventor
安卓 阮
尤甘納達 薩歐迪
雪 常
卡提克 拉馬斯瓦米
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201906047A publication Critical patent/TW201906047A/zh
Application granted granted Critical
Publication of TWI815810B publication Critical patent/TWI815810B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/10Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects
    • H10N10/13Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects characterised by the heat-exchanging means at the junction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Chemical Vapour Deposition (AREA)
  • Extrusion Moulding Of Plastics Or The Like (AREA)

Abstract

在一個實現中,提供了一種噴頭組件。噴頭組件包含第一電極和氣體分配面板,第一電極具有穿過其中的複數個開口,氣體分配面板附接到電極的第一下主表面。氣體分配面板包括複數個通孔,用於將處理氣體輸送到處理腔室。氣體分配面板被分成複數個溫度控制區域。噴頭組件進一步包含急冷板和複數個熱控制裝置,急冷板位於電極之上方用於提供溫度控制,複數個熱控制裝置用以管理噴頭組件內的熱傳遞。熱控制裝置包含熱電模組和與熱電模組耦接的熱管組件。複數個熱控制裝置的每一個與溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。

Description

噴頭組件、處理腔室及控制溫度之方法
於此描述的實現一般關於半導體處理,且更具體地關於用於半導體處理腔室內部的原位溫度測量的設備和方法。
半導體裝置通常藉由一系列處理而製造,其中層沉積在基板的表面上,且沉積的材料被蝕刻成期望的圖案。隨著半導體裝置幾何尺寸的減小,在這些處理期間,精確的處理控制變得越來越重要。
溫度控制對於實現可重複的半導體製造尤其重要,其具有用於半導體處理的腔室(諸如蝕刻腔室)中的改進產量和高生產力。精確的製造技術具有小的處理窗口,且即使是在可接受的處理控制公差之外的微小變化也可能導致災難性的生產缺陷。例如,當噴頭組件、卡盤表面或腔室側壁的溫度太低時,聚合物沉積在這些冷點上的風險增加,這會不期望地改變蝕刻側壁輪廓。例如,當噴頭組件的溫度太高時,噴頭組件的面板上的薄膜破裂和剝落的風險增加,這可能導致基板上的缺陷。此外,包括氣體分配組件、腔室側壁和卡盤表面的腔室處理表面的溫度漂移也將不期望地導致處理結果隨基板而變化。
因此,存在有一種用於監測半導體處理腔室中的腔室表面和內部腔室部件的溫度的改進方法和設備的需求。
於此描述的實現一般關於半導體處理,且更具體地關於用於半導體處理腔室內部的原位溫度測量的設備和方法。在一個實現中,提供了一種噴頭組件。噴頭組件包含第一電極和氣體分配面板,第一電極具有穿過其中的複數個開口,氣體分配面板附接到電極的第一下主表面。氣體分配面板包括複數個通孔,用於將處理氣體輸送到處理腔室。氣體分配面板被分成複數個溫度控制區域。噴頭組件進一步包含急冷板和複數個熱控制裝置,急冷板位於電極之上方用於提供溫度控制,複數個熱控制裝置用以管理噴頭組件內的熱傳遞。熱控制裝置包含熱電模組和與熱電模組耦接的熱管組件。複數個熱控制裝置的每一個與溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。
在另一個實現中,提供了一種溫度感測盤。溫度感測盤包含盤形主體。盤形主體具有300毫米的直徑、前表面和與前表面相對的後表面。溫度感測盤進一步包含位於前表面和後表面的至少一個上的一個或多個相機,其中一個或多個相機被配置為執行基於IR的成像。
在又一個實現中,提供了一種處理腔室。處理腔室包含腔室主體,腔室主體具有界定處理容積的頂壁、 側壁和底壁。處理腔室進一步包含定位在處理容積中的基板支撐組件和與基板支撐組件相對定位的噴頭組件。噴頭組件包含第一電極和氣體分配面板,第一電極具有穿過其中的複數個開口,氣體分配面板連接到電極的第一下主表面。氣體分配面板包括複數個通孔,用於將處理氣體輸送到處理腔室。氣體分配面板被分成複數個溫度控制區域。噴頭組件進一步包含急冷板和複數個熱控制裝置,急冷板位於電極之上方用於提供溫度控制,複數個熱控制裝置用以管理噴頭組件內的熱傳遞。複數個熱控制裝置各自包含熱電模組和與熱電模組耦接的熱管組件,其中複數個熱控制裝置的每一個與溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。
在又一個實現中,提供了一種基板支撐組件。基板支撐組件包含用於支撐基板的上表面,其中上表面被分成複數個溫度控制區域和用以管理基板支撐組件內的熱傳遞的複數個熱控制裝置。每個熱控制裝置包含熱電模組和與熱電模組耦接的熱管組件。複數個熱控制裝置的每一個與溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。
在又一個實現中,提供了一種方法。方法包含以下步驟:將溫度感測盤輸送到處理腔室的處理區域中而不破壞真空。溫度感測盤包括被配置為執行基於IR的成像的一個或多個相機。方法進一步包含以下步驟:藉由使用溫度感測盤對至少一個表面成像來測量處理腔室的處理區域中的至少一個腔室表面的至少一個區域的溫度。方法進一步包含以下步驟:將測量的溫度與期望的溫度進行比較以決定溫差。方法進一步包含以下步驟:調節至少一個腔室表面的溫度以補償溫差。
以下的揭露書描述了用於溫度控制和基板處理腔室的技術和設備。某些細節在以下的實施方式和第1-8圖中闡述,以提供對本揭露書的各種實現的透徹理解。描述通常與蝕刻處理、沉積處理和溫度控制相關聯的已知結構和系統的其他細節未在以下的揭露書中闡述,以避免不必要地模糊各種實現的描述。
圖式中所示的許多細節、尺寸、角度和其他特徵僅僅是對特定實現的說明。因此,在不背離本揭露書的精神或範圍的情況下,其他實現可具有其他細節、部件、尺寸、角度和特徵。另外,可在沒有下面描述的若干細節的情況下實施本揭露書的進一步的實現。
下面將參考可使用任何合適的薄膜沉積或蝕刻系統而執行的溫度控制處理來描述於此描述的實現。合適系統的示例包括CENTURA®系統、PRECISION 5000®系統、PRODUCER®系統、PRODUCER® GTTM 系統、PRODUCER® XP PrecisionTM 系統、PRODUCER® SETM 系統、Sym3®處理腔室和MesaTM 處理腔室,這些都是可從加州聖克拉拉市的應用材料公司商購獲得。其它能夠進行原位溫度控制處理的工具也可能適合受益於於此所述的實現。另外,可使用能夠實現於此所述的原位溫度控制處理的任何系統來獲利。於此描述的裝置描述是說明性的,且不應該被解釋或詮釋為限制於此描述的實現的範圍。
本揭露書的一些實現一般關於半導體電漿蝕刻腔室技術和硬體控制系統,用於解決在蝕刻處理腔室中加熱或冷卻噴頭腔室表面(如,頂部電極、底部電極和腔室側壁)的不均勻性的問題。
隨著技術節點的進步和特徵尺寸的減小,RF、氣流和熱控制的精確控制將有助於實現晶圓上的均勻性,同時具有裝置效能和半導體處理的提高的產量,並且每個晶圓的成本更低。基於用於蝕刻和處理應用需求的化學性質,跨腔室表面(如,噴頭組件、靜電卡盤和腔室壁)的均勻穩定加熱或冷卻對於實現可重複的處理結果是至關重要的。由於電漿蝕刻在噴頭組件的曝露表面上產生熱量,因此控制噴頭組件和其他腔室表面的溫度對於避免表面上的熱點或冷點是至關重要的。這些熱點和冷點可能導致聚合物黏附在冷點上,這導致較慢的蝕刻速率和輪廓控制問題。當蝕刻更高深寬比特徵和其他1x nm節點關鍵應用時,這個問題更加嚴重。
半導體電漿處理硬體通常包括具有泵送系統的高真空腔室。通常,取決於應用和處理的膜而使用具有噴頭和氣體分配的頂部源/電極,且在電漿處理期間蝕刻矽晶圓同時靜電夾緊到真空腔室內側的靜電卡盤。為了在一定範圍內保持處理均勻性和臨界尺寸(「CD」)的變化,電子(AC/DC/RF)控制、氣體流量控制和熱均勻性是至關重要的。本揭露書的一些實現提供了用於維持區域啟用的加熱和冷卻噴頭組件和其他腔室表面的方法和裝置,其包括用於原位調節溫度的封閉迴路控制系統。
在本揭露書的一些實現中,嵌入在晶圓大小的盤(真空兼容材料)上的IR相機用以監測處理腔室內的一個或多個表面的溫度。在一些實現中,嵌入在盤的頂表面和底表面的至少一個上的相機(如,奈米相機)使得能夠對頂部電極(如,噴頭組件)和底部電極(如,靜電卡盤)及腔室壁進行基於IR的成像而無須使處理腔室通風。此外,盤可從FOUP中的儲存位置移動到傳送腔室並最終移動到處理腔室而不需要使處理腔室通風。另外,可使用現有的平台機器人將盤傳送到處理腔室內側。當在處理腔室內側時,可使用現有的晶圓升降銷來定位盤,以對頂部和底部電極兩者成像。圖像數據可無線傳輸到外部裝置和控制系統,以產生電極和腔室表面的溫度輪廓圖。取決於溫度圖所指示的熱點或冷點的位置,可以像素級而調節(增加或減少)電極和腔室表面的溫度,以產生更均勻的溫度輪廓。
在本揭露書的一些實現中,提供了一種改進的噴頭組件設計。傳統的噴頭組件設計包括標準急冷板,其中急冷板的整個區域被加熱或冷卻。在本揭露書的一些實現中,提供了一種噴頭組件,其中可經由多個區域或像素來控制溫度。典型的噴頭設計包括氣體分配面板,其通常是陶瓷的,接合到鋁底座上以增加壽命和產量。在本揭露書的一些實現中,熱管用作熱傳遞裝置的一部分,其結合熱導率和相變的原理,以有效地管理在兩個固體界面(如,頂部和底部鋁板)之間的熱傳遞。本揭露書的一些實現還包括噴頭組件,其中頂部和底部鋁底座與一系列熱管連接。熱管可定位在預先界定的像素圖案中。
在本揭露書的一些實現中,噴頭組件的每個像素元件或區域也連接到熱電元件(如,p型和n型元件)。每個熱電元件與熱管耦接,以形成熱控制裝置。每個熱控制裝置與像素或區域耦接,以獨立地控制那個像素或區域的溫度。熱電元件被配置為串聯電連接但並聯熱連接,以確保最大的發電輸出,這是可逆的,使得兩種模組類型都可用作冷卻器或發電機;若向模組施加電壓,它將加熱。本揭露書的一些實現還包括標準急冷板,其用作熱交換器以急速全面地加熱和冷卻噴頭組件的溫度。在一些實現中,使用基於IR的成像數據和與外部控制系統的無線數據交換及用於溫度調節的像素控制來實現噴頭組件的溫度調節以移除熱點或冷點。
第1圖是根據本揭露書的一個或多個實現的處理系統100的示例的平面圖。第1圖描繪了溫度感測盤300通過處理系統100的可能移動。處理系統100通常包括工廠界面105、用於儲存溫度感測盤300的側儲存盒103、傳送腔室112、大氣壓保持站109和複數個雙處理腔室108a-108b、108c-108d和108e-108f。工廠界面105在大氣壓下操作以儲存和保持基板。工廠界面105包括至少一個大氣機器人104,諸如雙葉片大氣機器人,且被配置為接收一個或多個基板盒。
在工廠界面105的第一側,可提供一個或多個裝載埠。在一個實現中,提供三個裝載埠。為清楚起見,在第1圖的實現中僅描繪了兩個裝載埠111、113。裝載埠111、113適於從前開式晶圓傳送盒(「FOUP」)102接收待處理的基板(如,300mm直徑的晶圓)。(多個)FOUP 102具有一個或多個基板載體,被配置為臨時且可攜帶地儲存基板。裝載鎖定腔室106耦接到工廠界面105的第二側(與第一側相對)。裝載鎖定腔室106耦接到傳送腔室112,複數個雙處理腔室108a-108b、108c-108d和108e-108f位於傳送腔室112中。
基板由大氣機器人104從FOUP 102傳送到裝載鎖定腔室106。第二機械臂110設置在傳送腔室112中,傳送腔室112耦接到裝載鎖定腔室106以將基板從裝載鎖定腔室106傳送到處理腔室108a-108f,處理腔室108a-108f耦接到傳送腔室112。因此,工廠界面105提供在工廠界面的大氣環境與工具或處理腔室的真空環境之間的過渡。
處理腔室108a-108f可為任何類型的處理腔室,例如,化學氣相沉積(CVD)腔室、原子層沉積(ALD)腔室、物理氣相沉積(PVD)腔室、離子金屬佈植(IMP)腔室、電漿蝕刻腔室、退火腔室、其他熔爐腔室等。在一個實現中,處理腔室108a-108f配置用於在基板上沉積、退火、固化及/或蝕刻膜。在一種配置中,可使用三對處理腔室(如,108a-108b、108c-108d和108e-108f)以將膜沉積在基板上。若需要的話,這些處理腔室108a-108b、108c-108d和108e-108f的任何一個,或一個或多個額外處理腔室可耦接到傳送腔室112,且取決於應用而被佈置成執行其他傳統的半導體裝置製造處理,諸如氧化、膜沉積、蝕刻、加熱、脫氣、灰化、離子佈值、計量等。
側儲存盒103可包括用於保持溫度感測盤300的腔室主體103B和狹縫閥103A。在藉由大氣機器人104將溫度感測盤300定位在其中之後,狹縫閥103A用以密封腔室主體103B的內部區域。
溫度感測盤300由大氣機器人104從側儲存盒103傳送到裝載鎖定腔室106。第二機械臂110設置在傳送腔室112中,傳送腔室112耦接到裝載鎖定腔室106,以從裝載鎖定腔室106傳送溫度感測盤300到處理腔室108a-108f,在那裡進行溫度監測。
在一些實現中,溫度感測盤300位於(多個)FOUP 102中。溫度感測盤300由大氣機器人104從(多個)FOUP 102傳送到裝載鎖定腔室106。第二機械臂110設置在傳送腔室112中,傳送腔室112耦接到裝載鎖定腔室106,以從裝載鎖定腔室106傳送溫度感測盤300到處理腔室108a-108f,處理腔室108a-108f耦接到傳送腔室112。
第2圖是根據本揭露書的一個或多個實現的處理腔室200的示例的剖視圖,處理腔室200具有設置在其中的溫度感測盤300。處理腔室200可為處理系統100的處理腔室108a-f的任一個。處理腔室200與氣體面板210和控制系統220耦接。處理腔室200通常包括具有頂壁232、側壁234和底壁236的腔室主體230。頂壁232、側壁234和底壁236界定處理容積238。基板支撐組件240設置在處理腔室200的處理容積238中。基板支撐組件240通常包括由桿244支撐的靜電卡盤242。靜電卡盤242可由鋁、陶瓷和其他合適的材料製成。靜電卡盤242可使用位移機構(未顯示)而在處理腔室200內側在垂直方向上移動。
靜電卡盤242具有用於支撐基板的上表面246。升降銷243可移動地設置通過基板支撐組件240,並適於將基板(若存在)或溫度感測盤300與上表面246隔開。溫度感測盤300定位在距(多個) 待監測的表面(如,靜電卡盤242的上表面246、噴頭組件260的表面、側壁的表面、頂壁232的表面和底壁的表面的任何一個)適當的距離處。在一個實現中,如第2圖所示,溫度感測盤300使用升降銷243定位在處理容積238中,使得溫度感測盤300可監測多個表面。
靜電卡盤242包括夾持電極248,夾持電極248可為導電材料的網格。夾持電極248可嵌入靜電卡盤242中。夾持電極248與電源274耦接,當通電時,夾持電極248靜電夾持基板到靜電卡盤242的上表面246。電源274可為經由匹配網路276與夾持電極248耦接。
具有複數個孔262的​​噴頭組件260設置在處理腔室200的頂部上,位於靜電卡盤242之上方。噴頭組件260的孔262用以將處理氣體引入處理腔室200中。孔262可具有不同的尺寸、數量、分佈、形狀、設計和直徑,以促進用於不同的處理需求的各種處理氣體的流動。噴頭組件260連接到氣體面板210,氣體面板210在處理期間允許各種氣體供應到處理容積238。由離開噴頭組件260的處理氣體混合物形成電漿,以增強處理氣體的熱分解,導致在未顯示的基板的表面上蝕刻或沉積材料。
噴頭組件260和靜電卡盤242可在處理容積238中形成一對間隔開的電極。一個或多個RF電源270通過任選的匹配網路272向噴頭組件260提供偏壓電位,以促進在噴頭組件260和靜電卡盤242之間產生電漿。替代地,RF電源270和匹配網路272可耦接到噴頭組件260、靜電卡盤242,或耦接到噴頭組件260和靜電卡盤242兩者,或耦接到設置在處理腔室200外部的天線(未顯示)。
真空泵250耦接到形成在處理腔室200的底壁236中的埠。真空泵250用以在處理腔室200中保持所期望的氣體壓力。真空泵250也從處理腔室200排空處理後的氣體和處理的副產物。
處理腔室200可進一步包括用於控制腔室壓力的額外設備,例如,位於腔室主體230和真空泵250之間的閥(如,節流閥和隔離閥),以控制腔室壓力。
控制系統220包括中央處理單元(CPU)222、記憶體226和支持電路224,用以控制處理順序並調節來自氣體面板210的氣流。CPU 222可具有可在工業環境中使用的通用電腦處理器的任何一個形式。軟體常式可儲存在記憶體226中,諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數位儲存器。支持電路224通常耦接到CPU 222,並可包括快取、時脈電路、輸入/輸出系統、電源及類似者。在控制系統220和處理腔室200的各種部件之間的雙向通信通過統稱為信號匯流排228的多條信號電纜來處理,其中一些信號匯流排在第2圖中顯示。
第3A圖是根據本揭露書的一個或多個實現的溫度感測盤300的一個示例的頂視圖。第3B圖是根據本揭露書的一個或多個實現的沿第3A圖的線3B-3B截取的溫度感測盤300的剖視圖。在一些實現中,溫度感測盤300是基於IR的溫度感測盤。溫度感測盤300的尺寸通常與由處理腔室所處理的晶圓類似。例如,在處理系統被配置為處理300mm尺寸的晶圓的一些實現中,溫度感測盤300的尺寸類似於300mm晶圓尺寸的盤。在一些實現中,在處理系統被配置為處理200mm尺寸的晶圓的情況下,溫度感測盤300的尺寸類似於200mm晶圓尺寸的盤。將溫度感測盤300的尺寸調整成類似由處理腔室所處理的晶圓允許溫度感測盤300從其儲存位置(如,FOUP或側儲存盒)移動到傳送腔室並最終到處理腔室,而無需使處理腔室通風。現有平台機器人可用以將溫度感測盤300傳送到處理腔室中。溫度感測盤300可使用現有的升降銷定位在處理腔室中。儘管描述為盤,但是溫度感測盤300可取決於待監測的處理腔室而具有其他形狀。
溫度感測盤300可包含任何真空兼容材料。合適的材料包括介電材料和含矽材料。在一個實現中,溫度感測盤300由含矽材料構成。在一些實現中,溫度感測盤300由介電材料構成。
溫度感測盤300具有位於其上的一個或多個相機310a-310i(統稱為「310」)。一個或多個相機310通常被配置為執行處理腔室內的表面的基於IR的成像。在一個實現中,相機310被配置為執行腔室表面的紅外成像並且從處理腔室的內側無線地傳輸紅外圖像。可使用任何合適的附接方法將相機310附接到溫度感測盤300。在一些實現中,相機310膠合到溫度感測盤300的表面。在一些實現中,相機310部分嵌入或完全嵌入到溫度感測盤300的主體中。在一些實現中,一個或多個相機是奈米相機。
在一些實現中,相機310定位在溫度感測盤300的前表面320和後表面330兩者上。將相機310定位在溫度感測盤300的前表面320和後表面330兩者上允許相對的腔室表面被同時地成像。例如,參照第2圖,前表面320上的相機310可對噴頭組件260的表面成像,而後表面330上的相機310可對靜電卡盤242的上表面246成像。在一些實現中,相機310僅定位在溫度感測盤300的前表面320或後表面330上。在一些實現中,九個或更多個相機定位在盤形主體的前表面上。在一些實現中,九個或更多個相機定位在盤形主體的後表面上。還應該理解可使用任何數量的相機,這取決於(例如)待監測的表面的數量和待監測的總表面積。
第4圖是根據本揭露書的一個或多個實現的噴頭組件400的剖視圖。在一些實現中,噴頭組件400可用於代替處理腔室200中的噴頭組件260。噴頭組件400包含一個或多個熱控制裝置460a-460e(統稱為460)以管理噴頭組件400內的熱傳遞。每個熱控制裝置460包括熱電模組464a-464e(統稱為464)和熱管組件466a-466e(統稱為466)。在一些實現中,每個熱控制裝置460與像素或區域相關聯,如將在第7A-7D圖中討論的。每個熱控制裝置460為其相關的像素或區域提供獨立的溫度控制。
噴頭組件400包括急冷板(蓋)420、頂板430、底板440和氣體分配面板450。急冷板420定位在頂板430上。急冷板420提供噴頭組件400的溫度控制。凹槽422界定在急冷板420和頂板430之間。
頂板430包括複數個通孔432。在一個實現中,複數個通孔432的每一個容納熱控制裝置460的熱管組件466。在一些實現中,頂板430具有複數個第二通孔(未在這個圖中顯示),用於將處理氣體輸送到處理腔室中。頂板430可由鋁、陶瓷、Si-Si碳化物或石墨轉化為碳化矽製成,例如但不限於此。在一個實現中,頂板430是金屬板。在一個實現中,頂板430由鋁製成。在一些實現中,頂板430由陽極氧化鋁製成。
底板440包括複數個孔442。在一個實現中,複數個孔442的每一個容納熱控制裝置460的熱管組件466的一部分。在一些實現中,底板440具有複數個第二通孔(未在這個圖中顯示),用於將處理氣體輸送到處理腔室中。底板440可由鋁、陶瓷、Si-Si碳化物或石墨轉化為碳化矽製成,例如但不限於此。在一個實現中,底板440是金屬板。在一個實現中,底板440由鋁製成。在一些實現中,底板440由陽極氧化鋁製成。
氣體分配面板450包括複數個通孔(未顯示),用於將處理氣體輸送到半導體處理腔室的內部。氣體分配面板450中的通孔可為(例如但不限於)圓形或月牙形。
氣體分配面板450可由碳化矽、氧化釔、陽極氧化鋁、陶瓷、石英或矽製成,例如但不限於此。在一個實現中,氣體分配面板450由碳化矽製成。氣體分配面板450可藉由黏合層446黏合到底板440的第一下主表面444上。在一些實現中,黏合層446使用矽樹脂基黏合劑完成,其中不同類型的填充物被定制用於提高導熱性。可使用本技術已知的其他材料及/或方法來實現氣體分配面板450與底板440的黏合。然而,氣體分配面板450與底板440的黏合應該使用具有足夠順應性以防止由於在氣體分配面板450和底板440之間的熱失配而導致的分層的黏合材料來進行。儘管顯示了黏合層,還應該理解氣體分配面板450可使用本技術已知的其他附接方法附接到噴頭組件。
噴頭組件400進一步包括複數個熱控制裝置460a-460e(統稱為460)。每個熱控制裝置460包括與熱管組件466a-466e(統稱為466)耦接的熱電模組464a-464e(統稱為464)。每個熱控制裝置460與在氣體分配面板450上界定的像素或區域相關聯。每個熱控制裝置460結合熱導率和相變的原理以有效地管理在頂板430和底板440及急冷板420之間的熱傳遞。每個熱控制裝置460與像素或區域相關聯,如將參考第7A-7D圖所述。
第5圖是根據本揭露書的一個或多個實現的可與噴頭組件一起使用的熱電模組的剖視圖。熱電模組可為熱電模組464,且噴頭組件可為噴頭組件400。通常,熱電模組464由n型熱電材料510、p型熱電材料520、導電金屬層530a和530b、頂部基板540a和底部基板540b構成。在一些實現中,第一絕緣層550a定位於導電金屬層530a與頂部基板540a之間。在一些實現中,第二絕緣層550b定位於導電金屬層530b與底部基板540b之間。
n型熱電材料510和p型熱電材料520是塊狀的,且頂部基板540a和底部基板540b都擁有高導熱率。在一些實現中,n型熱電材料510和p型熱電材料520由半導體或半金屬元素或擁有高ZT值的化合物製成,諸如碲化鉍((BiSb)2 (TeSe)3 )系列、碲化鉍(Bi2 Te3 )、碲化鉛(PbTe)和摻雜銻和硒的碲化錫(PbSnTe)系列,或複合系列,諸如矽(Si)和矽鍺(SiGe)系列、半赫斯勒介電合金系列(強磁性非鐵合金)、矽化物或二硒化鎢(WSe2 )系列。此外,熱電元件可藉由濺射、熱蒸發、電弧離子鍍、化學氣相蒸發、電鍍和化學鍍形成。然而,在實際應用中,材料的選擇和形成方式是根據實際需要和實際情況而決定的,且本揭露書不具有特定限制。
n型熱電材料510和p型熱電材料520被配置為串聯電連接但並聯熱連接,以確保最大發電輸出。接著將元件夾在兩個陶瓷板之間,一側覆蓋熱連接且另一側覆蓋冷連接。效果是可逆的,使得兩種模組類型都可充當冷卻器或發電機。若向模組施加電壓,則其將加熱,但若在模組上施加溫差,則將產生電壓。
在一些實現中,頂部基板540a和底部基板540b也擁有絕緣性質。熱電模組的功能主要由熱電材料510和520的性質決定。如第5圖所示,n型熱電材料510和p型熱電材料520通常是垂直型的,並經由導電金屬層530a和530b串聯連接。
在一些實現中,具有電絕緣和高導熱性的頂部和底部基板540a和540b(例如)由具有高導熱性的陶瓷材料製成,具有高導熱性的陶瓷材料藉由諸如氧化鋁、氮化鋁和碳化矽,或表面覆蓋有絕緣介電層的矽或金屬基板而實現。然而,本揭露書對實際應用中的材料選擇沒有特定限制。在一些實現中,頂部基板540a或散熱板用作散熱器,其將熱量釋放到(例如)急冷板420中。在一些實現中,頂部基板540a定位在急冷板420附近。在一些實現中,底部基板540b用作冷卻板,其(例如)從頂板430及/或底板440吸收熱量。在一些實現中,底部基板540b定位在頂板430及/或底板440附近。
在熱電冷卻模組的應用中,輸入的直流電流在n型熱電材料510和p型熱電材料520中在與轉換裝置的熱流(垂直傳遞)平行的方向上流動(垂直流動),且熱電冷卻模組產生溫差,並分別吸收和消散底部和頂部處的熱量。例如藉由溫差進行發電。熱電模組溫差和熱流的方向仍然平行於熱電材料中產生的電流的流動方向。
第6圖是根據本揭露書的一個或多個實現的可與噴頭組件一起使用的熱管組件的剖視圖。熱管組件可為熱管組件466,且噴頭組件可為噴頭組件400。熱管組件466形成第4圖的熱控制裝置460的一部分。如第4圖所示,熱控制裝置460a-460e由複數個平行且獨立操作的熱管構成。
每個熱管組件466包括封閉腔608的殼體606。殼體可由具有高導熱性的材料形成,諸如銅或鋁。將腔608抽真空並填充一定體積百分比的工作流體612。工作流體612可為水、乙醇、丙酮、鈉或汞。可根據熱管組件466的操作溫度來選擇工作流體612。因為腔內的部分真空狀態,腔608中的工作流體612的一部分處於液相而工作流體612的其餘部分處於氣相。
熱管組件466可具有熱界面602和冷界面604,熱界面602被配置為與第一端處待冷卻的目標熱接觸,冷界面604被配置為在與熱界面602相對的第二端處與散熱器熱接觸。任選地,芯結構610可在殼體606內側作襯並圍繞腔608。芯結構610被配置成在冷界面604處在工作流體612的液體表面上施加毛細管壓力並將工作流體612芯吸到熱界面602。
熱管組件466是熱交換裝置,其結合了熱導率和相變的原理,以有效地管理在熱界面602和冷界面604 之間的熱傳遞。在熱管內的熱界面602處,與殼體606接觸的工作流體612的液體藉由吸收來自熱源的熱量而變成蒸汽,該熱源與熱界面602熱接觸。蒸汽在冷界面604處冷凝回液體,朝向與冷界面熱接觸的散熱器釋放潛熱。液體接著通過芯結構610的毛細管作用、離心力或重力作用任一者而返回到熱界面602。將循環重複。
在一個實現中,如第4圖所示,熱管組件466的熱界面602與頂板430和底板440的至少一個熱接觸,頂板430和底板440是待冷卻的表面,且冷界面604與熱電模組464和急冷板420接觸,急冷板420用作散熱器。
第7A-7D圖描繪了使用根據本揭露書的一個或多個實現的熱控制裝置而經受溫度控制的部件的各種表面700a-700d的示意圖。每個表面700a-700d被分成複數個區段或像素。每個區段與熱控制裝置(例如,熱控制裝置460)耦接,允許對每個表面700a-700d的每個溫度控制區域進行分段溫度控制。
表面700a-700d可為氣體分配面板、靜電卡盤(如,晶圓支撐表面)或腔室壁的表面。在一種實現中,表面700a-700d表示氣體分配面板的表面的各種設計,例如,如第4圖所示的氣體分配面板450的表面。在另一種實現中,表面700a-700d表示卡盤的晶圓支撐表面的各種設計,例如,如第2圖所示的靜電卡盤242。在另一種實現中,表面700a-700d表示腔室壁的表面的各種設 計,例如,如第2圖所示的頂壁232、側壁234和底壁236的任一個。
第7A圖描繪了根據本揭露書的一個或多個實現的可使用熱控制裝置而經受溫度控制的表面700a的一種實現的示意圖。表面700a包括複數個同心區域,包括中心區域702、中間內部區域704、中間區域706、中間外部區域708和外部區域710。每個區域被分成複數個區段或像素,各自使用於此所述的熱控制裝置而經受獨立的熱控制。表面700a包括四十八個區段。
第7B圖描繪了根據本揭露書的一個或多個實現的可使用熱控制裝置而經受溫度控制的表面700b的另一實現的示意圖。類似於表面700a,表面700b包括複數個同心區域。表面700b包括複數個同心區域,包括中心區域712、中間內部區域714、中間區域716、中間外部區域718和外部區域720。每個區域被分成複數個區段或像素,各自使用於此所述的熱控制裝置而經受獨立的熱控制。表面700b包括32個區段。
第7C圖描繪了根據本揭露書的一個或多個實現的可使用熱控制裝置而經受溫度控制的表面700c的另一實現的示意圖。表面700c被分成複數個像素或(多個)六邊形區段730。使用於此所述的熱控制裝置使每個像素或六邊形區段730經受獨立的熱控制。
第7D圖描繪了可使用根據本揭露書的一個或多個實現的熱控制裝置而經受溫度控制的表面700d的另 一實現的示意圖。表面700d以X-Y圖案而被分成複數個區段或像素740。使用於此所述的熱控制裝置使每個區段或像素740經受獨立的熱控制。
第8圖是根據本揭露書的一個或多個實現的用於原位溫度控制的方法800的一個實現的處理流程圖。在一些實現中,方法800在處理系統中執行,例如,第1圖中描繪的處理系統100。方法800可在受益於改進的溫度控制的其他系統中執行。可在處理一批晶圓的同時執行方法800。例如,若運行一批500個晶圓,則在使用者選擇的任何數量的晶圓之後,可用溫度感測盤代替晶圓。
在操作810,將溫度感測盤傳送到處理腔室中。在一些實現中,溫度感測盤被輸送到處理腔室的處理區域中而不破壞真空。溫度感測盤可為具有相機310的溫度感測盤300,相機310被配置為對處理腔室內的表面執行基於IR的成像。待成像的表面包括期望溫度控制的任何表面。待成像的表面通常包括噴頭組件的表面、腔室的壁(如,處理腔室的內表面,包括側壁、底壁和室頂)和基板支撐組件(如,靜電卡盤)的曝露表面的至少一個。在一些實現中,藉由使用溫度感測盤對至少一個表面成像來測量處理腔室的處理區域中的至少一個腔室表面的至少一個區域的溫度。例如,參考第2圖,前表面320上的相機310可對噴頭組件260和側壁234的表面成像,而後表面330上的相機310可對靜電卡盤242和側壁234的表面成像。藉由溫度感測盤擷取的紅外圖像可無線傳輸到控制系統(例如,控制系統220)。
在操作820,分析擷取的成像表面的IR圖像以決定成像表面的區域是否在處理溫度規格範圍內。擷取的IR圖像可用以產生成像表面的測量溫度輪廓。可將測量的溫度輪廓與溫度規格範圍進行比較。可基於實現期望結果的先前運行的處理的期望溫度範圍來建立處理溫度規格範圍。在操作830,若溫度輪廓表明表面的所有區域都在期望的溫度範圍內,方法800結束且腔室內的基板處理繼續。
若測量的溫度輪廓指示表面的一個或多個區域在期望的溫度範圍之外,則在操作840,方法800進行到操作850並執行成像表面的溫度微調。若特定區域的測量溫度低於期望的溫度範圍,則該區域被識別為冷點。若特定區域的測量溫度高於期望的溫度範圍,則該區域被識別為熱點。
在操作860,將測量的溫度輪廓與基線溫度輪廓進行比較,基線溫度輪廓是基於期望的處理溫度而界定的。在一些實現中,基線溫度輪廓包括在查找表或其他算法方法中。查找表可儲存在控制系統220中。將測量的溫度輪廓與基線溫度輪廓進行比較,以產生溫度輪廓圖。溫度控制圖識別具有局部冷點及/或熱點的區域。
在操作870,基於溫度控制圖,可激活各個熱電模組以增加或降低已被識別為冷點或熱點任一者的每個區域的溫度。例如,可將額外的電壓施加到熱電模組464以增加熱量的泵送。取決於溫度圖所示的熱點或冷點的位置,可以像素級而微調(增加或減少)電極和腔室表面的溫度,以產生更均勻的溫度輪廓。
在使成像表面的溫度達到期望的溫度規格內之後,可繼續基板處理。
總之,本揭露書的一些益處包括用於在不對處理腔室進行通風的情況下對處理腔室內測進行原位溫度測量的裝置和方法。於此描述的一些實現提供了以像素級而測量和調節溫度水平以產生更均勻的溫度輪廓的能力。這種更均勻的溫度輪廓減少了腔室表面上的熱點和冷點的存在,這隨後降低了冷點上的聚合物黏附,從而保持了蝕刻速率並減少了輪廓控制問題。此外,可使用當前可用的硬體和系統架構來執行於此描述的一些實現。
當介紹本揭露書的元件或其示例性態樣或(多個)實現時,冠詞「一(a)」、「一(an)」、「該(the)」和「所述(said)」旨在表示存在有一個或多個元件。
術語「包含(comprising)」、「包括(including)」和「具有(having)」旨在是包含性的,並意味著可能存在有除所列元件之外的其他元件。
雖然前述內容涉及本揭露書的實現,但是可在不背離本揭露書的基本範圍的情況下設計本揭露書的其他和進一步的實現,且本發明的範圍由以下的申請專利範圍而界定。
100‧‧‧處理系統102‧‧‧FOUP103‧‧‧側儲存盒103A‧‧‧狹縫閥103B‧‧‧腔室主體104‧‧‧大氣機器人105‧‧‧工廠界面106‧‧‧裝載鎖定腔室108a‧‧‧處理腔室108b‧‧‧處理腔室108c‧‧‧處理腔室108d‧‧‧處理腔室108e‧‧‧處理腔室108f‧‧‧處理腔室109‧‧‧大氣壓保持站110‧‧‧第二機械臂111‧‧‧裝載埠112‧‧‧傳送腔室113‧‧‧裝載埠200‧‧‧處理腔室210‧‧‧氣體面板220‧‧‧控制系統222‧‧‧CPU224‧‧‧支持電路226‧‧‧記憶體228‧‧‧信號匯流排230‧‧‧腔室主體232‧‧‧頂壁234‧‧‧側壁236‧‧‧底壁238‧‧‧處理容積240‧‧‧基板支撐組件242‧‧‧靜電卡盤243‧‧‧升降銷244‧‧‧桿246‧‧‧上表面248‧‧‧夾持電極250‧‧‧真空泵260‧‧‧噴頭組件262‧‧‧孔270‧‧‧RF電源272‧‧‧匹配網路274‧‧‧電源276‧‧‧匹配網路300‧‧‧溫度感測盤310‧‧‧相機310a‧‧‧相機310b‧‧‧相機310c‧‧‧相機310d‧‧‧相機310e‧‧‧相機310f‧‧‧相機310g‧‧‧相機310h‧‧‧相機310i‧‧‧相機310j‧‧‧相機310k‧‧‧相機310l‧‧‧相機320‧‧‧前表面330‧‧‧後表面400‧‧‧噴頭組件420‧‧‧急冷板422‧‧‧凹槽430‧‧‧頂板432‧‧‧通孔440‧‧‧底板442‧‧‧孔444‧‧‧第一下主表面446‧‧‧黏合層450‧‧‧氣體分配面板460‧‧‧熱控制裝置460a‧‧‧熱控制裝置460b‧‧‧熱控制裝置460c‧‧‧熱控制裝置460d‧‧‧熱控制裝置460e‧‧‧熱控制裝置464‧‧‧熱電模組464a‧‧‧熱電模組464b‧‧‧熱電模組464c‧‧‧熱電模組464d‧‧‧熱電模組464e‧‧‧熱電模組466‧‧‧熱管組件466a‧‧‧熱管組件466b‧‧‧熱管組件466c‧‧‧熱管組件466d‧‧‧熱管組件466e‧‧‧熱管組件510‧‧‧n型熱電材料520‧‧‧p型熱電材料530a‧‧‧導電金屬層530b‧‧‧導電金屬層540a‧‧‧頂部基板540b‧‧‧底部基板550a‧‧‧第一絕緣層550b‧‧‧第二絕緣層602‧‧‧熱界面604‧‧‧冷界面606‧‧‧殼體608‧‧‧腔610‧‧‧芯結構612‧‧‧工作流體700a‧‧‧表面700b‧‧‧表面700c‧‧‧表面700d‧‧‧表面702‧‧‧中心區域704‧‧‧中間內部區域706‧‧‧中間區域708‧‧‧中間外部區域710‧‧‧外部區域712‧‧‧中心區域714‧‧‧中間內部區域716‧‧‧中間區域718‧‧‧中間外部區域720‧‧‧外部區域730‧‧‧六邊形區段740‧‧‧像素800‧‧‧方法810‧‧‧操作820‧‧‧操作830‧‧‧操作840‧‧‧操作850‧‧‧操作860‧‧‧操作870‧‧‧操作
因此,可詳細地理解本揭露書的上述特徵的方式,可藉由參考實現獲得對上面簡要概述的實現的更具體的描述,其中一些顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示了本揭露書的典型實現,且因此不應視為限制本揭露書的範圍,因為本揭露書可允許其他同等有效的實現。
第1圖是根據本揭露書的一個或多個實現的處理系統的示例的平面圖;
第2圖是根據本揭露書的一個或多個實現的處理腔室的示例的剖視圖;
第3A圖是根據本揭露書的一個或多個實現的溫度感測盤的一個示例的頂視圖;
第3B圖是根據本揭露書的一個或多個實現的沿第3A圖的線3B-3B所截取的溫度感測盤的剖視圖;
第4圖是根據本揭露書的一個或多個實現的噴頭組件的剖視圖;
第5圖是根據本揭露書的一個或多個實現的可與熱控制裝置一起使用的熱電模組的剖視圖;
第6圖是根據本揭露書的一個或多個實現的可與熱控制裝置一起使用的熱管組件的剖視圖;
第7A-7D圖描繪了根據本揭露書的一個或多個實現的可與熱控制裝置一起使用的各種腔室表面的示意圖;及
第8圖是根據本揭露書的一個或多個實現的用於原位溫度控制的方法的一種實現的處理流程圖。
為促進理解,在可能的情況下,使用相同的元件符號來表示共用於圖式的相同元件。可預期一種實現的元素和特徵可有利地併入其他實現中而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:處理腔室
210:氣體面板
220:控制系統
222:CPU
224:支持電路
226:記憶體
228:信號匯流排
230:腔室主體
232:頂壁
234:側壁
236:底壁
238:處理容積
240:基板支撐組件
242:靜電卡盤
243:升降銷
244:桿
246:上表面
248:夾持電極
250:真空泵
260:噴頭組件
262:孔
270:RF電源
272:匹配網路
274:電源
276:匹配網路
300:溫度感測盤

Claims (24)

  1. 一種噴頭組件,包含:一第一電極,具有穿過其中的複數個開口;一氣體分配面板,附接到該第一電極的一第一下主表面,其中該氣體分配面板包括複數個通孔,用於將多個處理氣體輸送到一處理腔室,且該氣體分配面板被分成複數個溫度控制區域;一急冷板,位於該第一電極之上方用於提供溫度控制;及複數個熱控制裝置,設置於該噴頭組件內,並用以在該氣體分配面板的每個溫度控制區域中獨立地管理熱傳遞,該複數個熱控制裝置包含:一熱電模組;及一熱管組件,與該熱電模組耦接,其中該複數個熱控制裝置的每一個與一溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。
  2. 如請求項1所述之噴頭組件,進一步包含一第二電極,位於該急冷板和該第一電極之間。
  3. 如請求項2所述之噴頭組件,其中該第二電極具有複數個第一通孔,分別用於容納該熱控制裝置的一熱管組件的一部分。
  4. 如請求項3所述之噴頭組件,其中該第二電 極具有複數個第二通孔,用於將多個處理氣體輸送到該處理腔室中。
  5. 如請求項3所述之噴頭組件,其中該第一電極具有複數個孔,分別用於容納該熱控制裝置的一熱管組件的一部分。
  6. 如請求項5所述之噴頭組件,其中該第一電極進一步具有複數個第二孔,用於將多個處理氣體輸送到該處理腔室中。
  7. 如請求項3所述之噴頭組件,其中該熱電模組包含:一散熱板;一第一導電層;一n型熱電材料;一p型熱電材料;一第二導電層;及一冷卻板。
  8. 如請求項7所述之噴頭組件,其中該散熱板鄰近該急冷板定位,並將熱量釋放到該急冷板中,且該冷卻板鄰近該熱管組件定位。
  9. 一種處理腔室,包含:一腔室主體,具有界定一處理容積的一頂壁、一側壁和一底壁; 一基板支撐組件,定位在該處理容積中;一噴頭組件,與該基板支撐組件相對定位,該噴頭組件包含:一第一電極,具有穿過其中的複數個開口;一氣體分配面板,連接到該第一電極的一第一下主表面,其中該氣體分配面板包括複數個通孔,用於將多個處理氣體輸送到該處理容積,且該氣體分配面板被分成複數個溫度控制區域;一急冷板,位於該第一電極之上方用於提供溫度控制;及複數個熱控制裝置,設置於該噴頭組件內,並用以在該氣體分配面板的每個溫度控制區域中獨立地管理熱傳遞,該複數個熱控制裝置包含:一熱電模組;及一熱管組件,與該熱電模組耦接,其中該複數個熱控制裝置的每一個與一溫度控制區域相關聯,並向其相關的溫度控制區域提供獨立的溫度控制。
  10. 如請求項9所述之處理腔室,進一步包含一第二電極,位於該急冷板和該第一電極之間。
  11. 如請求項10所述之處理腔室,其中該第二電極具有:複數個第一通孔,分別用於容納該熱控制裝置的一 熱管組件的一部分;及複數個第二通孔,用於將多個處理氣體輸送到該處理容積中。
  12. 如請求項9所述之處理腔室,其中該第一電極具有:複數個孔,分別用於容納該熱控制裝置的一熱管組件的一部分;及複數個第二孔,用於將多個處理氣體輸送到該處理容積中。
  13. 如請求項9所述之處理腔室,其中該熱電模組包含:一散熱板;一第一導電層;一n型熱電材料;一p型熱電材料;一第二導電層;及一冷卻板。
  14. 如請求項13所述之處理腔室,其中該散熱板鄰近該急冷板定位,並將熱量釋放到該急冷板中,且該冷卻板鄰近該熱管組件定位。
  15. 如請求項9所述之處理腔室,進一步包含一溫度感測盤,位於該處理容積中,並包含: 一盤形主體,具有:300毫米的一直徑;一前表面;一後表面,與該前表面相對;一個或多個相機,位於該前表面和該後表面的至少一個上,其中該一個或多個相機被配置為執行基於IR的成像。
  16. 一種控制溫度之方法,包含以下步驟:將一溫度感測盤輸送到一處理腔室的一處理區域中而不破壞真空,其中該溫度感測盤包括被配置為執行基於IR的成像的一個或多個相機;藉由使用該溫度感測盤對至少一個表面成像來測量該處理腔室的該處理區域中的至少一個腔室表面的至少一個區域的一溫度;將測量的該溫度與一期望的溫度進行比較以決定一溫差;確定具有複數個熱電模組之一噴頭組件的一溫度輪廓係在一預定標準之外;以及調節該至少一個腔室表面的一溫度以補償該溫差,調節溫度之步驟包含改變遍布於該噴頭組件上的複數個像素之熱傳遞速率,其中每個像素處之熱傳遞速率係由該複數個熱電模組中的至少一個相關聯之熱電模 組所控制,其中與每個像素相關聯之至少一個熱電模組可相對於與其他像素相關聯之至少一個熱電模組獨立地處理。
  17. 如請求項16所述之方法,其中該至少一個腔室表面選自一噴頭組件的一表面、該處理腔室的一壁和該基板支撐組件的一表面。
  18. 如請求項17所述之方法,其中該溫度感測盤的一前表面上的一個或多個相機對該噴頭組件的該表面成像,且該溫度感測盤的一後表面上的一個或多個相機對該基板支撐組件的一表面成像。
  19. 如請求項16所述之方法,將測量的該溫度與一期望的溫度進行比較之步驟進一步包含以下步驟:若測量的該溫度低於該期望的溫度範圍,則將至少一個區域識別為一冷點;及若測量的該溫度高於該期望的溫度範圍,則將至少一個區域識別為一熱點。
  20. 如請求項16所述之方法,其中調整該至少一個腔室表面的一溫度以補償該溫差之步驟包含以下步驟:激活與一區域相關聯的一熱電模組,以增加或降低已被識別為一冷點或一熱點的每個區域的該溫度。
  21. 一種控制噴頭組件的溫度之方法,該方法包含以下步驟:確定具有複數個熱電模組之一噴頭組件的一溫度輪廓係在一預定標準之外;以及改變遍布於該噴頭組件上的複數個像素之熱傳遞速率,其中每個像素處之熱傳遞速率係由該複數個熱電模組中的至少一個相關聯之熱電模組所控制,其中與每個像素相關聯之至少一個熱電模組可相對於與其他像素相關聯之至少一個熱電模組獨立地處理。
  22. 如請求項21所述之方法,其中改變該複數個像素之熱傳遞速率之步驟進一步包含:減少與該溫度輪廓之熱點相關聯的像素之熱傳遞速率。
  23. 如請求項22所述之方法,其中該等像素係以同心的方式排列。
  24. 如請求項23所述之方法,其中該等像素係排列為X-Y圖案。
TW107118109A 2017-06-19 2018-05-28 噴頭組件、處理腔室及控制溫度之方法 TWI815810B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762521879P 2017-06-19 2017-06-19
US62/521,879 2017-06-19
US15/964,296 US20180366354A1 (en) 2017-06-19 2018-04-27 In-situ semiconductor processing chamber temperature apparatus
US15/964,296 2018-04-27

Publications (2)

Publication Number Publication Date
TW201906047A TW201906047A (zh) 2019-02-01
TWI815810B true TWI815810B (zh) 2023-09-21

Family

ID=64658296

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107118109A TWI815810B (zh) 2017-06-19 2018-05-28 噴頭組件、處理腔室及控制溫度之方法
TW112104085A TW202322254A (zh) 2017-06-19 2018-05-28 噴頭組件、處理腔室及控制溫度之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112104085A TW202322254A (zh) 2017-06-19 2018-05-28 噴頭組件、處理腔室及控制溫度之方法

Country Status (6)

Country Link
US (2) US20180366354A1 (zh)
JP (2) JP7186719B2 (zh)
KR (2) KR20230035698A (zh)
CN (1) CN110352479B (zh)
TW (2) TWI815810B (zh)
WO (1) WO2018236472A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102198929B1 (ko) * 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
US10819905B1 (en) * 2019-09-13 2020-10-27 Guangdong Media Kitchen Appliance Manufacturing Co., Ltd. System and method for temperature sensing in cooking appliance with data fusion
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN113745082B (zh) * 2020-05-28 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其加热装置与工作方法
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN112259550A (zh) * 2020-10-21 2021-01-22 长江存储科技有限责任公司 半导体器件的刻蚀方法及刻蚀装置
US20220270865A1 (en) * 2021-02-25 2022-08-25 Kurt J. Lesker Company Pressure-Induced Temperature Modification During Atomic Scale Processing
CN117594413A (zh) * 2024-01-17 2024-02-23 专心护康(厦门)科技有限公司 一种用于等离子表面处理的加热装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
US20150128614A1 (en) * 2012-05-08 2015-05-14 Sheetak, Inc. Thermoelectric heat pump

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4039007A1 (de) * 1989-12-06 1991-06-13 Hitachi Ltd Infrarottemperaturmessgeraet, eichverfahren fuer das geraet, infrarottemperaturbildmessmethode, geraet zur messung desselben, heizgeraet mit messgeraet, verfahren zur steuerung der erwaermungstemperatur, und vakuumbedampfungsgeraet mit infrarotem temperaturmessgeraet
FR2743153B1 (fr) * 1995-12-29 1998-03-27 Brun Michel Hublot de visee, notamment pour controle de la temperature d'objets par thermographie infrarouge
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20020162339A1 (en) * 2001-05-04 2002-11-07 Harrison Howard R. High performance thermoelectric systems
JP2005024928A (ja) 2003-07-02 2005-01-27 Fujikura Ltd 光部品
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005188970A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 熱型赤外線固体撮像装置および赤外線カメラ
DE102004057215B4 (de) * 2004-11-26 2008-12-18 Erich Reitinger Verfahren und Vorrichtung zum Testen von Halbleiterwafern mittels einer Sondenkarte unter Verwendung eines temperierten Fluidstrahls
JP5068471B2 (ja) * 2006-03-31 2012-11-07 東京エレクトロン株式会社 基板処理装置
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
TW200913798A (en) * 2007-09-14 2009-03-16 Advanced Display Proc Eng Co Substrate processing apparatus having electrode member
JP2009278345A (ja) * 2008-05-14 2009-11-26 Mitsubishi Electric Corp 赤外線撮像素子
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP2010135450A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 電極部材及びこれを含む基板処理装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
KR101897604B1 (ko) * 2010-04-28 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
CN101935750B (zh) * 2010-09-27 2012-06-20 辽宁衡业高科新材股份有限公司 高性能钢板热处理机组生产工艺
US8901518B2 (en) * 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
US9222842B2 (en) * 2013-01-07 2015-12-29 Kla-Tencor Corporation High temperature sensor wafer for in-situ measurements in active plasma
CN203233503U (zh) * 2013-03-11 2013-10-09 陈仲璀 一体化红外成像在线测温装置
JP6153749B2 (ja) * 2013-03-22 2017-06-28 株式会社Screenホールディングス 温度測定装置、温度測定方法および熱処理装置
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6114398B2 (ja) * 2013-09-27 2017-04-12 京セラ株式会社 熱電モジュール
JP6002262B2 (ja) * 2015-03-13 2016-10-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN106769163B (zh) * 2017-03-14 2023-04-07 常州市环境监测中心 一种用于地下管道采样检测的无人机

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
US20150128614A1 (en) * 2012-05-08 2015-05-14 Sheetak, Inc. Thermoelectric heat pump

Also Published As

Publication number Publication date
KR102506497B1 (ko) 2023-03-06
JP2020524393A (ja) 2020-08-13
TW202322254A (zh) 2023-06-01
CN110352479A (zh) 2019-10-18
TW201906047A (zh) 2019-02-01
CN110352479B (zh) 2024-05-10
KR20230035698A (ko) 2023-03-14
KR20200010180A (ko) 2020-01-30
JP2023029910A (ja) 2023-03-07
JP7186719B2 (ja) 2022-12-09
US20220076972A1 (en) 2022-03-10
US20180366354A1 (en) 2018-12-20
WO2018236472A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
TWI815810B (zh) 噴頭組件、處理腔室及控制溫度之方法
US10879053B2 (en) Temperature controlled substrate support assembly
US10468276B2 (en) Thermal management systems and methods for wafer processing systems
TWI415213B (zh) 高溫靜電夾盤及其使用方法
US8207476B2 (en) Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
JP7376623B2 (ja) ウエハ処理システム向けの熱管理のシステム及び方法
US20060242967A1 (en) Termoelectric heating and cooling apparatus for semiconductor processing
JP2000310459A (ja) 半導体製造工程設備用熱電冷却温度調節装置
JPH10223621A (ja) 真空処理装置
TW201620073A (zh) 用以監測多工加熱器陣列之溫度與控制該加熱器陣列的系統及方法
US6508062B2 (en) Thermal exchanger for a wafer chuck
KR100920399B1 (ko) 냉각블럭 및 이를 포함하는 기판처리장치
JPH0945756A (ja) 半導体製造装置および製造方法
TW202042275A (zh) 能提高控溫精度的基板安裝台及電漿處理設備
WO2022174919A1 (en) Substrate support, method of processing a substrate, and processing system
CN116649015A (zh) 用于翘曲校正的方法和设备