JP2020524393A - インサイチュの半導体処理チャンバ温度装置 - Google Patents

インサイチュの半導体処理チャンバ温度装置 Download PDF

Info

Publication number
JP2020524393A
JP2020524393A JP2019555973A JP2019555973A JP2020524393A JP 2020524393 A JP2020524393 A JP 2020524393A JP 2019555973 A JP2019555973 A JP 2019555973A JP 2019555973 A JP2019555973 A JP 2019555973A JP 2020524393 A JP2020524393 A JP 2020524393A
Authority
JP
Japan
Prior art keywords
electrode
processing
chamber
heat
temperature control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019555973A
Other languages
English (en)
Other versions
JP7186719B2 (ja
JP2020524393A5 (ja
Inventor
アンドリュー グエン
アンドリュー グエン
ヨガナンダ サロデ
ヨガナンダ サロデ
シュエ チャン
シュエ チャン
カーティク ラマスワミー
カーティク ラマスワミー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020524393A publication Critical patent/JP2020524393A/ja
Publication of JP2020524393A5 publication Critical patent/JP2020524393A5/ja
Priority to JP2022189819A priority Critical patent/JP2023029910A/ja
Application granted granted Critical
Publication of JP7186719B2 publication Critical patent/JP7186719B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/10Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects
    • H10N10/13Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects characterised by the heat-exchanging means at the junction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Human Computer Interaction (AREA)
  • Extrusion Moulding Of Plastics Or The Like (AREA)

Abstract

一実施態様では、シャワーヘッドアセンブリが提供される。シャワーヘッドアセンブリは第1電極を備え、第1電極は、貫通する複数の開口と、第1電極の第1下部主表面に取り付けられたガス分配フェースプレートとを有する。ガス分配プレートは、処理ガスを処理チャンバへ供給するための複数の貫通穴を備える。ガス分配プレートは、複数の温度制御領域に分割されている。シャワーヘッドアセンブリはさらに、温度制御を提供するために電極の上方に配置されたチルプレートと、シャワーヘッドアセンブリ内の熱の移動を管理するための複数の熱制御装置とを備える。熱制御装置は、熱電モジュールと、熱電モジュールに連結されたヒートパイプアセンブリとを備える。複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供する。

Description

背景
(分野)
本明細書で説明する実施態様は、概して、半導体処理に関し、より具体的には、半導体処理チャンバの内部のインサイチュ温度測定のための装置及び方法に関する。
(関連技術の説明)
半導体デバイスは通常、一連の処理によって製造され、この一連の処理では、基板の表面に層が堆積され、堆積された材料は、所望のパターンにエッチングされる。半導体デバイスの形状寸法が縮小するにつれて、これらの処理の間の正確な処理制御がますます重要になっている。
温度制御は、半導体処理用のエッチングチャンバなどのチャンバで、歩留まりは向上し、スループットは高く、再現性がある半導体製造を実現するには、特に重要である。正確な製造技術のプロセスウィンドウは小さく、受け入れられる処理制御許容範囲からわずかに外れた変動でさえ、壊滅的な量の生産欠陥につながる可能性がある。例えば、シャワーヘッドアセンブリ、チャック表面、又はチャンバ側壁の温度が低すぎる場合、これらのコールドスポットにポリマーが堆積する危険性が高くなり、これにより、エッチングの側壁プロファイルが有害にも変わってしまう可能性がある。例えば、シャワーヘッドアセンブリの温度が高すぎる場合、シャワーヘッドアセンブリのフェースプレート上の膜が割れたり剥がれ落ちたりする危険性が高くなり、基板に欠陥を生じさせる可能性がある。さらに、ガス分配アセンブリ、チャンバ側壁、チャック表面を含むチャンバ処理表面の温度ドリフトも、処理結果を有害にも基板毎に変えてしまうことになる。
したがって、半導体処理チャンバ内のチャンバ表面及び内部のチャンバ構成要素の温度を監視する方法及び装置の改善が必要になっている。
概要
本明細書で説明する実施態様は、概して、半導体処理に関し、より具体的には、半導体処理チャンバの内部のインサイチュ温度測定のための装置及び方法に関する。一実施態様では、シャワーヘッドアセンブリが提供される。シャワーヘッドアセンブリは、貫通する複数の開口を有する第1電極と、第1電極の第1下部主表面に取り付けられたガス分配フェースプレートとを備える。ガス分配プレートは、処理ガスを処理チャンバへ供給するための複数の貫通穴を備える。ガス分配プレートは、複数の温度制御領域に分割されている。シャワーヘッドアセンブリはさらに、温度制御を提供するために第1電極の上方に配置されたチルプレートと、シャワーヘッドアセンブリ内の熱の移動を管理するための複数の熱制御装置とを備える。熱制御装置は、熱電モジュールと、熱電モジュールに連結されたヒートパイプアセンブリとを備える。複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供する。
別の一実施態様では、測温ディスクが提供される。測温ディスクは、ディスク状の本体を備える。ディスク状の本体は、300ミリメートルの直径と、前面と、前面の反対側の背面とを有する。測温ディスクは、前面及び背面のうちの少なくとも一方に配置された1台以上のカメラをさらに備える。ここで、1台以上のカメラは、赤外線ベースの撮像を実行するように構成されている。
さらに別の一実施態様では、処理チャンバが提供される。処理チャンバは、処理容積を画定する上壁、側壁、及び底壁を有するチャンバ本体を備える。処理チャンバはさらに、処理容積内に配置された基板支持アセンブリと、基板支持体に対向して配置されたシャワーヘッドアセンブリとを備える。シャワーヘッドアセンブリは、貫通する複数の開口を有する第1電極と、第1電極の第1下部主表面に取り付けられたガス分配フェースプレートとを備える。ガス分配プレートは、処理ガスを処理チャンバへ供給するための複数の貫通穴を備える。ガス分配プレートは、複数の温度制御領域に分割されている。シャワーヘッドアセンブリはさらに、温度制御を提供するために金属電極の上方に配置されたチルプレートと、シャワーヘッドアセンブリ内の熱の移動を管理するための複数の熱制御装置とを備える。複数の熱制御装置はそれぞれ、熱電モジュールと、熱電モジュールに連結されたヒートパイプアセンブリとを備え、複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供している。
さらに別の一実施態様では、基板支持アセンブリが提供される。基板支持アセンブリは、基板を支持するための上面であって、複数の温度制御領域に分割された上面と、基板支持アセンブリ内の熱の移動を管理するための複数の熱制御装置とを備える。各熱制御装置は、熱電モジュールと、熱電モジュールに連結されたヒートパイプアセンブリとを備える。複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供する。
さらに別の一実施態様では、方法が提供される。この方法は、真空を破壊することなく、測温ディスクを処理チャンバの処理領域に搬送する工程を含む。測温ディスクは、赤外線ベースの撮像を実行するように構成された1台以上のカメラを備える。この方法はさらに、処理チャンバの処理領域内の少なくとも1つのチャンバ表面の少なくとも1つの領域の温度を測定する工程であって、そのために、測温ディスクを使用してその少なくとも1つの表面を撮像する工程を含む。この方法はさらに、測定温度を望ましい温度と比較して、温度差を決定する工程を含む。この方法はさらに、その少なくとも1つのチャンバ表面の温度を調整して、温度差を補正する工程を含む。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本実施態様のより具体的な説明を、諸実施態様を参照して行う。これらの実施態様のいくつかは添付図面に示されている。しかしながら、本開示は他の等しく有効な実施態様を含み得るので、添付図面は本開示の典型的な実施態様を示しているに過ぎず、従ってこの範囲を制限していると解釈するべきではないことに留意すべきである。
本開示の1つ以上の実施態様による処理システムの一実施例の平面図である。 本開示の1つ以上の実施態様による処理チャンバの一実施例の断面図である。 本開示の1つ以上の実施態様による測温ディスクの一実施例の上面図である。 本開示の1つ以上の実施態様による、図3Aの測温ディスクの矢視3B−3B断面図である。 本開示の1つ以上の実施態様によるシャワーヘッドアセンブリの断面図である。 本開示の1つ以上の実施態様による熱制御装置と共に使用され得る熱電モジュールの断面図である。 本開示の1つ以上の実施態様による熱制御装置と共に使用され得るヒートパイプアセンブリの断面図である。 本開示の1つ以上の実施態様による熱制御装置と共に使用され得る様々なチャンバ表面の概略図を示す。 本開示の1つ以上の実施態様によるインサイチュ温度制御のための方法の一実施態様の処理フロー図である。
理解を容易にするため、可能な場合には、同一の符号を使用して、これらの図面に共通の同一の要素を示す。一実施態様の要素及び構成は、具体的な記述がなくとも、他の実施態様に有益に組み込まれ得ることが企図されている。
詳細な説明
以下の開示は、温度制御及び基板処理チャンバのための技術及び装置を説明している。本開示の様々な実施態様の完全な理解を提供するために、以下の説明及び図1〜8に特定の詳細が記載されている。様々な実施態様の説明を不必要に曖昧にすることを避けるために、エッチング処理、堆積処理、及び温度制御と頻繁に関連付けられる周知の構造及びシステムを説明する他の詳細は、以下の開示では説明されない。
図に示されている詳細、寸法、角度、及びその他の構成の多くは、特定の実施態様の単なる例示である。したがって、他の諸実施態様は、本開示の精神又は範囲から逸脱することなく、他の詳細、構成要素、寸法、角度、及び構成を有し得る。加えて、本開示のさらなる実施態様は、以下で説明されるいくつかの詳細なしに実践され得る。
本明細書で説明される実施態様は、温度制御処理を参照して以下で説明され、この温度制御処理は、任意の適切な薄膜堆積又はエッチングシステムを使用して実行され得る。適切なシステムの例には、CENTURA(登録商標)システム、PRECISION5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GTTMシステム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SE(商標)システム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバが含まれるが、これらはすべて、カリフォルニア州サンタ・クララのアプライド・マテリアルズから市販されている。また、インサイチュ温度制御処理を実行し得る他のツールを適合させて、本明細書で説明される実施態様から恩恵を得てもよい。加えて、本明細書で説明するインサイチュ温度制御処理を可能にする任意のシステムを、利益をもたらすように使用し得る。本明細書で説明される装置の説明は例示であり、本明細書で説明される実施態様の範囲を限定するものとして解釈も説明もするべきではない。
本開示のいくつかの実施態様は、概して、エッチング処理チャンバ内のシャワーヘッドチャンバ表面(例えば、上部電極、下部電極、及びチャンバ側壁)の加熱又は冷却の不均一性の問題に対処するための半導体プラズマエッチングチャンバ技術及びハードウェア制御システムに関する。
テクノロジーノードが進歩し、フィーチャーのサイズが小さくなるにしたがい、高周波、ガス流れ、及び熱制御の正確な制御は、デバイス性能を含むオンウェハ均一性と、ウェハ当たりのコストを削減する半導体処理の歩留まり向上の達成に役立つ。エッチングに使用される化学成分及び処理適用要件に基づいて、チャンバ表面(例えば、シャワーヘッドアセンブリ、静電チャック、及びチャンバ壁)全体で均一に安定した加熱又は冷却を行うことは、再現性のある処理結果を達成するために最重要である。プラズマエッチングはシャワーヘッドアセンブリの露出面に熱を発生させるため、シャワーヘッドアセンブリや他のチャンバ表面の温度を制御することは、表面のホットスポット又はコールドスポットを回避するために不可欠である。これらのホットスポット及びコールドスポットによって、コールドスポットにポリマーが付着する可能性があり、その結果、エッチング速度が遅くなり、プロファイル制御の問題が発生する。この問題は、高アスペクト比のフィーチャーをエッチングする場合や、他の1xnmノード限界適用の場合に悪化する。
半導体プラズマ処理ハードウェアには、通常、ポンプシステムを備えた高真空チャンバが含まれる。しばしば、シャワーヘッドとガス分配を備えた上部のソース/電極が、用途と処理される膜に応じて使用され、シリコンウェハは、プラズマ処理の間に真空チャンバ内の静電チャックに静電的にクランプされた状態でエッチングされる。処理の均一性と限界寸法(「CD」)の変動を特定の範囲内に維持するには、電気(交流/直流/高周波)制御、ガス流量制御、熱均一性が重要である。本開示のいくつかの実施態様は、シャワーヘッドアセンブリ及び他のチャンバ表面のゾーン対応加熱及び冷却を維持するための方法及び装置を提供し、これらの方法及び装置には、インサイチュ温度調整に使用される閉ループ制御システムが含まれる。
本開示のいくつかの実施態様では、ウェハサイズのディスク(真空対応材料)に埋め込まれた赤外線カメラを使用して、処理チャンバ内の1つ以上の表面の温度を監視する。いくつかの実施態様では、カメラ(例えは、ナノカメラ)がディスクの上面と下面の少なくとも一方に埋め込まれて、処理チャンバを通気せずに、上部電極(例えは、シャワーヘッドアセンブリ)と下部電極(例えは、静電チャック)、及びチャンバ壁の赤外線ベースの撮像を可能にする。さらに、ディスクは、FOUP内の格納位置から搬送チャンバへ移動し、処理チャンバを通気せずに最終的に処理チャンバへ移動し得る。加えて、既存のプラットフォームロボットを使用して、処理チャンバ内でディスクを移動させてもよい。処理チャンバ内では、既存のウェハリフトピンを使用してディスクを配置し、上部電極と下部電極の両方を撮像し得る。画像データを外部装置及び制御システムに無線で転送して、電極とチャンバ表面の温度分布図を作成し得る。温度分布図で示されるホットスポット又はコールドスポットの位置に応じて、電極及びチャンバ表面の温度を、ピクセルレベルで調整(増減)して、より均一な温度分布を生成し得る。
本開示のいくつかの実施態様では、シャワーヘッドアセンブリの改良設計が提供される。従来のシャワーヘッドアセンブリの設計には、チルプレート全体が加熱又は冷却される標準的なチルプレートが備わっている。本開示のいくつかの実施態様では、複数のゾーン又はピクセルを介して温度を制御できるシャワーヘッドアセンブリが提供される。典型的なシャワーヘッドの設計には、ガス分配プレートが備わっており、このガス分配プレートは、通常はセラミックであり、寿命と歩留まりを向上させるためにアルミニウムベースに接合されている。本開示のいくつかの実施態様では、熱伝導と相転移の両方の原理を組み合わせたヒートパイプが伝熱装置の一部として使用され、2箇所の固体界面(上部と下部のアルミニウムプレートなど)間での熱の移動が効率的に行われるようにする。本開示のいくつかの実施態様はまた、一連のヒートパイプに接続された上部及び下部のアルミニウムベースを備えるシャワーヘッドアセンブリを含む。ヒートパイプを、事前に定めたピクセルパターンで配置してもよい。
本開示のいくつかの実施態様では、シャワーヘッドアセンブリの各ピクセルの素子又は領域を、熱電素子(例えば、p型及びn型素子)にも接続している。各熱電素子はヒートパイプに連結されて、熱制御装置を形成している。各熱制御装置は、ピクセル又は領域と接続されて、そのピクセル又は領域の温度を独立して制御する。熱電素子を、電気的に直列に接続し、熱的に並列に接続するように構成して、発電出力が最大になるようにする。効果を逆転させて運用できるので、両方のモジュール形式が冷却器又は発電機として機能することができ、モジュールに電圧が印加されると、モジュールは熱を汲み上げる。本開示のいくつかの実施態様はまた、標準的なチルプレートを備えて、熱交換器として使用し、シャワーヘッドアセンブリの温度を全体的に急速に加熱及び冷却する。いくつかの実施態様では、ホットスポット又はコールドスポットを除去するためのシャワーヘッドアセンブリの温度調整が、赤外線ベースの撮像データ及び外部制御システムとの無線データ交換、並びに温度調整のためのピクセル制御を用いて、達成される。
図1は、本開示の1つ以上の実施態様による処理システム100の一実施例の平面図である。図1は、処理システム100を通る測温ディスク300がなし得る動きを示している。処理システム100は一般に、工場インターフェース105と、測温ディスク300を収納するためのサイド収納ポッド103と、搬送チャンバ112と、大気保持ステーション109と、複数のツイン処理チャンバ108a〜108b、108c〜108d及び108e〜108fを備える。工場インターフェース105は、基板を収納及び保持するために大気圧で動作している。工場インターフェース105は、デュアルブレード大気ロボットなどの少なくとも1つの大気ロボット104を備え、1つ以上の基板カセットを受け取るように構成される。
工場インターフェース105の第1側に、1つ以上のロードポートを備えてもよい。一実施態様では、3つのロードポートが備わっている。不明瞭にならないように、図1の実施態様には、2つのロードポート111、113のみが描かれている。ロードポート111、113は、前面開閉式一体型ポッド(「FOUP」)102から処理される基板(例えば、直径300mmのウェハ)を受け取るように適合されている。FOUP102は、一時的かつ運搬可能に基板を収納するように構成された1つ以上の基板キャリアを有する。ロードロックチャンバ106は、工場インターフェース105の第2側(第1側とは反対側)に連結されている。ロードロックチャンバ106は、搬送チャンバ112に連結されて、この搬送チャンバには、複数のツイン処理チャンバ108a〜108b、108c〜108d及び108e〜108fが配置されている。
基板は、大気ロボット104によってFOUP102からロードロックチャンバ106に搬送される。第2ロボットアーム110は、ロードロックチャンバ106に連結された搬送チャンバ112内に配置されて、基板をロードロックチャンバ106から、搬送チャンバ112に連結された処理チャンバ108a〜108fに輸送する。したがって、工場インターフェース105は、工場インターフェースの大気環境と、ツール又は処理チャンバの真空環境との間の移行部を提供する。
処理チャンバ108a〜108fは、任意のタイプの処理チャンバ、例えば、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、物理気相堆積(PVD)チャンバ、イオン金属注入(IMP)チャンバ、プラズマエッチングチャンバ、アニーリングチャンバ、他の加熱炉チャンバなどであってもよい。一実施態様では、処理チャンバ108a〜108fは、基板上に膜を堆積、アニール、硬化及び/又はエッチングするように構成されている。1つの構成では、3対の処理チャンバ(例えば、108a〜108b、108c〜108d及び108e〜108f)を使用して、基板上に膜を堆積させることができる。必要に応じて、これらの処理チャンバ108a〜108b、108c〜108d、及び108e〜108fのいずれか、又は1つ以上の追加の処理チャンバを搬送チャンバ112に連結して、他の通常の半導体デバイス製造処理、例えば、酸化、膜堆積、エッチング、加熱、脱ガス、アッシング、イオン注入、計測などを、必要になり次第、実行するように整えてもよい。
サイド収納ポッド103は、測温ディスク300を保持するためのチャンバ本体103Bと、スリット弁103Aとを備えてもよい。スリット弁103Aは、チャンバ本体103Bの内部領域を、測温ディスク300が大気ロボット104によってその中に配置された後に、密閉するために使用される。
測温ディスク300は、大気ロボット104により、サイド収納ポッド103からロードロックチャンバ106に搬送される。第2ロボットアーム110が、ロードロックチャンバ106に連結された搬送チャンバ112内に配置されて、測温ディスク300をロードロックチャンバ106から温度監視が実行される処理チャンバ108a〜108fに輸送する。
いくつかの実施態様では、測温ディスク300は、FOUP102に配置される。測温ディスク300は、大気ロボット104によってFOUP102からロードロックチャンバ106に搬送される。第2ロボットアーム110が、ロードロックチャンバ106に連結された搬送チャンバ112内に配置されて、測温ディスク300をロードロックチャンバ106から搬送チャンバ112に連結された処理チャンバ108a〜108fに輸送する。
図2は、本開示の1つ以上の実施態様による、測温ディスク300が配置された処理チャンバ200の一実施例の断面図である。処理チャンバ200は、処理システム100の処理チャンバ108a〜fのいずれでもよい。処理チャンバ200は、ガスパネル210及び制御システム220と接続している。処理チャンバ200は、一般に、上壁232、側壁234、及び底壁236を有するチャンバ本体230を備える。上壁232、側壁234、及び底壁236は、処理容積238を画定する。基板支持アセンブリ240が、処理チャンバ200の処理容積238内に備えられている。基板支持アセンブリ240は、一般に、ステム244によって支持された静電チャック242を備える。静電チャック242は、アルミニウム、セラミック、及び他の適切な材料から製造され得る。静電チャック242は、移動機構(図示せず)を使用して処理チャンバ200内を垂直方向に移動し得る。
静電チャック242は、基板を支持するための上面246を有する。リフトピン243は、基板支持アセンブリ240を貫通して移動可能に配置され、基板(存在する場合)又は測温ディスク300を上面246から離間させるように適合されている。測温ディスク300は、監視される表面から適切な距離に配置される。(その表面とは、例えば、静電チャック242の上面246、シャワーヘッドアセンブリ260の表面、側壁の表面、上壁232の表面、及び底壁の表面のうちのいずれか1つの面である。)一実施態様では、図2に示すように測温ディスク300は、リフトピン243を使用して処理容積238に配置されて、測温ディスク300が複数の表面を監視できるようになっている。
静電チャック242は、チャック電極248を備える。このチャック電極は、導電性材料のメッシュであってもよい。チャック電極248は、静電チャック242に埋め込まれていてもよい。チャック電極248は電源274に接続されて、通電されると、静電チャック242の上面246に基板を静電的にクランプする。電源274は、整合ネットワーク276を介してチャック電極248に接続されてもよい。
複数の開口262を有するシャワーヘッドアセンブリ260は、静電チャック242の上方で、処理チャンバ200の上部に配置される。シャワーヘッドアセンブリ260の開口262を利用して、処理ガスを処理チャンバ200に導入する。開口262は、種々のサイズ、数、分布、形状、設計、及び直径を有して、種々の処理要件に応じて様々な処理ガスの流れを促進し得る。シャワーヘッドアセンブリ260はガスパネル210に接続されて、このガスパネルによって、処理の間に様々なガスが処理容積238に供給され得る。シャワーヘッドアセンブリ260から出てくる処理ガス混合気からプラズマが形成され、処理ガスの熱分解を促進し、図示されていない基板の表面に材料をエッチング又は堆積させる。
シャワーヘッドアセンブリ260及び静電チャック242は、処理容積238内に一対の離間した電極を形成し得る。1つ以上の高周波電源270は、任意選択の整合ネットワーク272を介してシャワーヘッドアセンブリ260にバイアス電位を提供して、シャワーヘッドアセンブリ260と静電チャック242との間のプラズマの生成を促進する。あるいは、高周波電源270及び整合ネットワーク272を、シャワーヘッドアセンブリ260、静電チャック242に接続させてもよく、又はシャワーヘッドアセンブリ260と静電チャック242の両方に接続させてもよく、若しくは処理チャンバ200の外部に配置されたアンテナ(図示せず)に接続させてもよい。
真空ポンプ250は、処理チャンバ200の底壁236に形成されたポートに連結されている。真空ポンプ250を使用して、処理チャンバ200内を所望のガス圧力に維持する。真空ポンプ250はまた、処理チャンバ200から処理後ガス及び処理の副生成物を排気する。
処理チャンバ200は、チャンバ圧力を制御するための追加の機器をさらに含み得る。その追加の機器とは、例えば、チャンバ圧力を制御するために、チャンバ本体230と真空ポンプ250との間に配置された弁(例えば、スロットル弁及び遮断弁)である。
制御システム220は、中央処理装置(CPU)222、メモリ226、及びサポート回路224を備え、これらを利用して、処理シーケンスを制御し、ガスパネル210からのガス流れを調整する。CPU222は、工業環境で使用され得る汎用コンピュータプロセッサの任意の形態のものであってもよい。ソフトウェアルーチンを、ランダムアクセスメモリ、読み取り専用メモリ、フロッピー、ハードディスクドライブ、又は他の形式のデジタルストレージなどのメモリ226に格納してもよい。サポート回路224は、従来、CPU222に接続されており、キャッシュ、クロック回路、入力/出力システム、電源などを備えてもよい。制御システム220と処理チャンバ200の様々な構成要素との間の双方向通信は、ひとまとめに信号バス228と呼ばれる多数の信号ケーブルを介して処理される。その一部を図2に示す。
図3Aは、本開示の1つ以上の実施態様による測温ディスク300の一実施例の上面図である。図3Bは、本開示の1つ以上の実施態様による、図3Aの測温ディスク300の矢視3B−3B断面図である。いくつかの実施態様では、測温ディスク300は、赤外線ベースの測温ディスクである。測温ディスク300は、典型的には、処理チャンバにより処理されるウェハと同様のサイズに作られる。例えば、300mmサイズのウェハを処理するように処理システムが構成されているいくつかの実施態様では、測温ディスク300は、300mmウェハサイズのディスクと同様のサイズに作られる。200mmサイズのウェハを処理するように処理システムが構成されているいくつかの実施態様では、測温ディスク300は、200mmのウェハサイズのディスクと同様のサイズに作られる。測温ディスク300のサイズを、処理チャンバによって処理されるウェハと同様にすることで、測温ディスク300は、収納場所(例えば、FOUP又はサイド収納ポッド)から搬送チャンバに移動し、処理チャンバを通気せずに最終的に処理チャンバへ移動し得る。既存のプラットフォームロボットを使用して、測温ディスク300を処理チャンバへ搬送し得る。既存のリフトピンを使用して、測温ディスク300を処理チャンバ内に位置決めしてもよい。測温ディスク300はディスクとして記載されているが、監視される処理チャンバに応じて他の形状を有してもよい。
測温ディスク300は、任意の真空適合材料を含んでもよい。適切な材料には、誘電材料及びシリコン含有材料がある。一実施態様では、測温ディスク300は、シリコン含有材料で構成される。いくつかの実施態様では、測温ディスク300は誘電材料で構成される。
測温ディスク300は、1台以上のカメラ310a〜310i(まとめて「310」)を、その上に配置している。1台以上のカメラ310は、通常、処理チャンバ内の表面の赤外線ベースの撮像を行うように構成されている。一実施態様では、カメラ310は、チャンバ表面の赤外線撮像を実行し、処理チャンバの内部から赤外線画像を無線で送信するように構成される。任意の適切な取り付け方法を使用して、カメラ310を測温ディスク300に取り付けてもよい。いくつかの実施態様では、カメラ310は、測温ディスク300の表面に接着される。いくつかの実施態様では、カメラ310は、測温ディスク300の本体に部分的に埋め込まれるか、又は完全に埋め込まれる。いくつかの実施態様では、1台以上のカメラはナノカメラである。
いくつかの実施態様では、カメラ310は、測温ディスク300の前面320と背面330の両方に配置される。測温ディスク300の前面320と背面330の両方にカメラ310を配置することにより、対向するチャンバ表面を同時に撮像し得る。例えば、図2を参照すると、前面320のカメラ310は、シャワーヘッドアセンブリ260の表面を撮像し得る。他方、背面330のカメラ310は、静電チャック242の上面246を撮像し得る。いくつかの実施態様では、カメラ310は、測温ディスク300の前面320又は背面330のみに配置される。いくつかの実施態様では、9台以上のカメラがディスク状の本体の前面に配置される。いくつかの実施態様では、9台以上のカメラがディスク状の本体の背面に配置される。また、任意の台数のカメラを、例えば、監視対象の表面の数と監視対象の合計表面積に応じて使用し得ることを理解するべきである。
図4は、本開示の1つ以上の実施態様によるシャワーヘッドアセンブリ400の断面図である。いくつかの実施態様では、シャワーヘッドアセンブリ400を、処理チャンバ200内のシャワーヘッドアセンブリ260の代わりに使用してもよい。シャワーヘッドアセンブリ400は、1つ以上の熱制御装置460a〜460e(まとめて460)を組み込んで、シャワーヘッドアセンブリ400内の熱の移動を管理する。各熱制御装置460は、熱電モジュール464a〜464e(まとめて464)及びヒートパイプアセンブリ466a〜466e(まとめて466)を備える。いくつかの実施態様では、各熱制御装置460は、図7A〜7Dで説明されるように、ピクセル又は領域に関連付けられている。各熱制御装置460は、関連付けられたピクセル又は領域に独立した温度制御を提供する。
シャワーヘッドアセンブリ400は、チルプレート(蓋)420と、天板430と、底板440と、ガス分配フェースプレート450とを備える。チルプレート420は天板430に配置されている。チルプレート420は、シャワーヘッドアセンブリ400の温度制御を提供する。チルプレート420と天板430との間に凹部422が画定される。
天板430は、複数の貫通穴432を備える。一実施態様では、複数の貫通穴432の各々は、熱制御装置460のヒートパイプアセンブリ466を収容する。いくつかの実施態様では、天板430は、処理ガスを処理チャンバへ供給するための複数の第2貫通穴(この図には示されていない)を有する。天板430を、限定ではなく例えばだが、アルミニウム、セラミック、Si−SiC、又は炭化ケイ素に転換されたグラファイトで作り得る。一実施態様では、天板430は金属板である。一実施態様では、天板430はアルミニウムで作られている。いくつかの実施態様では、天板430は陽極酸化アルミニウムで作られている。
底板440は、複数の穴442を備える。一実施態様では、複数の穴442の各々は、熱制御装置460のヒートパイプアセンブリ466の一部を収容する。いくつかの実施態様では、底板440は、処理ガスを処理チャンバへ供給するための複数の第2貫通穴(この図には示されていない)を有する。底板440を、限定ではなく例えばだが、アルミニウム、セラミック、Si−SiC、又は炭化ケイ素に転換されたグラファイトで作り得る。一実施態様では、底板440は金属板である。一実施態様では、底板440はアルミニウムで作られている。いくつかの実施態様では、底板440は陽極酸化アルミニウムで作られている。
ガス分配フェースプレート450は、処理ガスを半導体処理チャンバの内部へ供給するための複数の貫通穴(図示せず)を備える。ガス分配フェースプレート450の貫通穴を、限定ではなく例えばだが、円形又は三日月形にし得る。
ガス分配フェースプレート450を、限定ではなく例えばだが、炭化ケイ素、酸化イットリウム、陽極酸化アルミニウム、セラミック、石英、又はシリコンで作り得る。一実施態様では、ガス分配フェースプレート450は炭化ケイ素で作られている。ガス分配フェースプレート450を、接合層446によって底板440の第1下部主表面444に接合してもよい。いくつかの実施態様では、接合層446を、熱伝導率を高めるように調整された種々の形式のフィラーを含むシリコーンベースの接着材を使用して仕上げる。ガス分配フェースプレート450の底板440への接合は、当技術分野で知られている他の材料及び/又は方法を使用して達成し得る。しかしながら、ガス分配フェースプレート450の底板440への接合を接合材を使用して実行する際に、この接合材は、ガス分配フェースプレート450と底板440の間の熱的不整合による層間剥離を防ぐのに十分な適合性を有する必要がある。接合層が示されているが、ガス分配フェースプレート450を、当技術分野で知られている他の取り付け方法を使用してシャワーヘッドアセンブリに取り付けてもよいことを理解するべきである。
シャワーヘッドアセンブリ400は、複数の熱制御装置460a〜460e(まとめて460)をさらに備える。各熱制御装置460は、ヒートパイプアセンブリ466a〜466e(まとめて466)と接続する熱電モジュール464a〜464e(まとめて464)を備える。各熱制御装置460は、ガス分配フェースプレート450上に画定されたピクセル又は領域に関連付けられている。各熱制御装置460は、熱伝導と相転移の両方の原理を組み合わせて、天板430、底板440、チルプレート420の間の熱の移動が効率的に行われるようにする。各熱制御装置460は、図7A〜7Dを参照して説明されるように、ピクセル又は領域に関連付けられている。
図5は、本開示の1つ以上の実施態様によるシャワーヘッドアセンブリと共に使用され得る熱電モジュールの断面図である。熱電モジュールは熱電モジュール464であってもよく、シャワーヘッドアセンブリはシャワーヘッドアセンブリ400であってもよい。一般に、熱電モジュール464は、n型熱電材料510と、p型熱電材料520と、導電性金属層530a及び530bと、上部基板540aと、底部基板540bとから構成されている。いくつかの実施態様では、第1絶縁層550aが、導電性金属層530aと上部基板540aとの間に配置される。いくつかの実施態様では、第2絶縁層550bが、導電性金属層530bと底部基板540bとの間に配置される。
n型熱電材料510及びp型熱電材料520は、塊状であり、上部基板540a及び底部基板540bの両方が、高い熱伝導率を有する。いくつかの実施態様では、n型熱電材料510及びp型熱電材料520は、高いZT値を有する半導体若しくは半金属元素又は化合物(例えば、アンチモン及びセレンを添加した、テルル化ビスマス((BiSb)(TeSe))系、テルル化ビスマス(BiTe)、テルル化鉛(PbTe)及びテルル化スズ(PbSnTe)系など)又はシリコン(Si)とシリコンゲルマニウム(SiGe)系、ハーフホイスラー誘電体合金系(強磁性非鉄合金)、シリサイド、又は二セレン化タングステン(WSe)系などの化合物系でできている。また、熱電素子は、スパッタリング、熱蒸着、アークイオンプレーティング、化学気相堆積、電気めっき、化学めっきによって形成され得る。ただし、実地適用では、材料と形成方法の選択は、実際のニーズと実地の条件に従って決定される。本開示には特定の制限はない。
n型熱電材料510及びp型熱電材料520は、電気的に直列に接続されるように構成されているが、発電出力が最大になるように、熱的には並列に接続されている。次に、熱電素子は2つのセラミック板の間に挟まれる。一方の側は高温接合面を覆い、もう一方の側は低温接合面を覆う。効果を逆にすることも可能であり、両方のモジュールタイプが冷却器又は発電機として機能し得る。モジュールに電圧が印加されると、モジュールは熱を汲み上げる。しかし、モジュールを挟んで温度差を与えると、電圧が生成される。
いくつかの実施態様では、上部基板540a及び底部基板540bも絶縁特性を有する。熱電モジュールの働きは、主に熱電材料510及び520の特性によって決まる。図5に示すようにn型熱電材料510及びp型熱電材料520は通常は垂直形式であり、導電性金属層530a及び530bを介して直列に接続されている。
いくつかの実施態様では、電気絶縁性と高熱伝導率を備えた上部基板540a及び底部基板540bは、例えば、酸化アルミニウム、窒化アルミニウム、炭化ケイ素などによって実現される、高熱伝導率を備えたセラミック材料、又は表面が絶縁誘電体層で覆われたシリコン又は金属基板で作られている。しかしながら、本開示には、実地適用における材料の選択に関する特定の制限はない。いくつかの実施態様では、上部基板540aすなわちヒートシンクプレートはヒートシンクとして機能し、これにより、例えば、チルプレート420へ熱が放出される。いくつかの実施態様では、上部基板540aは、チルプレート420に隣接して配置される。いくつかの実施態様では、底部基板540bは、例えば、天板430及び/又は底板440からの熱を吸収する冷却プレートとして機能する。いくつかの実施態様では、底部基板540bは、天板430及び/又は底板440に隣接して配置される。
熱電冷却モジュールの適用では、入力された直流は、n型熱電材料510とp型熱電材料520の中を、熱電変換装置の熱の流れ(垂直に移動)の方向と平行な方向(垂直な流れ)に流れて、熱電冷却モジュールは温度差を生成し、下部と上部でそれぞれ熱を吸収及び放散する。例えば、温度差による発電を取り上げる。熱電モジュールの温度差と熱の流れの方向は、やはり熱電材料で発生した電流の流れ方向と平行である。
図6は、本開示の1つ以上の実施態様によるシャワーヘッドアセンブリと共に使用され得るヒートパイプアセンブリの断面図である。ヒートパイプアセンブリはヒートパイプアセンブリ466であってもよく、シャワーヘッドアセンブリはシャワーヘッドアセンブリ400であってもよい。ヒートパイプアセンブリ466は、図4の熱制御装置460の一部を形成する。図4に示されるように、熱制御装置460a〜460eは、複数の平行で独立して動作するヒートパイプから構成される。
各ヒートパイプアセンブリ466は、空洞608を囲むケーシング606を備える。ケーシングは、銅又はアルミニウムなどの熱伝導率の高い材料で形成されてもよい。空洞608は減圧されており、作動流体612の容積のわずかな割合で満たされている。作動流体612は、水、エタノール、アセトン、ナトリウム、又は水銀であってもよい。作動流体612は、ヒートパイプアセンブリ466の動作温度に従って選択され得る。空洞内は部分真空状態であるため、空洞608内の作動流体612の一部は液相であり、作動流体612の残りの部分は気相である。
ヒートパイプアセンブリ466は、第1端部で冷却されるターゲットと熱的に接触するように構成された高温境界面602と、高温境界面602の反対側の第2端部でヒートシンクと熱的に接触するように構成された低温境界面604とを有し得る。任意選択で、ウィック構造610を、ケーシング606の内側に並べて、空洞608を囲んでもよい。ウィック構造610を構成して、低温境界面604で作動流体612の液面に毛細管圧力を加え、作動流体612を高温境界面602へ毛管作用によって運ぶ。
ヒートパイプアセンブリ466は熱交換装置であり、この熱交換装置は、熱伝導と相転移の両方の原理を組み合わせて、高温境界面602と低温境界面604の間の熱の移動が効率的に行われるようにする。ヒートパイプ内の高温境界面602で、ケーシング606と接触している作動流体612の液体は、熱源から熱を吸収することにより蒸気に変わる。この熱源は、高温境界面602と熱的に接触している。蒸気は、低温境界面604で凝縮して液体に戻り、低温境界面と熱的に接触しているヒートシンクへ向けて潜熱を放出する。次いで、液体は、ウィック構造610の毛管作用、遠心力、又は重力作用のいずれかによって高温境界面602へ戻る。このサイクルが繰り返される。
一実施態様では、図4に示すように、ヒートパイプアセンブリ466の高温境界面602は、冷却される表面である天板430及び底板440の少なくとも一方と熱的に接触し、低温境界面604は、熱電モジュール464と、ヒートシンクとして機能するチルプレート420とに熱的に接触している。
図7A〜7Dは、構成要素の様々な表面700a〜700dの概略図を示しており、これらの構成要素は、本開示の1つ以上の実施態様による熱制御装置を使用した温度制御を受ける。各表面700a〜700dは、複数のセグメント又はピクセルに分割される。各セグメントを、例えば、熱制御装置460などの熱制御装置と接続することで、各表面700a〜700dの各温度制御領域のセグメント化温度制御が可能になる。
表面700a〜700dは、ガス分配プレート、静電チャック(例えば、ウェハ支持面)、又はチャンバ壁の表面であってもよい。一実施態様では、表面700a〜700dは、例えば図4に示されるようなガス分配フェースプレート450の表面などの、ガス分配フェースプレートの表面の様々な設計を表している。別の一実施態様では、表面700a〜700dは、例えば図2に示される静電チャック242などの、チャックのウェハ支持面の様々な設計を表している。別の一実施態様では、表面700a〜700dは、例えば図2に示されるような上壁232、側壁234、及び底壁236のいずれかなどの、チャンバ壁の表面の様々な設計を表している。
図7Aは、表面700aの一実施態様の概略図を示しており、この表面は、本開示の1つ以上の実施態様による熱制御装置を使用した温度制御を受け得る。表面700aは、中央領域702と、中間内側領域704と、中間領域706と、中間外側領域708と、外側領域710とを含む複数の同心領域を備える。各領域は、複数のセグメント又はピクセルに分割されて、これらはそれぞれ、本明細書に記載の熱制御装置を使用した独立熱制御を受ける。表面700aは48個のセグメントを含む。
図7Bは、表面700bの別の一実施態様の概略図を示しており、この表面は、本開示の1つ以上の実施態様による熱制御装置を使用した温度制御を受け得る。表面700aと同様に、表面700bも複数の同心領域を含む。表面700aは複数の同心領域を備え、この同心領域は、中央領域712と、中間内側領域714と、中間領域716と、中間外側領域718と、外側領域720とを含む。各領域は、複数のセグメント又はピクセルに分割されて、これらはそれぞれ、本明細書に記載の熱制御装置を使用した独立熱制御を受ける。表面700aは、32個のセグメントを含む。
図7Cは、表面700cの別の一実施態様の概略図を示しており、この表面は、本開示の1つ以上の実施態様による熱制御装置を使用した温度制御を受け得る。表面700cは、複数のピクセル又は六角形セグメント730に分割される。各ピクセル又は六角形セグメント730は、本明細書に記載の熱制御装置を使用した独立熱制御を受ける。
図7Dは、表面700cの別の一実施態様の概略図を示しており、この表面は、本開示の1つ以上の実施態様による熱制御装置を使用した温度制御を受け得る。表面700dは、XY様式で複数のセグメント又はピクセル740に分割される。各セグメント又はピクセル740は、本明細書に記載の熱制御装置を使用した独立熱制御を受ける。
図8は、方法800の一実施態様の処理フロー図であり、この方法は、本開示の1つ以上の実施態様によるインサイチュ温度制御のための方法である。いくつかの実施態様では、方法800は、例えば図1に示される処理システム100などの処理システムで実行される。方法800は、改善された温度制御の恩恵を受ける他のシステムで実行され得る。方法800を、ウェハのバッチ処理の間に実行してもよい。例えば、500枚のウェハのバッチを実行する場合、測温ディスクを、ユーザーが選択した任意の枚数のウェハの後の一枚のウェハと置き換えてもよい。
動作810では、測温ディスクが処理チャンバに搬送される。いくつかの実施態様では、測温ディスクは、真空を破らずに処理チャンバの処理領域に搬送される。測温ディスクは、処理チャンバ内の表面を赤外線ベースで撮像するように構成されたカメラ310を有する測温ディスク300であってもよい。撮像される表面には、温度制御が望ましい表面が含まれる。通常、撮像される表面には、シャワーヘッドアセンブリの表面のうちの少なくとも1つ、チャンバの壁(例えば、側壁、底壁、及び天井を含む処理チャンバの内面)、及び基板支持アセンブリ(例えば、静電チャック)の露出した表面が含まれる。いくつかの実施態様では、処理チャンバの処理領域内の少なくとも1つのチャンバ表面の少なくとも1つの領域の温度を測定するために、測温ディスクを使用してその少なくとも1つの表面を撮像する。例えば、図2を参照すると、前面320のカメラ310は、シャワーヘッドアセンブリ260及び側壁234の表面を撮像し得る。他方、背面330のカメラ310は、静電チャック242及び側壁234の表面を撮像し得る。測温ディスクが捉えた赤外線画像を、制御システム(例えば、制御システム220)に無線で転送してもよい。
動作820で、捉えた撮像表面の赤外線画像を分析して、撮像表面の領域が処理温度の仕様範囲内にあるかどうかを判断する。捉えた赤外線画像を使用して、撮像表面の測定温度分布を作成し得る。測定温度分布を、温度の仕様範囲と比較してもよい。処理温度の仕様範囲を、望ましい結果を達成している既に実行された処理のための望ましい温度の範囲に基づいて設定してもよい。温度分布が、表面のすべての領域が望ましい温度の範囲内であることを示している場合、動作830で、方法800が終了し、チャンバ内の基板処理は継続する。
測定温度分布が、表面の1つ以上の領域が望ましい温度の範囲外であることを示している場合、動作840で、方法800は動作850へ進み、撮像表面の温度調整が実行される。特定の領域の測定温度が望ましい温度の範囲を下回っている場合、この領域はコールドスポットとして識別される。測定温度が特定の領域の望ましい温度範囲を超えている場合、この領域はホットスポットとして識別される。
動作860では、測定温度分布は、基準温度分布と比較され、この基準温度分布は、望ましい処理温度に基づいて決定されている。いくつかの実施態様では、基準温度分布は、ルックアップテーブル又はその他のアルゴリズム的手法に含まれている。ルックアップテーブルを、制御システム220に保存してもよい。測定温度分布を、基準温度分布と比較して、温度分布図を作成する。温度制御図から、局所のコールドスポット及び/又はホットスポットがある領域が特定される。
動作870で、温度制御図に基づいて、個々の熱電モジュールを作動させ、コールドスポット又はホットスポットとして識別された各領域の温度を上げる又は下げることを行ってもよい。例えば、追加の電圧を熱電モジュール464に印加して、熱の汲み上げを増やしてもよい。温度分布図が示すホットスポット又はコールドスポットの位置に応じて、電極とチャンバ表面の温度をピクセルレベルで調整(増減)して、より均一な温度分布を生成し得る。
撮像表面の温度が望ましい温度の仕様内に入った後に、基板処理を続行してもよい。
要約すると、本開示の利点のいくつかは、処理チャンバを通気せずに処理チャンバ内部のインサイチュ温度測定を行うための装置及び方法を含む。本明細書で説明する実施態様のいくつかにより、ピクセルレベルで温度レベルを測定及び調整する機能が提供されて、より均一な温度分布を生成する。このより均一な温度分布により、チャンバ表面に存在するホットスポット及びコールドスポットが減少して、この結果、次にはコールドスポットへのポリマーの付着が減少し、こうして、エッチング速度が維持され、プロファイル制御の問題が軽減される。さらに、本明細書で説明する実施態様のいくつかを、現在利用可能なハードウェア及びシステム構成を使用して実行し得る。
本開示の諸要素又はそれらの例示的な態様若しくは実施態様を導入するとき、冠詞「1」、「1つ」、「その」及び「前記」は、1つ以上の要素があることを意味するものとする。
「備える」、「含む」及び「有する」という用語は、包括的であることを意図しており、記載した要素以外の追加の要素が存在し得ることを意味するものとする。
上記は本開示の実施態様を対象としているが、本開示の他のさらなる実施態様を、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. シャワーヘッドアセンブリであって、
    貫通する複数の開口を有する第1電極と、
    第1電極の第1下部主表面に取り付けられたガス分配プレートであって、処理ガスを処理チャンバへ供給するための複数の貫通穴を備え、複数の温度制御領域に分割されたガス分配プレートと、
    温度制御を提供するために、第1電極の上方に配置されたチルプレートと、
    シャワーヘッドアセンブリ内の熱の移動を管理するための複数の熱制御装置であって、複数の熱制御装置のうちの少なくとも1つの熱制御装置は、
    熱電モジュールと、
    熱電モジュールに連結されたヒートパイプアセンブリとを備え、
    複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供している熱制御装置とを備えるシャワーヘッドアセンブリ。
  2. チルプレートと第1電極との間に配置された第2電極をさらに備える、請求項1に記載のシャワーヘッドアセンブリ。
  3. 第2電極は、熱制御装置のヒートパイプアセンブリの一部をそれぞれ収容するための複数の第1貫通穴を有している、請求項2に記載のシャワーヘッドアセンブリ。
  4. 第2電極は、処理ガスを処理チャンバ内へ供給するための複数の第2貫通穴を有している、請求項3に記載のシャワーヘッドアセンブリ。
  5. 第1電極は、熱制御装置のヒートパイプアセンブリの一部をそれぞれ収容するための複数の穴を有している、請求項3に記載のシャワーヘッドアセンブリ。
  6. 第1電極は、処理ガスを処理チャンバ内へ供給するための複数の第2穴をさらに有している、請求項5に記載のシャワーヘッドアセンブリ。
  7. 熱電モジュールは、
    ヒートシンクプレートと、
    第1導電層と、
    n型熱電材料と、
    p型熱電材料と、
    第2導電層と、
    冷却プレートとを備えている、請求項3に記載のシャワーヘッドアセンブリ。
  8. ヒートシンクプレートは、チルプレートに隣接して配置され、チルプレートへ熱を放出し、
    冷却プレートは、ヒートパイプアセンブリに隣接して配置されている、請求項7に記載のシャワーヘッドアセンブリ。
  9. 処理チャンバであって、
    処理容積を画定する上壁、側壁、及び底壁を有するチャンバ本体と、
    処理容積内に配置された基板支持アセンブリと、
    基板支持アセンブリに対向して配置されたシャワーヘッドアセンブリであって、
    貫通する複数の開口を有する第1電極と、
    第1電極の第1下部主表面に取り付けられたガス分配プレートであって、処理ガスを処理容積へ供給するための複数の貫通穴を備え、複数の温度制御領域に分割されたガス分配プレートと、
    温度制御を提供するために、第1電極の上方に配置されたチルプレートと、
    シャワーヘッドアセンブリ内の熱の移動を管理するための複数の熱制御装置であって、複数の熱制御装置のうちの少なくとも1つの熱制御装置は、
    熱電モジュールと、
    熱電モジュールに連結されたヒートパイプアセンブリとを備え、
    複数の熱制御装置の各々は、温度制御領域に関連付けられ、その関連する温度制御領域に独立した温度制御を提供している熱制御装置とを備えるシャワーヘッドアセンブリとを備える処理チャンバ。
  10. チルプレートと第1電極との間に配置された第2電極をさらに備える、請求項9に記載の処理チャンバ。
  11. 第2電極は、
    熱制御装置のヒートパイプアセンブリの一部をそれぞれ収容するための複数の第1貫通穴と、
    処理ガスを処理容積内へ供給するための複数の第2貫通穴とを有している、請求項10に記載の処理チャンバ。
  12. 第1電極は、
    熱制御装置のヒートパイプアセンブリの一部をそれぞれ収容する複数の穴と、
    処理ガスを処理容積内へ供給するための複数の貫通穴とを有している、請求項9に記載の処理チャンバ。
  13. 熱電モジュールは、
    ヒートシンクプレートと、
    第1導電層と、
    n型熱電材料と、
    p型熱電材料と、
    第2導電層と、
    冷却プレートとを備えている、請求項9に記載の処理チャンバ。
  14. ヒートシンクプレートは、チルプレートに隣接して配置され、チルプレートへ熱を放出し、
    冷却プレートは、ヒートパイプアセンブリに隣接して配置されている請求項13に記載の処理チャンバ。
  15. 処理容積内に配置された測温ディスクであって、測温ディスクは、
    ディスク状の本体であって、
    300ミリメートルの直径と、
    前面と、
    前面の反対側の背面とを有する本体と、
    前面と背面のうちの少なくとも一方に配置された1台以上のカメラであって、赤外線ベースの撮像を実行するように構成された1台以上のカメラとを備えている測温ディスクをさらに備える、請求項9に記載の処理チャンバ。
JP2019555973A 2017-06-19 2018-05-02 インサイチュの半導体処理チャンバ温度装置 Active JP7186719B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022189819A JP2023029910A (ja) 2017-06-19 2022-11-29 インサイチュの半導体処理チャンバ温度装置

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762521879P 2017-06-19 2017-06-19
US62/521,879 2017-06-19
US15/964,296 US20180366354A1 (en) 2017-06-19 2018-04-27 In-situ semiconductor processing chamber temperature apparatus
US15/964,296 2018-04-27
PCT/US2018/030726 WO2018236472A1 (en) 2017-06-19 2018-05-02 SEMI-CONDUCTOR CHAMBER TEMPERATURE APPARATUS IN SITU

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022189819A Division JP2023029910A (ja) 2017-06-19 2022-11-29 インサイチュの半導体処理チャンバ温度装置

Publications (3)

Publication Number Publication Date
JP2020524393A true JP2020524393A (ja) 2020-08-13
JP2020524393A5 JP2020524393A5 (ja) 2021-07-26
JP7186719B2 JP7186719B2 (ja) 2022-12-09

Family

ID=64658296

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019555973A Active JP7186719B2 (ja) 2017-06-19 2018-05-02 インサイチュの半導体処理チャンバ温度装置
JP2022189819A Pending JP2023029910A (ja) 2017-06-19 2022-11-29 インサイチュの半導体処理チャンバ温度装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022189819A Pending JP2023029910A (ja) 2017-06-19 2022-11-29 インサイチュの半導体処理チャンバ温度装置

Country Status (6)

Country Link
US (2) US20180366354A1 (ja)
JP (2) JP7186719B2 (ja)
KR (2) KR20230035698A (ja)
CN (1) CN110352479B (ja)
TW (2) TW202322254A (ja)
WO (1) WO2018236472A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022053930A (ja) * 2020-09-25 2022-04-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102198929B1 (ko) * 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
US10819905B1 (en) * 2019-09-13 2020-10-27 Guangdong Media Kitchen Appliance Manufacturing Co., Ltd. System and method for temperature sensing in cooking appliance with data fusion
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN113745082B (zh) * 2020-05-28 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其加热装置与工作方法
CN112259550A (zh) * 2020-10-21 2021-01-22 长江存储科技有限责任公司 半导体器件的刻蚀方法及刻蚀装置
US20220270865A1 (en) * 2021-02-25 2022-08-25 Kurt J. Lesker Company Pressure-Induced Temperature Modification During Atomic Scale Processing
CN117594413A (zh) * 2024-01-17 2024-02-23 专心护康(厦门)科技有限公司 一种用于等离子表面处理的加热装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000508119A (ja) * 1996-03-29 2000-06-27 ラム リサーチ コーポレイション 温度制御された半導体の基板ホルダ
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
JPWO2005024928A1 (ja) * 2003-09-03 2007-11-08 東京エレクトロン株式会社 ガス処理装置および放熱方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
DE4039007A1 (de) * 1989-12-06 1991-06-13 Hitachi Ltd Infrarottemperaturmessgeraet, eichverfahren fuer das geraet, infrarottemperaturbildmessmethode, geraet zur messung desselben, heizgeraet mit messgeraet, verfahren zur steuerung der erwaermungstemperatur, und vakuumbedampfungsgeraet mit infrarotem temperaturmessgeraet
FR2743153B1 (fr) * 1995-12-29 1998-03-27 Brun Michel Hublot de visee, notamment pour controle de la temperature d'objets par thermographie infrarouge
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20020162339A1 (en) * 2001-05-04 2002-11-07 Harrison Howard R. High performance thermoelectric systems
JP2005024928A (ja) 2003-07-02 2005-01-27 Fujikura Ltd 光部品
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005188970A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 熱型赤外線固体撮像装置および赤外線カメラ
DE102004057215B4 (de) * 2004-11-26 2008-12-18 Erich Reitinger Verfahren und Vorrichtung zum Testen von Halbleiterwafern mittels einer Sondenkarte unter Verwendung eines temperierten Fluidstrahls
JP5068471B2 (ja) * 2006-03-31 2012-11-07 東京エレクトロン株式会社 基板処理装置
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
TW200913798A (en) * 2007-09-14 2009-03-16 Advanced Display Proc Eng Co Substrate processing apparatus having electrode member
JP2009278345A (ja) * 2008-05-14 2009-11-26 Mitsubishi Electric Corp 赤外線撮像素子
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP2010135450A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 電極部材及びこれを含む基板処理装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
KR101897604B1 (ko) * 2010-04-28 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
CN101935750B (zh) * 2010-09-27 2012-06-20 辽宁衡业高科新材股份有限公司 高性能钢板热处理机组生产工艺
WO2013169874A1 (en) * 2012-05-08 2013-11-14 Sheetak, Inc. Thermoelectric heat pump
US8901518B2 (en) * 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
US9222842B2 (en) * 2013-01-07 2015-12-29 Kla-Tencor Corporation High temperature sensor wafer for in-situ measurements in active plasma
CN203233503U (zh) * 2013-03-11 2013-10-09 陈仲璀 一体化红外成像在线测温装置
JP6153749B2 (ja) * 2013-03-22 2017-06-28 株式会社Screenホールディングス 温度測定装置、温度測定方法および熱処理装置
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
CN105283973B (zh) * 2013-09-27 2018-05-08 京瓷株式会社 热电模块
JP6002262B2 (ja) * 2015-03-13 2016-10-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN106769163B (zh) * 2017-03-14 2023-04-07 常州市环境监测中心 一种用于地下管道采样检测的无人机

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000508119A (ja) * 1996-03-29 2000-06-27 ラム リサーチ コーポレイション 温度制御された半導体の基板ホルダ
JPWO2005024928A1 (ja) * 2003-09-03 2007-11-08 東京エレクトロン株式会社 ガス処理装置および放熱方法
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022053930A (ja) * 2020-09-25 2022-04-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7364547B2 (ja) 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
KR20200010180A (ko) 2020-01-30
KR102506497B1 (ko) 2023-03-06
JP2023029910A (ja) 2023-03-07
US20180366354A1 (en) 2018-12-20
TW201906047A (zh) 2019-02-01
US20220076972A1 (en) 2022-03-10
WO2018236472A1 (en) 2018-12-27
JP7186719B2 (ja) 2022-12-09
CN110352479B (zh) 2024-05-10
CN110352479A (zh) 2019-10-18
KR20230035698A (ko) 2023-03-14
TWI815810B (zh) 2023-09-21
TW202322254A (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
JP7186719B2 (ja) インサイチュの半導体処理チャンバ温度装置
US10879053B2 (en) Temperature controlled substrate support assembly
US11302556B2 (en) Apparatus for spatial and temporal control of temperature on a substrate
US10781518B2 (en) Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US8110045B2 (en) Processing equipment for object to be processed
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
US20060242967A1 (en) Termoelectric heating and cooling apparatus for semiconductor processing
US20230377958A1 (en) Cluster processing system for forming a metal containing material
CN107533999B (zh) 用于晶片处理系统的热管理系统及方法
JPH10223621A (ja) 真空処理装置
US6508062B2 (en) Thermal exchanger for a wafer chuck
US9960060B2 (en) Platen assembly
KR20240031376A (ko) 최소 rf 손실을 갖는 다중 존 히터
CN116649015A (zh) 用于翘曲校正的方法和设备
JP2023546605A (ja) 半導体処理のための高温損失ヒータ及び静電チャック
WO2022174919A1 (en) Substrate support, method of processing a substrate, and processing system

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210504

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210503

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220419

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221129

R150 Certificate of patent or registration of utility model

Ref document number: 7186719

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150