KR20200010180A - 인-시튜 반도체 프로세싱 챔버 온도 장치 - Google Patents

인-시튜 반도체 프로세싱 챔버 온도 장치 Download PDF

Info

Publication number
KR20200010180A
KR20200010180A KR1020197028547A KR20197028547A KR20200010180A KR 20200010180 A KR20200010180 A KR 20200010180A KR 1020197028547 A KR1020197028547 A KR 1020197028547A KR 20197028547 A KR20197028547 A KR 20197028547A KR 20200010180 A KR20200010180 A KR 20200010180A
Authority
KR
South Korea
Prior art keywords
temperature
electrode
thermal control
showerhead assembly
processing chamber
Prior art date
Application number
KR1020197028547A
Other languages
English (en)
Other versions
KR102506497B1 (ko
Inventor
앤드류 응우옌
요가난다 사로데
쑤에 창
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237007218A priority Critical patent/KR20230035698A/ko
Publication of KR20200010180A publication Critical patent/KR20200010180A/ko
Application granted granted Critical
Publication of KR102506497B1 publication Critical patent/KR102506497B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/10Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects
    • H10N10/13Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects characterised by the heat-exchanging means at the junction

Abstract

일 구현에서, 샤워헤드 조립체가 제공된다. 샤워헤드 조립체는 복수의 개구들이 통해 있는 제1 전극, 및 전극의 제1 하부 주 표면에 부착된 가스 분배 페이스플레이트를 포함한다. 가스 분배 플레이트는 프로세싱 챔버에 프로세스 가스들을 전달하기 위한 복수의 스루-홀들을 포함한다. 가스 분배 플레이트는 복수의 온도-제어 구역들로 분할된다. 샤워헤드 조립체는 온도 제어를 제공하기 위해 전극 위에 포지셔닝된 칠 플레이트, 및 샤워헤드 조립체 내의 열 전달을 관리하기 위한 복수의 열 제어 디바이스들을 더 포함한다. 열 제어 디바이스는 열전 모듈, 및 열전 모듈과 커플링된 열 파이프 조립체를 포함한다. 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공한다.

Description

인-시튜 반도체 프로세싱 챔버 온도 장치
[0001] 본원에서 설명되는 구현들은 일반적으로, 반도체 프로세싱에 관한 것으로, 더 구체적으로, 반도체 프로세싱 챔버의 내부에 대한 인-시튜 온도 측정을 위한 장치들 및 방법들에 관한 것이다.
[0002] 반도체 디바이스들은 일반적으로, 일련의 프로세스들에 의해 제작되며, 그 일련의 프로세스들에서, 기판의 표면 상에 층들이 증착되고, 그리고 증착된 재료가 원하는 패턴들로 에칭된다. 반도체 디바이스 기하형상들이 축소됨에 따라, 이들 프로세스들 동안의 정밀한 프로세스 제어가 점점 더 중요하게 되고 있다.
[0003] 반도체 프로세싱을 위한 챔버들, 이를테면 에칭 챔버들에서 개선된 수율 및 높은 처리량을 갖는 반복가능한 반도체 제조를 달성하기 위해 온도 제어가 특히 중요하다. 정밀한 제조 기법들은 작은 프로세스 윈도우들을 가지며, 용인가능 프로세스 제어 허용오차들을 벗어나는 약간의 변동들만으로도 치명적인 양의 제품 결함들을 초래할 수 있다. 예컨대, 샤워헤드 조립체, 척 표면, 또는 챔버 측벽들의 온도가 너무 낮은 경우, 이들 콜드 스폿(cold spot)들 상에 폴리머가 증착될 리스크가 증가되며, 이는 에칭 측벽 프로파일들을 바람직하지 않게 변경할 수 있다. 예컨대, 샤워헤드 조립체의 온도가 너무 높은 경우, 샤워헤드 조립체의 페이스플레이트 상의 막들이 균열되고 박편화되어 떨어질 리스크가 증가되며, 이는 기판 상의 결함들을 야기할 수 있다. 게다가, 가스 분배 조립체, 챔버 측벽들, 및 척 표면을 포함하는 챔버 프로세싱 표면들의 온도 드리프트(drift)는 또한, 바람직하지 않게, 프로세싱 결과들이 기판마다 변화되게 할 것이다.
[0004] 따라서, 반도체-프로세싱 챔버에서 내부 챔버 컴포넌트들 및 챔버 표면들의 온도를 모니터링하기 위한 개선된 방법 및 장치가 필요하다.
[0005] 본원에서 설명되는 구현들은 일반적으로, 반도체 프로세싱에 관한 것으로, 더 구체적으로, 반도체 프로세싱 챔버의 내부에 대한 인-시튜 온도 측정을 위한 장치들 및 방법들에 관한 것이다. 일 구현에서, 샤워헤드 조립체가 제공된다. 샤워헤드 조립체는 복수의 개구들이 통해 있는 제1 전극, 및 전극의 제1 하부 주 표면에 부착된 가스 분배 페이스플레이트를 포함한다. 가스 분배 플레이트는 프로세싱 챔버에 프로세스 가스들을 전달하기 위한 복수의 스루-홀들을 포함한다. 가스 분배 플레이트는 복수의 온도-제어 구역들로 분할된다. 샤워헤드 조립체는 온도 제어를 제공하기 위해 전극 위에 포지셔닝된 칠 플레이트(chill plate), 및 샤워헤드 조립체 내의 열 전달을 관리하기 위한 복수의 열 제어 디바이스들을 더 포함한다. 열 제어 디바이스는 열전 모듈, 및 열전 모듈과 커플링된 열 파이프 조립체를 포함한다. 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공한다.
[0006] 다른 구현에서, 온도-감지 디스크가 제공된다. 온도-감지 디스크는 디스크-형상 바디를 포함한다. 디스크-형상 바디는 300 밀리미터의 직경, 전방 표면, 및 전방 표면과 대향하는 후방 표면을 갖는다. 온도-감지 디스크는 전방 표면과 후방 표면 중 적어도 하나 상에 포지셔닝된 하나 이상의 카메라들을 더 포함하며, 여기서, 하나 이상의 카메라들은 IR-기반 이미징을 수행하도록 구성된다.
[0007] 또 다른 구현에서, 프로세싱 챔버가 제공된다. 프로세싱 챔버는 프로세싱 볼륨을 정의하는, 상단 벽, 측벽, 및 바닥 벽을 갖는 챔버 바디를 포함한다. 프로세싱 챔버는 프로세싱 볼륨에 포지셔닝된 기판 지지 조립체, 및 기판 지지부와 대향하여 포지셔닝된 샤워헤드 조립체를 더 포함한다. 샤워헤드 조립체는 복수의 개구들이 통해 있는 제1 전극, 및 전극의 제1 하부 주 표면에 부착된 가스 분배 페이스플레이트를 포함한다. 가스 분배 플레이트는 프로세싱 챔버에 프로세스 가스들을 전달하기 위한 복수의 스루-홀들을 포함한다. 가스 분배 플레이트는 복수의 온도-제어 구역들로 분할된다. 샤워헤드 조립체는 온도 제어를 제공하기 위해 금속 전극 위에 포지셔닝된 칠 플레이트, 및 샤워헤드 조립체 내의 열 전달을 관리하기 위한 복수의 열 제어 디바이스들을 더 포함한다. 복수의 열 제어 디바이스들은 각각, 열전 모듈, 및 열전 모듈과 커플링된 열 파이프 조립체를 포함하며, 여기서, 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공한다.
[0008] 또 다른 구현에서, 기판 지지 조립체가 제공된다. 기판 지지 조립체는 기판을 지지하기 위한 상부 표면을 포함하며, 여기서, 상부 표면은, 기판 지지 조립체 내의 열 전달을 관리하기 위해, 복수의 온도-제어 구역들 및 복수의 열 제어 디바이스들로 분할된다. 각각의 열 제어 디바이스는 열전 모듈, 및 열전 모듈과 커플링된 열 파이프 조립체를 포함한다. 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공한다.
[0009] 또 다른 구현에서, 방법이 제공된다. 방법은, 진공을 파괴시키지 않으면서, 프로세싱 챔버의 프로세싱 구역 내로 온도-감지 디스크를 전달하는 단계를 포함한다. 온도-감지 디스크는 IR-기반 이미징을 수행하도록 구성된 하나 이상의 카메라들을 포함한다. 방법은, 온도-감지 디스크를 사용하여 적어도 하나의 표면을 이미징함으로써, 프로세싱 챔버의 프로세싱 구역 내의 적어도 하나의 챔버 표면의 적어도 하나의 구역의 온도를 측정하는 단계를 더 포함한다. 방법은 온도 차이를 결정하기 위해, 측정된 온도를 원하는 온도와 비교하는 단계를 더 포함한다. 방법은 온도 차이를 보상하기 위해 적어도 하나의 챔버 표면의 온도를 조정하는 단계를 더 포함한다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 구현들의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 하나 이상의 구현들에 따른 프로세싱 시스템의 예의 평면도이다.
[0012] 도 2는 본 개시내용의 하나 이상의 구현들에 따른 프로세싱 챔버의 예의 단면도이다.
[0013] 도 3a는 본 개시내용의 하나 이상의 구현들에 따른 온도-감지 디스크의 일 예의 상면도이다.
[0014] 도 3b는 본 개시내용의 하나 이상의 구현들에 따른, 도 3a의 라인 3B-3B를 따라 취해진, 온도-감지 디스크의 단면도이다.
[0015] 도 4는 본 개시내용의 하나 이상의 구현들에 따른 샤워헤드 조립체의 단면도이다.
[0016] 도 5는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스와 함께 사용될 수 있는 열전 모듈의 단면도이다.
[0017] 도 6은 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스와 함께 사용될 수 있는 열 파이프 조립체의 단면도이다.
[0018] 도 7a 내지 도 7d는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스와 함께 사용될 수 있는 다양한 챔버 표면들의 개략도들을 도시한다.
[0019] 도 8은 본 개시내용의 하나 이상의 구현들에 따른, 인-시튜 온도 제어를 위한 방법의 일 구현의 프로세스 흐름도이다.
[0020] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0021] 다음의 개시내용은 온도 제어를 위한 기법들 및 장치, 및 기판 프로세싱 챔버들을 설명한다. 본 개시내용의 다양한 구현들의 철저한 이해를 제공하기 위해, 특정 세부사항들이 다음의 설명 및 도 1 내지 도 8에서 제시된다. 에칭 프로세스들, 증착 프로세스들, 및 온도 제어와 종종 연관되는 잘-알려져 있는 구조들 및 시스템들을 설명하는 다른 세부사항들은 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해 다음의 개시내용에서 제시되지 않는다.
[0022] 도면들에 도시된 세부사항들, 치수들, 각도들, 및 다른 특징들 중 다수는 단지 특정 구현들의 예시일 뿐이다. 따라서, 본 개시내용의 사상 또는 범위로부터 벗어나지 않으면서, 다른 구현들이 다른 세부사항들, 컴포넌트들, 치수들, 각도들, 및 특징들을 가질 수 있다. 부가하여, 본 개시내용의 추가적인 구현들은 아래에서 설명되는 세부사항들 중 몇몇 없이도 실시될 수 있다.
[0023] 본원에서 설명되는 구현들은 임의의 적합한 박막 증착 또는 에칭 시스템을 사용하여 수행될 수 있는 온도 제어 프로세스를 참조하여 아래에서 설명될 것이다. 적합한 시스템들의 예들은, CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GTTM 시스템들, PRODUCER® XP PrecisionTM 시스템들, PRODUCER® SETM 시스템들, Sym3® 프로세싱 챔버, 및 MesaTM 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능하다. 인-시튜 온도 제어 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명되는 구현들로부터 이익을 얻도록 적응될 수 있다. 부가하여, 본원에서 설명되는 인-시튜 온도 제어 프로세스들을 가능하게 하는 임의의 시스템이 이익을 얻기 위해 사용될 수 있다. 본원에서 설명되는 장치 설명은 예시적인 것이며, 본원에서 설명되는 구현들의 범위를 제한하는 것으로 해석 또는 이해되지 않아야 한다.
[0024] 본 개시내용의 일부 구현들은 일반적으로, 에칭 프로세스 챔버 내의 샤워헤드 챔버 표면들(예컨대, 상단 전극, 바닥 전극, 및 챔버 측벽)의 가열 또는 냉각의 불-균일성의 문제를 해소하기 위한, 반도체 플라즈마 에칭 챔버 기술 및 하드웨어 제어 시스템들에 관한 것이다.
[0025] 기술 노드들이 진보하고 피처 사이즈들이 감소됨에 따라, RF, 가스 유동, 및 열 제어의 정밀한 제어는, 디바이스 성능으로 웨이퍼 상 균일성을 달성하고, 웨이퍼당 더 낮은 비용으로 반도체 프로세싱에 대한 개선된 수율을 달성하는 것을 도울 것이다. 프로세스 애플리케이션 요건들 및 에칭에 사용되는 케미스트리(chemistry)에 기초하여, 챔버 표면들(예컨대, 샤워헤드 조립체, 정전 척, 및 챔버 벽들)에 걸친 균일하고 안정된 가열 또는 냉각은 반복가능한 프로세스 결과들을 달성하는 데 있어서 가장 중요하다. 플라즈마 에칭이 샤워헤드 조립체의 노출된 표면 상에 열을 생성하기 때문에, 샤워헤드 조립체 및 다른 챔버 표면들의 온도를 제어하는 것은 표면 상의 핫(hot) 또는 콜드 스폿들을 방지하는 데 있어서 중요하다. 이들 핫 및 콜드 스폿들은 콜드 스폿들 상의 폴리머 접착을 초래할 수 있으며, 이는 더 느린 에칭 레이트들 및 프로파일 제어 문제들을 야기한다. 이러한 문제는, 더 높은 종횡비 피처들 및 다른 1x nm 노드 크리티컬 애플리케이션(1x nm node critical application)들을 에칭하는 경우에 악화된다.
[0026] 반도체 플라즈마 프로세싱 하드웨어는 전형적으로, 펌핑 시스템을 갖는 고 진공 챔버를 포함한다. 대개, 프로세싱되는 막 및 애플리케이션에 따라, 샤워헤드 및 가스 분배를 갖는 상단 소스/전극이 사용되며, 실리콘 웨이퍼들은 플라즈마 프로세싱 동안 진공 챔버 내부에서 정전 척에 정전기적으로 클램핑되어 있으면서 에칭된다. 특정 범위 내에 프로세스 균일성 및 임계 치수("CD") 변동을 유지하기 위해, 전기(AC/DC/RF) 제어, 가스 유동 제어, 및 열 균일성이 중요하다. 본 개시내용의 일부 구현들은, 온도를 인-시튜 튜닝하기 위해 사용되는 폐쇄 루프 제어 시스템을 포함하는, 샤워헤드 조립체 및 다른 챔버 표면들의 구역 가능 가열 및 냉각(zone enabled heating and cooling)을 유지하기 위한 장치 및 방법들을 제공한다.
[0027] 본 개시내용의 일부 구현들에서, 프로세싱 챔버 내의 하나 이상의 표면들의 온도를 모니터링하기 위해, 웨이퍼-사이즈 디스크(진공 양립가능 재료) 상에 매립된 IR 카메라가 사용된다. 일부 구현들에서, 디스크의 상단 표면과 바닥 표면 중 적어도 하나 상에 매립된 카메라들(예컨대, 나노-카메라들)은, 프로세스 챔버를 통기(vent)시키지 않으면서, 상단 전극(예컨대, 샤워헤드 조립체) 및 바닥 전극(예컨대, 정전 척), 및 챔버 벽의 IR-기반 이미징을 가능하게 한다. 추가로, 디스크는, 프로세스 챔버를 통기시키지 않으면서, FOUP 내의 저장된 위치로부터 이송 챔버로, 그리고 최종적으로는 프로세스 챔버로 이동할 수 있다. 부가하여, 프로세스 챔버 내부로 디스크를 이송하기 위해 기존의 플랫폼 로봇이 사용될 수 있다. 프로세스 챔버 내부에 있을 때, 상단 및 바닥 전극들 둘 모두를 이미징하도록 디스크를 포지셔닝하기 위해, 기존의 웨이퍼 리프트 핀들이 사용될 수 있다. 이미지 데이터는, 전극 및 챔버 표면들의 온도 프로파일 맵을 생성하기 위해, 외부 디바이스 및 제어 시스템으로 무선으로 전송될 수 있다. 온도 맵에 의패 표시된 바와 같은 핫 또는 콜드 스폿들의 위치에 따라, 더 균일한 온도 프로파일을 생성하기 위해, 전극 및 챔버 표면들의 온도가 픽셀 레벨로 튜닝(증가 또는 감소)될 수 있다.
[0028] 본 개시내용의 일부 구현들에서, 개선된 샤워헤드 조립체 설계가 제공된다. 종래의 샤워헤드 조립체 설계들은 표준 칠 플레이트를 포함하며, 여기서, 칠 플레이트의 전체 영역이 가열 또는 냉각된다. 본 개시내용의 일부 구현들에서, 다수의 구역들 또는 픽셀들을 통해 온도가 제어될 수 있는 샤워헤드 조립체가 제공된다. 전형적인 샤워헤드 설계들은, 수명 및 수율을 증가시키기 위해, 알루미늄 베이스에 본딩된, 전형적으로는 세라믹인 가스 분배 플레이트를 포함한다. 본 개시내용의 일부 구현들에서, 열 파이프들은 열-전달 디바이스의 일부로서 사용되며, 그 열-전달 디바이스는 2개의 솔리드(solid) 인터페이스들(예컨대, 상단 및 바닥 알루미늄 플레이트들) 사이의 열의 전달을 효율적으로 관리하기 위해, 열 전도성과 상 전이 둘 모두의 원리들을 조합한다. 본 개시내용의 일부 구현들은 또한, 일련의 열 파이프들과 연결된 상단 및 바닥 알루미늄-베이스를 갖는 샤워헤드 조립체를 포함한다. 열 파이프들은 픽셀들의 미리-정의된 패턴으로 포지셔닝될 수 있다.
[0029] 본 개시내용의 일부 구현들에서, 샤워헤드 조립체의 각각의 픽셀 엘리먼트 또는 구역은 또한, 열전 엘리먼트(예컨대, p-타입 및 n-타입 엘리먼트들)에 연결된다. 각각의 열전 엘리먼트는 열 파이프와 커플링되어 열 제어 디바이스를 형성한다. 각각의 열 제어 디바이스는 픽셀 또는 구역과 커플링되어, 그 픽셀 또는 구역의 온도를 독립적으로 제어한다. 열전 엘리먼트들은 전기적으로 직렬로 연결되지만 열적으로 병렬로 연결되어 최대 전력 생성 출력을 보장하도록 구성되며, 이는 가역적이고, 그에 따라, 모듈 타입들 둘 모두가 냉각기 또는 생성기로서 작용할 수 있고; 모듈에 전압이 인가되는 경우, 모듈은 열을 펌핑할 것이다. 본 개시내용의 일부 구현들은 또한, 표준 칠 플레이트를 포함하며, 그 표준 칠 플레이트는 신속하게 샤워헤드 조립체의 온도를 전체적으로 가열 및 냉각시키기 위한 열 교환기로서 사용된다. 일부 구현들에서, 핫 또는 콜드 스폿들을 제거하기 위한 샤워헤드 조립체의 온도 튜닝은, 외부 제어 시스템과의 IR-기반 이미징 데이터 및 무선 데이터 교환, 및 온도 튜닝을 위한 픽셀 제어를 사용하여 달성된다.
[0010] 도 1은 본 개시내용의 하나 이상의 구현들에 따른 프로세싱 시스템(100)의 예의 평면도이다. 도 1은 프로세싱 시스템(100)을 통한 온도-감지 디스크(300)의 가능한 이동을 도시한다. 프로세싱 시스템(100)은 일반적으로, 팩토리 인터페이스(105), 온도-감지 디스크(300)를 저장하기 위한 측면 저장 포드(103), 이송 챔버(112), 대기 홀딩 스테이션(atmospheric holding station)(109), 및 복수의 트윈(twin) 프로세싱 챔버들(108a-108b, 108c-108d, 및 108e-108f)을 포함한다. 팩토리 인터페이스(105)는 기판들을 저장 및 홀딩하기 위해 대기압에서 동작하고 있다. 팩토리 인터페이스(105)는 적어도 하나의 대기 로봇(atmospheric robot)(104), 이를테면 듀얼-블레이드 대기 로봇을 포함하고, 그리고 기판들의 하나 이상의 카세트들을 수용하도록 구성된다.
[0011] 팩토리 인터페이스(105)의 제1 측면에 하나 이상의 로드 포트(load port)들이 제공될 수 있다. 일 구현에서, 3개의 로드 포트들이 제공된다. 명료성을 위해, 도 1의 구현에서 2개의 로드 포트들(111, 113)만이 도시된다. 로드 포트(111, 113)는 FOUP(front opening unified pod)(102)로부터, 프로세싱될 기판(예컨대, 300 mm 직경 웨이퍼들)을 수용하도록 적응된다. FOUP(들)(102)는 기판들을 일시적으로 그리고 포터블 방식(portably)으로 저장하도록 구성된 하나 이상의 기판 캐리어들을 갖는다. 로드 락 챔버(106)가 팩토리 인터페이스(105)의 제2 측면(제1 측면과 대향함)에 커플링된다. 로드 락 챔버(106)는 이송 챔버(112)에 커플링되며, 그 이송 챔버(112)에는 복수의 트윈 프로세싱 챔버들(108a-108b, 108c-108d, 및 108e-108f)이 위치된다.
[0012] 기판은 대기 로봇(104)에 의해 FOUP(들)(102)로부터 로드 락 챔버(106)로 이송된다. 로드 락 챔버(106)에 커플링된 이송 챔버(112)에 제2 로봇 암(110)이 배치되어, 기판들을 로드 락 챔버(106)로부터, 이송 챔버(112)에 커플링된 프로세싱 챔버들(108a-108f)로 운송한다. 따라서, 팩토리 인터페이스(105)는 팩토리 인터페이스의 대기 환경과 툴 또는 프로세싱 챔버들의 진공 환경 사이의 전환을 제공한다.
[0013] 프로세싱 챔버들(108a-108f)은 임의의 타입의 프로세싱 챔버들, 예컨대, 화학 기상 증착(CVD) 챔버들, 원자 층 증착(ALD) 챔버들, 물리 기상 증착(PVD) 챔버들, 이온 금속 주입(IMP) 챔버들, 플라즈마 에칭 챔버들, 어닐링 챔버들, 다른 퍼니스 챔버(furnace chamber)들 등일 수 있다. 일 구현에서, 프로세싱 챔버들(108a-108f)은 기판 상의 막을 증착, 어닐링, 경화, 및/또는 에칭하도록 구성된다. 일 구성에서, 3개의 쌍들의 프로세싱 챔버들(예컨대, 108a-108b, 108c-108d, 및 108e-108f)이 기판 상에 막을 증착하기 위해 사용될 수 있다. 원하는 경우, 이들 프로세싱 챔버들(108a-108b, 108c-108d, 및 108e-108f) 중 임의의 챔버, 또는 하나 이상의 부가적인 프로세싱 챔버들이 이송 챔버(112)에 커플링되어, 적용 시, 다른 통상적인 반도체 디바이스 제작 프로세스, 이를테면, 산화, 막 증착, 에칭, 가열, 탈기, 애싱(ashing), 이온 주입, 계측 등을 수행하도록 배열될 수 있다.
[0014] 측면 저장 포드(103)는 슬릿 밸브(103A) 및 온도-감지 디스크(300)를 홀딩하기 위한 챔버 바디(103B)를 포함할 수 있다. 슬릿 밸브(103A)는, 대기 로봇(104)에 의해 챔버 바디(103B)의 내부 구역에 온도-감지 디스크(300)가 포지셔닝된 후에, 챔버 바디(103B)의 내부 구역을 밀봉하기 위해 사용된다.
[0015] 온도-감지 디스크(300)는 대기 로봇(104)에 의해 측면 저장 포드(103)로부터 로드 락 챔버(106)로 이송된다. 로드 락 챔버(106)에 커플링된 이송 챔버(112)에 제2 로봇 암(110)이 배치되어, 온도-감지 디스크(300)를 로드 락 챔버(106)로부터, 온도 모니터링이 수행되는 프로세싱 챔버들(108a-108f)로 운송한다.
[0016] 일부 구현들에서, 온도-감지 디스크(300)는 FOUP(들)(102)에 포지셔닝된다. 온도-감지 디스크(300)는 대기 로봇(104)에 의해 FOUP(들)(102)로부터 로드 락 챔버(106)로 이송된다. 로드 락 챔버(106)에 커플링된 이송 챔버(112)에 제2 로봇 암(110)이 배치되어, 온도-감지 디스크(300)를 로드 락 챔버(106)로부터, 이송 챔버(112)에 커플링된 프로세싱 챔버들(108a-108f)로 운송한다.
[0030] 도 2는 본 개시내용의 하나 이상의 구현들에 따른, 온도-감지 디스크(300)가 내부에 배치되어 있는 프로세싱 챔버(200)의 예의 단면도이다. 프로세싱 챔버(200)는 프로세싱 시스템(100)의 프로세싱 챔버들(108a-f) 중 임의의 프로세싱 챔버일 수 있다. 프로세싱 챔버(200)는 가스 패널(210) 및 제어 시스템(220)과 커플링된다. 프로세싱 챔버(200)는 일반적으로, 챔버 바디(230)를 포함하며, 그 챔버 바디(230)는 상단 벽(232), 측벽(234), 및 바닥 벽(236)을 갖는다. 상단 벽(232), 측벽(234), 및 바닥 벽(236)은 프로세싱 볼륨(238)을 정의한다. 기판 지지 조립체(240)가 프로세싱 챔버(200)의 프로세싱 볼륨(238)에 제공된다. 기판 지지 조립체(240)는 일반적으로, 스템(stem)(244)에 의해 지지된 정전 척(242)을 포함한다. 정전 척(242)은 알루미늄, 세라믹, 및 다른 적합한 재료들로 제작될 수 있다. 정전 척(242)은 변위 메커니즘(미도시)을 사용하여 프로세싱 챔버(200) 내부에서 수직 방향으로 이동될 수 있다.
[0031] 정전 척(242)은 기판을 지지하기 위한 상부 표면(246)을 갖는다. 리프트 핀들(243)이 기판 지지 조립체(240)를 통해 이동가능하게 배치되고, 그리고 기판(존재하는 경우) 또는 온도-감지 디스크(300)를 상부 표면(246)으로부터 이격시키도록 적응된다. 온도-감지 디스크(300)는 모니터링될 표면(들)(예컨대, 정전 척(242)의 상부 표면(246), 샤워헤드 조립체(260)의 표면들, 측벽의 표면들, 상단 벽(232)의 표면들, 및 바닥 벽의 표면들 중 임의의 것)으로부터 적합한 거리에 포지셔닝된다. 일 구현에서, 도 2에 도시된 바와 같이, 온도-감지 디스크(300)는, 온도-감지 디스크(300)가 다수의 표면들을 모니터링할 수 있도록, 리프트 핀들(243)을 사용하여 프로세싱 볼륨(238)에 포지셔닝된다.
[0032] 정전 척(242)은 전도성 재료의 메시(mesh)일 수 있는 척킹 전극(248)을 포함한다. 척킹 전극(248)은 정전 척(242)에 매립될 수 있다. 척킹 전극(248)은 전력 소스(274)와 커플링되어, 에너자이징(energize)되는 경우, 정전 척(242)의 상부 표면(246)에 기판을 정전기적으로 클램핑한다. 전력 소스(274)는 정합 네트워크(276)를 통해 척킹 전극(248)과 커플링될 수 있다.
[0033] 복수의 애퍼처들(262)을 갖는 샤워헤드 조립체(260)가 정전 척(242) 위에서 프로세싱 챔버(200)의 상단에 배치된다. 샤워헤드 조립체(260)의 애퍼처들(262)은 프로세싱 챔버(200) 내에 프로세스 가스들을 도입하기 위해 활용된다. 애퍼처들(262)은 상이한 프로세스 요건들을 위한 다양한 프로세스 가스들의 유동을 가능하게 하기 위해, 상이한 사이즈들, 개수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 샤워헤드 조립체(260)는 프로세싱 동안 다양한 가스들이 프로세싱 볼륨(238)에 공급될 수 있게 하는 가스 패널(210)에 연결된다. 샤워헤드 조립체(260)에서 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성되어, 프로세스 가스들의 열 분해를 향상시킴으로써, 기판(미도시)의 표면 상에서 재료가 에칭 또는 증착되게 한다.
[0034] 샤워헤드 조립체(260) 및 정전 척(242)은 프로세싱 볼륨(238)에서 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 이상의 RF 전력 소스(들)(270)는 선택적인 정합 네트워크(272)를 통해 샤워헤드 조립체(260)에 바이어스 전위를 제공하여, 샤워헤드 조립체(260)와 정전 척(242) 사이의 플라즈마의 생성을 가능하게 한다. 대안적으로, RF 전력 소스(270) 및 정합 네트워크(272)는 샤워헤드 조립체(260) 또는 정전 척(242)에 커플링될 수 있거나, 또는 샤워헤드 조립체(260)와 정전 척(242) 둘 모두에 커플링될 수 있거나, 또는 프로세싱 챔버(200) 외부에 배치된 안테나(미도시)에 커플링될 수 있다.
[0035] 프로세싱 챔버(200)의 바닥 벽(236)에 형성된 포트에 진공 펌프(250)가 커플링된다. 진공 펌프(250)는 프로세싱 챔버(200)에서 원하는 가스 압력을 유지하기 위해 사용된다. 진공 펌프(250)는 또한, 프로세싱 챔버(200)로부터 프로세스의 부산물들 및 프로세싱-후 가스들을 진공배기시킨다.
[0036] 프로세싱 챔버(200)는 챔버 압력을 제어하기 위한 부가적인 장비, 예컨대, 챔버 바디(230)와 진공 펌프(250) 사이에 포지셔닝되어 챔버 압력을 제어하는 밸브들(예컨대, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수 있다.
[0037] 제어 시스템(220)은, 프로세스 시퀀스를 제어하고 가스 패널(210)로부터의 가스 유동들을 조절하는 데 활용되는, 중앙 프로세싱 유닛(CPU)(222), 메모리(226), 및 지원 회로(224)를 포함한다. CPU(222)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(226), 이를테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(224)는 CPU(222)에 통상적으로 커플링되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 프로세싱 챔버(200)의 다양한 컴포넌트들과 제어 시스템(220) 사이의 양방향 통신들은, 신호 버스들(228)이라고 일괄적으로 지칭되는 다수의 신호 케이블들을 통해 핸들링되며, 이들 중 일부가 도 2에 예시된다.
[0038] 도 3a는 본 개시내용의 하나 이상의 구현들에 따른 온도-감지 디스크(300)의 일 예의 상면도이다. 도 3b는 본 개시내용의 하나 이상의 구현들에 따른, 도 3a의 라인 3B-3B를 따라 취해진, 온도-감지 디스크(300)의 단면도이다. 일부 구현들에서, 온도-감지 디스크(300)는 IR-기반 온도 감지 디스크이다. 온도-감지 디스크(300)는 전형적으로, 프로세싱 챔버에 의해 프로세싱되는 웨이퍼들과 유사하게 사이즈가 설정된다. 예컨대, 프로세싱 시스템이 300 mm 사이즈 웨이퍼들을 프로세싱하도록 구성되는 일부 구현들에서, 온도-감지 디스크(300)는 300 mm 웨이퍼 사이즈 디스크와 유사하게 사이즈가 설정된다. 프로세싱 시스템이 200 mm 사이즈 웨이퍼들을 프로세싱하도록 구성되는 일부 구현들에서, 온도-감지 디스크(300)는 200 mm 웨이퍼 사이즈 디스크와 유사하게 사이즈가 설정된다. 프로세싱 챔버에 의해 프로세싱되는 웨이퍼들과 유사하게 온도-감지 디스크(300)의 사이즈를 설정하는 것은, 프로세싱 챔버를 통기시키지 않으면서, 온도-감지 디스크(300)가 그 온도-감지 디스크(300)의 저장 위치(예컨대, FOUP 또는 측면 저장 포드 중 어느 하나)로부터 이송 챔버로 이동하여 최종적으로는 프로세싱 챔버로 이동할 수 있게 한다. 기존의 플랫폼 로봇들이 온도-감지 디스크(300)를 프로세싱 챔버 내로 이송하기 위해 사용될 수 있다. 온도-감지 디스크(300)는 기존의 리프트 핀들을 사용하여 프로세싱 챔버에 포지셔닝될 수 있다. 디스크로서 설명되지만, 온도-감지 디스크(300)는 모니터링될 프로세스 챔버에 따라 다른 형상들을 가질 수 있다.
[0039] 온도-감지 디스크(300)는 임의의 진공 양립가능 재료를 포함할 수 있다. 적합한 재료들은 유전체 재료들 및 실리콘-함유 재료들을 포함한다. 일 구현에서, 온도-감지 디스크(300)는 실리콘-함유 재료로 구성된다. 일부 구현들에서, 온도-감지 디스크(300)는 유전체 재료로 구성된다.
[0040] 온도-감지 디스크(300)는 그 온도-감지 디스크(300) 상에 포지셔닝된 하나 이상의 카메라들(310a-310i)(일괄적으로는 "310")을 갖는다. 하나 이상의 카메라들(310)은 전형적으로, 프로세싱 챔버 내의 표면들의 IR-기반 이미징을 수행하도록 구성된다. 일 구현에서, 카메라들(310)은, 챔버 표면들의 적외선 이미징을 수행하고 적외선 이미지들을 프로세싱 챔버 내부로부터 무선으로 송신하도록 구성된다. 카메라들(310)은 임의의 적합한 부착 방법들을 사용하여 온도-감지 디스크(300)에 부착될 수 있다. 일부 구현들에서, 카메라들(310)은 온도-감지 디스크(300)의 표면들에 글루잉(glue)된다. 일부 구현들에서, 카메라들(310)은 온도-감지 디스크(300)의 바디 내에 부분적으로 매립되거나 또는 완전히 매립된다. 일부 구현들에서, 하나 이상의 카메라들은 나노-카메라들이다.
[0041] 일부 구현들에서, 카메라들(310)은 온도-감지 디스크(300)의 전방 표면(320)과 후방 표면(330) 둘 모두 상에 포지셔닝된다. 온도-감지 디스크(300)의 전방 표면(320)과 후방 표면(330) 둘 모두 상에 카메라들(310)을 포지셔닝하는 것은 대향하는 챔버 표면들이 동시에 이미징될 수 있게 한다. 예컨대, 도 2를 참조하면, 전방 표면(320) 상의 카메라들(310)은 샤워헤드 조립체(260)의 표면을 이미징할 수 있는 한편, 후방 표면(330) 상의 카메라들(310)은 정전 척(242)의 상부 표면(246)을 이미징할 수 있다. 일부 구현들에서, 카메라들(310)은 온도-감지 디스크(300)의 전방 표면(320) 상에만 또는 후방 표면(330) 상에만 포지셔닝된다. 일부 구현들에서, 9개 이상의 카메라들이 디스크-형상 바디의 전방 표면 상에 포지셔닝된다. 일부 구현들에서, 9개 이상의 카메라들이 디스크-형상 바디의 후방 표면 상에 포지셔닝된다. 예컨대, 모니터링될 표면들의 수 및 모니터링될 총 표면적에 따라, 임의의 수의 카메라들이 사용될 수 있음이 또한 이해되어야 한다.
[0042] 도 4는 본 개시내용의 하나 이상의 구현들에 따른 샤워헤드 조립체(400)의 단면도이다. 일부 구현들에서, 샤워헤드 조립체(400)는 프로세싱 챔버(200)에서 샤워헤드 조립체(260) 대신에 사용될 수 있다. 샤워헤드 조립체(400)는 샤워헤드 조립체(400) 내의 열 전달을 관리하기 위해 하나 이상의 열 제어 디바이스들(460a-460e)(일괄적으로는 460)을 포함한다. 각각의 열 제어 디바이스(460)는 열전 모듈(464a-464e)(일괄적으로는 464) 및 열 파이프 조립체(466a-466e)(일괄적으로는 466)를 포함한다. 일부 구현들에서, 각각의 열 제어 디바이스(460)는 도 7a 내지 도 7d에서 논의될 바와 같이 픽셀 또는 구역과 연관된다. 각각의 열 제어 디바이스(460)는 그 각각의 열 제어 디바이스(460)의 연관된 픽셀 또는 구역에 대한 독립적인 온도 제어를 제공한다.
[0043] 샤워헤드 조립체(400)는 칠 플레이트(덮개)(420), 상단 플레이트(430), 바닥 플레이트(440), 및 가스 분배 페이스플레이트(450)를 포함한다. 칠 플레이트(420)는 상단 플레이트(430) 상에 포지셔닝된다. 칠 플레이트(420)는 샤워헤드 조립체(400)의 온도 제어를 제공한다. 칠 플레이트(420)와 상단 플레이트(430) 사이에 리세스(recess)(422)가 정의된다.
[0044] 상단 플레이트(430)는 복수의 스루-홀들(432)을 포함한다. 일 구현에서, 복수의 스루-홀들(432) 각각은 열 제어 디바이스(460)의 열 파이프 조립체(466)를 수용한다. 일부 구현들에서, 상단 플레이트(430)는 프로세싱 챔버 내에 프로세스 가스들을 전달하기 위한 제2 복수의 스루-홀들(이 도면에는 도시되지 않음)을 갖는다. 상단 플레이트(430)는, 예컨대, 알루미늄, 세라믹, Si--Si 탄화물, 또는 실리콘 탄화물로 변환된 흑연(이에 제한되지는 않음)으로 제조될 수 있다. 일 구현에서, 상단 플레이트(430)는 금속 플레이트이다. 일 구현에서, 상단 플레이트(430)는 알루미늄으로 제조된다. 일부 구현들에서, 상단 플레이트(430)는 양극산화 알루미늄으로 제조된다.
[0045] 바닥 플레이트(440)는 복수의 홀들(442)을 포함한다. 일 구현에서, 복수의 홀들(442) 각각은 열 제어 디바이스(460)의 열 파이프 조립체(466)의 일부를 수용한다. 일부 구현들에서, 바닥 플레이트(440)는 프로세싱 챔버 내에 프로세스 가스들을 전달하기 위한 제2 복수의 스루-홀들(이 도면에는 도시되지 않음)을 갖는다. 바닥 플레이트(440)는, 예컨대, 알루미늄, 세라믹, Si--Si 탄화물, 또는 실리콘 탄화물로 변환된 흑연(이에 제한되지는 않음)으로 제조될 수 있다. 일 구현에서, 바닥 플레이트(440)는 금속 플레이트이다. 일 구현에서, 바닥 플레이트(440)는 알루미늄으로 제조된다. 일부 구현들에서, 바닥 플레이트(440)는 양극산화 알루미늄으로 제조된다.
[0046] 가스 분배 페이스플레이트(450)는 반도체-프로세싱 챔버 내부에 프로세싱 가스들을 전달하기 위한 복수의 스루-홀들(미도시)을 포함한다. 가스 분배 페이스플레이트(450) 내의 스루-홀들은, 예컨대, 원형 또는 초승달-형상(이에 제한되지는 않음)일 수 있다.
[0047] 가스 분배 페이스플레이트(450)는, 예컨대, 실리콘 탄화물, 이트륨 산화물, 양극산화 알루미늄, 세라믹, 석영, 또는 실리콘(이에 제한되지는 않음)으로 제조될 수 있다. 일 구현에서, 가스 분배 페이스플레이트(450)는 실리콘 탄화물로 제조된다. 가스 분배 페이스플레이트(450)는 본딩 층(446)에 의해 바닥 플레이트(440)의 제1 하부 주 표면(444)에 본딩될 수 있다. 일부 구현들에서, 본딩 층(446)은 열 전도도를 향상시키도록 맞춤화된 상이한 타입들의 충전재들을 갖는 실리콘-계 접착제를 사용하여 달성된다. 바닥 플레이트(440)에 대한 가스 분배 페이스플레이트(450)의 본딩은 본 기술분야에 알려져 있는 다른 재료들 및/또는 방법들을 사용하여 달성될 수 있다. 그러나, 바닥 플레이트(440)에 대한 가스 분배 페이스플레이트(450)의 본딩은, 가스 분배 페이스플레이트(450)와 바닥 플레이트(440) 사이의 열적 불일치로 인한 박리를 방지할 정도로 충분한 컴플라이언스(compliance)를 갖는 본딩 재료를 사용하여 수행되어야 한다. 본딩 층이 도시되지만, 본 기술분야에 알려져 있는 다른 부착 방법들을 사용하여 가스 분배 페이스플레이트(450)가 샤워헤드 조립체에 부착될 수 있음이 또한 이해되어야 한다.
[0048] 샤워헤드 조립체(400)는 복수의 열 제어 디바이스들(460a-460e)(일괄적으로는 460)을 더 포함한다. 각각의 열 제어 디바이스(460)는 열 파이프 조립체(466a-466e)(일괄적으로는 466)와 커플링된 열전 모듈(464a-464e)(일괄적으로는 464)을 포함한다. 각각의 열 제어 디바이스(460)는 가스 분배 페이스플레이트(450) 상에 정의된 픽셀 또는 구역과 연관된다. 각각의 열 제어 디바이스(460)는, 상단 플레이트(430) 및 바닥 플레이트(440)와 칠 플레이트(420) 사이의 열의 전달을 효율적으로 관리하기 위해, 열 전도성과 상 전이 둘 모두의 원리들을 조합한다. 각각의 열 제어 디바이스(460)는 도 7a 내지 도 7d를 참조하여 설명될 바와 같이 픽셀 또는 구역과 연관된다.
[0049] 도 5는 본 개시내용의 하나 이상의 구현들에 따른, 샤워헤드 조립체와 함께 사용될 수 있는 열전 모듈의 단면도이다. 열전 모듈은 열전 모듈(464)일 수 있으며, 샤워헤드 조립체는 샤워헤드 조립체(400)일 수 있다. 일반적으로, 열전 모듈(464)은 n-타입 열전 재료(510), p-타입 열전 재료(520), 전도성 금속 층들(530a 및 530b), 상단 기판(540a), 및 바닥 기판(540b)으로 구성된다. 일부 구현들에서, 제1 절연 층(550a)이 전도성 금속 층(530a)과 상단 기판(540a) 사이에 포지셔닝된다. 일부 구현들에서, 제2 절연 층(550b)이 전도성 금속 층(530b)과 바닥 기판(540b) 사이에 포지셔닝된다.
[0050] n-타입 열전 재료(510) 및 p-타입 열전 재료(520)는 럼프(lump)-형상이며, 상단 기판(540a)과 바닥 기판(540b) 둘 모두는 높은 열 전도도를 보유한다. 일부 구현들에서, n-타입 열전 재료(510) 및 p-타입 열전 재료(520)는 높은 ZT 값을 보유하는 반도체 또는 반-금속 원소 또는 화합물, 이를테면, 비스무트 텔루라이드((BiSb)2 (TeSe)3) 시리즈, 안티몬 및 셀레늄으로 도핑된, 비스무트 텔루라이드(Bi2Te3), 납 텔루라이드(PbTe) 및 주석 텔루라이드(PbSnTe) 시리즈, 또는 화합물 시리즈, 이를테면 실리콘(Si) 및 실리콘 게르마늄(SiGe) 시리즈, 반-호이슬러(half-Heusler) 유전체 합금 시리즈(강자성 비-철 합금), 실리사이드, 또는 텅스텐 디셀레나이드(WSe2) 시리즈로 제조된다. 더욱이, 열전 엘리먼트들은 스퍼터링, 열 증발, 아크 이온 도금, 화학 기상 증발, 전기도금, 및 화학 도금에 의해 형성될 수 있다. 그러나, 실제 애플리케이션에서, 재료들의 선정 및 형성 방법들은 실제 요구들 및 실제 조건들에 따라 결정되며, 본 개시내용은 특정 제약들을 갖지 않는다.
[0051] n-타입 열전 재료(510) 및 p-타입 열전 재료(520)는 전기적으로 직렬로 연결되지만 열적으로 병렬로 연결되어 최대 전력 생성 출력을 보장하도록 구성된다. 이어서, 엘리먼트들은 2개의 세라믹 플레이트들 사이에 개재되며, 하나의 측은 핫 접합부(join)들을 커버하고, 다른 측은 콜드 접합부들을 커버한다. 효과는 모듈 타입들 둘 모두가 냉각기 또는 생성기로서 작용할 수 있도록 가역적이다. 모듈에 전압이 인가되는 경우, 모듈은 열을 펌핑할 것이지만, 모듈에 걸쳐 온도 차이가 가해지는 경우, 전압이 생성될 것이다.
[0052] 일부 구현들에서, 상단 기판(540a) 및 바닥 기판(540b)은 또한 절연 특성들을 보유한다. 열전 모듈의 기능들은 열전 재료들(510 및 520)의 특성들에 의해 주로 결정된다. 도 5에 표시된 바와 같이, n-타입 열전 재료(510) 및 p-타입 열전 재료(520)는 일반적으로 수직 타입이고, 전도성 금속 층들(530a 및 530b)을 통해 직렬로 연결된다.
[0053] 일부 구현들에서, 전기 절연 및 높은 열 전도도를 갖는 상단 및 바닥 기판들(540a 및 540b)은, 예컨대, 이를테면 알루미늄 산화물, 알루미늄 질화물 및 실리콘 탄화물에 의해 실현되는 높은 열 전도도를 갖는 세라믹 재료로 제조되거나, 또는 절연 유전체 층으로 표면이 덮인 실리콘 또는 금속 기판이다. 그러나, 본 개시내용은 실제 애플리케이션에서의 재료들의 선정에 관하여 특정 제약들을 갖지 않는다. 일부 구현들에서, 상단 기판(540a) 또는 열 싱크 플레이트는, 예컨대 칠 플레이트(420) 내로 열을 방출하는 열 싱크로서 기능한다. 일부 구현들에서, 상단 기판(540a)은 칠 플레이트(420) 근방에 포지셔닝된다. 일부 구현들에서, 바닥 기판(540b)은, 예컨대 상단 플레이트(430) 및/또는 바닥 플레이트(440)로부터 열을 흡수하는 냉각 플레이트로서 기능한다. 일부 구현들에서, 바닥 기판(540b)은 상단 플레이트(430) 및/또는 바닥 플레이트(440) 근방에 포지셔닝된다.
[0054] 열전 냉각 모듈의 애플리케이션에서, 입력된 직류는 n-타입 열전 재료(510) 및 p-타입 열전 재료들(520)에서 변환 디바이스의 열 흐름의 방향(수직 전달)과 평행한 방향(수직 흐름)으로 흐르며, 열전 냉각 모듈은 온도 차이를 생성하고, 그리고 바닥 및 상단에서 각각 열을 흡수 및 방산한다. 예컨대, 온도 차이에 의해 전력 생성을 행한다. 열전 모듈 온도 차이 및 열 흐름의 방향들은 여전히, 열전 재료들에서 생성되는 전류의 흐름 방향에 평행하다.
[0055] 도 6은 본 개시내용의 하나 이상의 구현들에 따른, 샤워헤드 조립체와 함께 사용될 수 있는 열 파이프 조립체의 단면도이다. 열 파이프 조립체는 열 파이프 조립체(466)일 수 있으며, 샤워헤드 조립체는 샤워헤드 조립체(400)일 수 있다. 열 파이프 조립체(466)는 도 4의 열 제어 디바이스(460)의 일부를 형성한다. 도 4에 도시된 바와 같이, 열 제어 디바이스들(460a-460e)은, 복수의 평행하고 독립적으로 동작하는 열 파이프들로 구성된다.
[0056] 각각의 열 파이프 조립체(466)는 공동(608)을 밀폐하는 케이싱(606)을 포함한다. 케이싱은 높은 열 전도도를 갖는 재료, 이를테면 구리 또는 알루미늄으로 형성될 수 있다. 공동(608)은 진공 처리되고, 일정 부피 퍼센트(a fraction of a percent by volume)의 작동 유체(612)로 충전된다. 작동 유체(612)는 물, 에탄올, 아세톤, 나트륨, 또는 수은일 수 있다. 작동 유체(612)는 열 파이프 조립체(466)의 동작 온도에 따라 선정될 수 있다. 공동 내의 부분적인 진공 상태로 인해, 공동(608) 내의 작동 유체(612)의 일부는 액체 상으로 있고, 작동 유체(612)의 나머지 부분은 가스 상으로 있다.
[0057] 열 파이프 조립체(466)는, 제1 단부에서, 냉각될 타겟과 열적으로 접촉하도록 구성된 핫 인터페이스(602), 및 핫 인터페이스(602)와 대향하는 제2 단부에서, 열 싱크와 열적으로 접촉하도록 구성된 콜드 인터페이스(604)를 가질 수 있다. 선택적으로, 윅(wick) 구조(610)가 케이싱(606) 내부에 그리고 공동(608)을 둘러싸도록 라이닝될 수 있다. 윅 구조(610)는 콜드 인터페이스(604)에서 작동 유체(612)의 액체 표면 상에 모세관 압력을 가하여 작동 유체(612)를 핫 인터페이스(602)로 위킹(wick)하도록 구성된다.
[0058] 열 파이프 조립체(466)는, 핫 인터페이스(602)와 콜드 인터페이스(604) 사이의 열의 전달을 효율적으로 관리하기 위해, 열 전도성과 상 전이 둘 모두의 원리를 조합하는 열 교환 디바이스이다. 열 파이프 내의 핫 인터페이스(602)에서, 케이싱(606)과 접촉하는 작동 유체(612)의 액체는, 핫 인터페이스(602)와 열적으로 접촉하는 그 열 소스로부터 열을 흡수함으로써, 증기로 변한다. 증기는 콜드 인터페이스(604)에서, 콜드 인터페이스와 열적으로 접촉하는 열 싱크를 향하여 잠열을 방출하여 액체로 다시 응축된다. 이어서, 액체는 윅 구조(610)의 모세관 작용, 원심력, 또는 중력 작용을 통해 핫 인터페이스(602)로 복귀한다. 사이클이 반복된다.
[0059] 일 구현에서, 도 4에 도시된 바와 같이, 열 파이프 조립체(466)의 핫 인터페이스(602)는, 냉각될 표면들인, 상단 플레이트(430)와 바닥 플레이트(440) 중 적어도 하나와 열적으로 접촉하며, 콜드 인터페이스(604)는, 열 싱크로서 기능하는 칠 플레이트(420) 및 열전 모듈(464)과 열적으로 접촉한다.
[0060] 도 7a 내지 도 7d는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스들을 사용하여 온도 제어를 받는 컴포넌트들의 다양한 표면들(700a-700d)의 개략도들을 도시한다. 각각의 표면(700a-700d)은 복수의 세그먼트들 또는 픽셀들로 분할된다. 각각의 세그먼트는 열 제어 디바이스, 예컨대 열 제어 디바이스(460)와 커플링되어, 각각의 표면(700a-700d)의 각각의 온도-제어 구역의 세그먼트화된 온도 제어를 가능하게 한다.
[0061] 표면들(700a-700d)은 챔버 벽, 정전 척(예컨대, 웨이퍼 지지 표면), 또는 가스 분배 플레이트의 표면일 수 있다. 일 구현에서, 표면들(700a-700d)은 가스 분배 페이스플레이트의 표면, 예컨대, 도 4에 도시된 바와 같은 가스 분배 페이스플레이트(450)의 표면에 대한 다양한 설계들을 표현한다. 다른 구현에서, 표면들(700a-700d)은 척, 예컨대, 도 2에 도시된 바와 같은 정전 척(242)의 웨이퍼 지지 표면에 대한 다양한 설계들을 표현한다. 다른 구현에서, 표면들(700a-700d)은 챔버 벽들, 예컨대, 도 2에 도시된 바와 같은 상단 벽(232), 측벽(234) 및 바닥 벽(236) 중 임의의 벽의 표면들에 대한 다양한 설계들을 표현한다.
[0062] 도 7a는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스들을 사용하여 온도 제어를 받을 수 있는 표면(700a)의 일 구현의 개략도를 도시한다. 표면(700a)은 복수의 동심 구역들을 포함하며, 그 복수의 동심 구역들은 중앙 구역(702), 중간-내측 구역(704), 중간 구역(706), 중간-외측 구역(708), 및 외측 구역(710)을 포함한다. 각각의 구역은 복수의 세그먼트들 또는 픽셀들로 분할되며, 그 복수의 세그먼트들 또는 픽셀들은 각각, 본원에서 설명되는 열 제어 디바이스들을 사용하여 독립적인 열 제어를 받는다. 표면(700a)은 48개의 세그먼트들을 포함한다.
[0063] 도 7b는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스들을 사용하여 온도 제어를 받을 수 있는 표면(700b)의 다른 구현의 개략도를 도시한다. 표면(700a)과 유사하게, 표면(700b)은 복수의 동심 구역들을 포함한다. 표면(700b)은 복수의 동심 구역들을 포함하며, 그 복수의 동심 구역들은 중앙 구역(712), 중간-내측 구역(714), 중간 구역(716), 중간-외측 구역(718), 및 외측 구역(720)을 포함한다. 각각의 구역은 복수의 세그먼트들 또는 픽셀들로 분할되며, 그 복수의 세그먼트들 또는 픽셀들은 각각, 본원에서 설명되는 열 제어 디바이스들을 사용하여 독립적인 열 제어를 받는다. 표면(700b)은 32개의 세그먼트들을 포함한다.
[0064] 도 7c는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스들을 사용하여 온도 제어를 받을 수 있는 표면(700c)의 다른 구현의 개략도를 도시한다. 표면(700c)은 복수의 픽셀들 또는 육각형 세그먼트(들)(730)로 분할된다. 각각의 픽셀 또는 육각형 세그먼트(730)는 본원에서 설명되는 열 제어 디바이스들을 사용하여 독립적인 열 제어를 받는다.
[0065] 도 7d는 본 개시내용의 하나 이상의 구현들에 따른, 열 제어 디바이스들을 사용하여 온도 제어를 받을 수 있는 표면(700d)의 다른 구현의 개략도를 도시한다. 표면(700d)은 X-Y 패턴으로 복수의 세그먼트들 또는 픽셀들(740)로 분할된다. 각각의 세그먼트 또는 픽셀(740)은 본원에서 설명되는 열 제어 디바이스들을 사용하여 독립적인 열 제어를 받는다.
[0066] 도 8은 본 개시내용의 하나 이상의 구현들에 따른, 인-시튜 온도 제어를 위한 방법(800)의 일 구현의 프로세스 흐름도이다. 일부 구현들에서, 방법(800)은 프로세싱 시스템, 예컨대, 도 1에 도시된 프로세싱 시스템(100)에서 수행된다. 방법(800)은 개선된 온도 제어로부터 이익을 얻는 다른 시스템들에서 수행될 수 있다. 방법(800)은 웨이퍼들의 배치(batch)를 프로세싱하는 동안 수행될 수 있다. 예컨대, 500개의 웨이퍼들의 배치를 러닝(run)하는 경우, 온도-감지 디스크는, 사용자에 의해 선정되는 바와 같은 임의의 수의 웨이퍼들 후에, 웨이퍼와 교체될 수 있다.
[0067] 동작(810)에서, 온도-감지 디스크가 프로세싱 챔버 내로 이송된다. 일부 구현들에서, 온도-감지 디스크는, 진공을 파괴시키지 않으면서, 프로세싱 챔버의 프로세싱 구역 내로 전달된다. 온도-감지 디스크는 프로세싱 챔버 내의 표면들의 IR-기반 이미징을 수행하도록 구성된 카메라들(310)을 갖는 온도-감지 디스크(300)일 수 있다. 이미징될 표면들은 온도 제어가 바람직한 임의의 표면을 포함한다. 이미징될 표면들은 전형적으로, 샤워헤드 조립체의 표면, 챔버의 벽들(예컨대, 측벽들, 바닥 벽, 및 천장을 포함하는 프로세싱 챔버의 내측 표면들), 및 기판 지지 조립체(예컨대, 정전 척)의 노출된 표면들 중 적어도 하나를 포함한다. 일부 구현들에서, 프로세싱 챔버의 프로세싱 구역 내의 적어도 하나의 챔버 표면의 적어도 하나의 구역의 온도가, 온도-감지 디스크를 사용하여 적어도 하나의 표면을 이미징함으로써 측정된다. 예컨대, 도 2를 참조하면, 전방 표면(320) 상의 카메라들(310)은 측벽(234) 및 샤워헤드 조립체(260)의 표면을 이미징할 수 있는 한편, 후방 표면(330) 상의 카메라들(310)은 측벽(234) 및 정전 척(242)의 표면을 이미징할 수 있다. 온도-감지 디스크에 의해 캡처된 적외선 이미지들은 제어 시스템, 예컨대 제어 시스템(220)에 무선으로 전송될 수 있다.
[0068] 동작(820)에서, 이미징된 표면의 캡처된 IR 이미지는 이미징된 표면의 구역들이 프로세스 온도 사양 범위 내에 있는지 여부를 결정하기 위해 분석된다. 캡처된 IR 이미지는 이미징된 표면의 측정된 온도 프로파일을 개발(develop)하기 위해 사용될 수 있다. 측정된 온도 프로파일은 온도 사양 범위와 비교될 수 있다. 프로세스 온도 사양 범위는 바람직한 결과들을 달성한 이전에 러닝된 프로세스들에 대한 바람직한 온도 범위들에 기초하여 설정될 수 있다. 동작(830)에서, 표면의 모든 구역들이 원하는 온도 범위 내에 있는 것으로 온도 프로파일이 표시하는 경우, 방법(800)이 종료되고, 챔버 내의 기판 프로세싱이 계속된다.
[0069] 동작(840)에서, 표면의 하나 이상의 구역들이 원하는 온도 범위 밖에 있는 것으로, 측정된 온도 프로파일이 표시하는 경우, 방법(800)은 동작(850)으로 진행되고, 그리고 이미징된 표면의 온도 튜닝이 수행된다. 특정 구역에 대한 측정된 온도가 원하는 온도 범위 미만인 경우, 그 구역은 콜드 스폿으로서 식별된다. 특정 구역에 대해 측정된 온도가 원하는 온도 범위를 초과하는 경우, 그 구역은 핫 스폿으로서 식별된다.
[0070] 동작(860)에서, 측정된 온도 프로파일은, 원하는 프로세싱 온도들에 기초하여 결정된 베이스라인 온도 프로파일과 비교된다. 일부 구현들에서, 베이스라인 온도 프로파일은 룩업 테이블 또는 다른 알고리즘 접근법에 포함된다. 룩업 테이블은 제어 시스템(220)에 저장될 수 있다. 측정된 온도 프로파일은 온도 프로파일 맵을 개발하기 위해 베이스라인 온도 프로파일과 비교된다. 온도 제어 맵은, 국부적 콜드 스폿들 및/또는 핫 스폿들을 갖는 구역들을 식별한다.
[0071] 동작(870)에서, 온도 제어 맵에 기초하여, 콜드 스폿 또는 핫 스폿으로서 식별된 각각의 구역에 대해 온도를 증가 또는 감소시키기 위해, 개별 열전 모듈들이 활성화될 수 있다. 예컨대, 열의 펌핑을 증가시키기 위해, 부가적인 전압이 열전 모듈(464)에 인가될 수 있다. 온도 맵에 의해 표시된 바와 같은 핫 또는 콜드 스폿들의 위치에 따라, 더 균일한 온도 프로파일을 생성하기 위해, 전극 및 챔버 표면들의 온도가 픽셀 레벨로 튜닝(증가 또는 감소)될 수 있다.
[0072] 이미징된 표면의 온도가 원하는 온도 사양 내에 있게 된 후에, 기판 프로세싱이 계속될 수 있다.
[0073] 요약하면, 본 개시내용의 이익들 중 일부는, 프로세싱 챔버를 통기시키지 않으면서, 프로세싱 챔버 내부에 대해 인-시튜로 온도를 측정하기 위한 장치 및 방법들을 포함한다. 본원에서 설명되는 구현들 중 일부는 더 균일한 온도 프로파일을 생성하기 위해 픽셀 레벨로 온도 레벨들을 측정 및 조정하는 능력을 제공한다. 이러한 더 균일한 온도 프로파일은 챔버 표면들 상의 핫 스폿들 및 콜드 스폿들의 존재를 감소시키고, 이는 이어서, 콜드 스폿들 상의 폴리머 접착을 감소시킴으로써, 에칭 레이트들을 유지하고, 프로파일 제어 문제들을 감소시킨다. 게다가, 본원에서 설명되는 구현들 중 일부는 현재 이용가능한 하드웨어 및 시스템 아키텍처를 사용하여 수행될 수 있다.
[0074] 본 개시내용의 엘리먼트들, 또는 이들의 예시적인 양상들 또는 구현(들)을 도입하는 경우, 단수 표현은 하나 이상의 그 엘리먼트들이 존재한다는 것을 의미하는 것으로 의도된다.
[0075] "포함하는", "구비하는", 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 그리고 열거된 엘리먼트들 이외의 부가적인 엘리먼트들이 존재할 수 있다는 것을 의미하도록 의도된다.
[0076] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 샤워헤드 조립체로서,
    복수의 개구들이 통해 있는 제1 전극;
    상기 제1 전극의 제1 하부 주 표면에 부착된 가스 분배 페이스플레이트 ― 가스 분배 플레이트는 프로세싱 챔버에 프로세스 가스들을 전달하기 위한 복수의 스루-홀(through-hole)들을 포함하고, 복수의 온도-제어 구역들로 분할됨 ―;
    온도 제어를 제공하기 위해 상기 제1 전극 위에 포지셔닝(position)된 칠 플레이트(chill plate); 및
    상기 샤워헤드 조립체 내의 열 전달을 관리하기 위한 복수의 열 제어 디바이스들
    을 포함하며,
    상기 복수의 열 제어 디바이스들은,
    열전 모듈; 및
    상기 열전 모듈과 커플링된 열 파이프 조립체
    를 포함하고,
    상기 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 상기 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공하는,
    샤워헤드 조립체.
  2. 제1 항에 있어서,
    상기 칠 플레이트와 상기 제1 전극 사이에 포지셔닝된 제2 전극을 더 포함하는,
    샤워헤드 조립체.
  3. 제2 항에 있어서,
    상기 제2 전극은 상기 열 제어 디바이스의 열 파이프 조립체의 일부를 각각 수용하기 위한 제1 복수의 스루-홀들을 갖는,
    샤워헤드 조립체.
  4. 제3 항에 있어서,
    상기 제2 전극은 상기 프로세싱 챔버 내에 프로세스 가스들을 전달하기 위한 제2 복수의 스루-홀들을 갖는,
    샤워헤드 조립체.
  5. 제3 항에 있어서,
    상기 제1 전극은 상기 열 제어 디바이스의 열 파이프 조립체의 일부를 각각 수용하기 위한 복수의 홀들을 갖는,
    샤워헤드 조립체.
  6. 제5 항에 있어서,
    상기 제1 전극은 상기 프로세싱 챔버 내에 프로세스 가스들을 전달하기 위한 제2 복수의 홀들을 추가로 갖는,
    샤워헤드 조립체.
  7. 제3 항에 있어서,
    상기 열전 모듈은,
    열 싱크 플레이트;
    제1 전도성 층;
    n-타입 열전 재료;
    p-타입 열전 재료;
    제2 전도성 층; 및
    냉각 플레이트
    를 포함하는,
    샤워헤드 조립체.
  8. 제7 항에 있어서,
    상기 열 싱크 플레이트는 상기 칠 플레이트 근방에 포지셔닝되고, 상기 칠 플레이트 내로 열을 방출하며, 상기 냉각 플레이트는 상기 열 파이프 조립체 근방에 포지셔닝되는,
    샤워헤드 조립체.
  9. 프로세싱 볼륨을 정의하는, 상단 벽, 측벽, 및 바닥 벽을 갖는 챔버 바디(body);
    상기 프로세싱 볼륨에 포지셔닝된 기판 지지 조립체; 및
    상기 기판 지지 조립체와 대향하여 포지셔닝된 샤워헤드 조립체
    를 포함하며,
    상기 샤워헤드 조립체는,
    복수의 개구들이 통해 있는 제1 전극;
    상기 전극의 제1 하부 주 표면에 부착된 가스 분배 페이스플레이트 ― 가스 분배 플레이트는 상기 프로세싱 볼륨에 프로세스 가스들을 전달하기 위한 복수의 스루-홀들을 포함하고, 복수의 온도-제어 구역들로 분할됨 ―;
    온도 제어를 제공하기 위해 상기 제1 전극 위에 포지셔닝된 칠 플레이트; 및
    상기 샤워헤드 조립체 내의 열 전달을 관리하기 위한 복수의 열 제어 디바이스들
    을 포함하고,
    상기 복수의 열 제어 디바이스들은,
    열전 모듈; 및
    상기 열전 모듈과 커플링된 열 파이프 조립체
    를 포함하고,
    상기 복수의 열 제어 디바이스들 중 각각의 열 제어 디바이스는 온도 제어 구역과 연관되고, 그리고 상기 각각의 열 제어 디바이스의 연관된 온도 제어 구역에 대한 독립적인 온도 제어를 제공하는,
    프로세싱 챔버.
  10. 제9 항에 있어서,
    상기 칠 플레이트와 상기 제1 전극 사이에 포지셔닝된 제2 전극을 더 포함하는,
    프로세싱 챔버.
  11. 제10 항에 있어서,
    상기 제2 전극은,
    상기 열 제어 디바이스의 열 파이프 조립체의 일부를 각각 수용하기 위한 제1 복수의 스루-홀들; 및
    상기 프로세싱 볼륨 내에 프로세스 가스들을 전달하기 위한 제2 복수의 스루-홀들
    을 갖는,
    프로세싱 챔버.
  12. 제9 항에 있어서,
    상기 제1 전극은,
    상기 열 제어 디바이스의 열 파이프 조립체의 일부를 각각 수용하기 위한 복수의 홀들; 및
    상기 프로세싱 볼륨 내에 프로세스 가스들을 전달하기 위한 복수의 스루-홀들
    을 갖는,
    프로세싱 챔버.
  13. 제9 항에 있어서,
    상기 열전 모듈은,
    열 싱크 플레이트;
    제1 전도성 층;
    n-타입 열전 재료;
    p-타입 열전 재료;
    제2 전도성 층; 및
    냉각 플레이트
    를 포함하는,
    프로세싱 챔버.
  14. 제13 항에 있어서,
    상기 열 싱크 플레이트는 상기 칠 플레이트 근방에 포지셔닝되고, 상기 칠 플레이트 내로 열을 방출하며, 상기 냉각 플레이트는 상기 열 파이프 조립체 근방에 포지셔닝되는,
    프로세싱 챔버.
  15. 제9 항에 있어서,
    상기 프로세싱 볼륨에 포지셔닝된 온도-감지 디스크를 더 포함하며,
    상기 온도-감지 디스크는,
    디스크-형상 바디; 및
    하나 이상의 카메라들
    을 포함하며,
    상기 디스크-형상 바디는,
    300 밀리미터의 직경;
    전방 표면;
    상기 전방 표면과 대향하는 후방 표면
    을 갖고,
    상기 하나 이상의 카메라들은 상기 전방 표면과 상기 후방 표면 중 적어도 하나 상에 포지셔닝되고,
    상기 하나 이상의 카메라들은 IR-기반 이미징을 수행하도록 구성되는,
    프로세싱 챔버.
KR1020197028547A 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치 KR102506497B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237007218A KR20230035698A (ko) 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762521879P 2017-06-19 2017-06-19
US62/521,879 2017-06-19
US15/964,296 2018-04-27
US15/964,296 US20180366354A1 (en) 2017-06-19 2018-04-27 In-situ semiconductor processing chamber temperature apparatus
PCT/US2018/030726 WO2018236472A1 (en) 2017-06-19 2018-05-02 SEMI-CONDUCTOR CHAMBER TEMPERATURE APPARATUS IN SITU

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237007218A Division KR20230035698A (ko) 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치

Publications (2)

Publication Number Publication Date
KR20200010180A true KR20200010180A (ko) 2020-01-30
KR102506497B1 KR102506497B1 (ko) 2023-03-06

Family

ID=64658296

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237007218A KR20230035698A (ko) 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치
KR1020197028547A KR102506497B1 (ko) 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237007218A KR20230035698A (ko) 2017-06-19 2018-05-02 인-시튜 반도체 프로세싱 챔버 온도 장치

Country Status (5)

Country Link
US (2) US20180366354A1 (ko)
JP (2) JP7186719B2 (ko)
KR (2) KR20230035698A (ko)
TW (2) TW202322254A (ko)
WO (1) WO2018236472A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102198929B1 (ko) * 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
US10819905B1 (en) * 2019-09-13 2020-10-27 Guangdong Media Kitchen Appliance Manufacturing Co., Ltd. System and method for temperature sensing in cooking appliance with data fusion
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20220270865A1 (en) * 2021-02-25 2022-08-25 Kurt J. Lesker Company Pressure-Induced Temperature Modification During Atomic Scale Processing
CN117594413A (zh) * 2024-01-17 2024-02-23 专心护康(厦门)科技有限公司 一种用于等离子表面处理的加热装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
WO2015045602A1 (ja) * 2013-09-27 2015-04-02 京セラ株式会社 熱電モジュール

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400452A (en) * 1963-05-21 1968-09-10 Westinghouse Electric Corp Process for producing thermoelectric elements
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20020162339A1 (en) * 2001-05-04 2002-11-07 Harrison Howard R. High performance thermoelectric systems
JP2005024928A (ja) 2003-07-02 2005-01-27 Fujikura Ltd 光部品
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
TW200913798A (en) * 2007-09-14 2009-03-16 Advanced Display Proc Eng Co Substrate processing apparatus having electrode member
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP2010135450A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 電極部材及びこれを含む基板処理装置
JP5909484B2 (ja) * 2010-04-28 2016-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US20150128614A1 (en) * 2012-05-08 2015-05-14 Sheetak, Inc. Thermoelectric heat pump
US8901518B2 (en) * 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
US9222842B2 (en) * 2013-01-07 2015-12-29 Kla-Tencor Corporation High temperature sensor wafer for in-situ measurements in active plasma

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
KR20080003940A (ko) * 2003-09-03 2008-01-08 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 구조체
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP2005228972A (ja) * 2004-02-13 2005-08-25 Tokyo Electron Ltd 成膜方法および成膜装置
WO2015045602A1 (ja) * 2013-09-27 2015-04-02 京セラ株式会社 熱電モジュール

Also Published As

Publication number Publication date
KR20230035698A (ko) 2023-03-14
TW202322254A (zh) 2023-06-01
JP2020524393A (ja) 2020-08-13
TW201906047A (zh) 2019-02-01
JP7186719B2 (ja) 2022-12-09
US20180366354A1 (en) 2018-12-20
TWI815810B (zh) 2023-09-21
KR102506497B1 (ko) 2023-03-06
WO2018236472A1 (en) 2018-12-27
CN110352479A (zh) 2019-10-18
US20220076972A1 (en) 2022-03-10
JP2023029910A (ja) 2023-03-07

Similar Documents

Publication Publication Date Title
KR102506497B1 (ko) 인-시튜 반도체 프로세싱 챔버 온도 장치
US10879053B2 (en) Temperature controlled substrate support assembly
EP1098354A2 (en) Apparatus for controlling temperature in a semiconductor processing system
US10781518B2 (en) Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US6334311B1 (en) Thermoelectric-cooling temperature control apparatus for semiconductor device fabrication facility
JP2020109848A (ja) 独立した分離されたヒータ区域を有するウエハキャリア
US8110045B2 (en) Processing equipment for object to be processed
US20060242967A1 (en) Termoelectric heating and cooling apparatus for semiconductor processing
US20230377958A1 (en) Cluster processing system for forming a metal containing material
US20200357674A1 (en) Robot hand, wafer transfer robot, and wafer transfer apparatus
US11837478B2 (en) Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
KR100562381B1 (ko) 열처리 장치
CN110352479B (zh) 原位半导体处理腔室温度装置
CN113950735A (zh) 具有可控金属蚀刻选择性的气相蚀刻
CN111383885A (zh) 一种能提高控温精度的基片安装台及等离子体处理设备
CN116649015A (zh) 用于翘曲校正的方法和设备
WO2022174919A1 (en) Substrate support, method of processing a substrate, and processing system
JP2023546605A (ja) 半導体処理のための高温損失ヒータ及び静電チャック
TW202413710A (zh) 利用整合式熱電冷卻系統的基板溫度控制

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant