KR20080003940A - 가스 처리 장치 및 처리 가스 토출 구조체 - Google Patents

가스 처리 장치 및 처리 가스 토출 구조체 Download PDF

Info

Publication number
KR20080003940A
KR20080003940A KR1020077029435A KR20077029435A KR20080003940A KR 20080003940 A KR20080003940 A KR 20080003940A KR 1020077029435 A KR1020077029435 A KR 1020077029435A KR 20077029435 A KR20077029435 A KR 20077029435A KR 20080003940 A KR20080003940 A KR 20080003940A
Authority
KR
South Korea
Prior art keywords
gas
gas discharge
processing
treatment device
heat
Prior art date
Application number
KR1020077029435A
Other languages
English (en)
Other versions
KR100901892B1 (ko
Inventor
하치시로 이이즈카
고이치로 기무라
교코 이케다
도모유키 사코다
아키라 야스무로
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080003940A publication Critical patent/KR20080003940A/ko
Application granted granted Critical
Publication of KR100901892B1 publication Critical patent/KR100901892B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

샤워 베이스(41), 가스 확산판(42), 샤워 플레이트(43)를 중첩하여 구성되고, 가스 확산판(42)의 양면에 형성된 제 1 가스 확산부(42a), 제 2 가스 확산부(42b) 및 샤워 플레이트(43)에 형성되고 제 1 가스 확산 공간(42c)에 연통하는 제 1 가스 토출구(43a), 제 2 가스 확산 공간(42d)에 연통하는 제 2 가스 토출구(43b)를 거쳐서 탑재대(5)상의 웨이퍼(W)에 원료 가스 및 산화제 가스를 공급하는 샤워 헤드(40)에 있어서, 제 1 가스 확산부(42a)내에 샤워 베이스(41)의 하면에 밀착하는 복수의 전열 기둥(42e)을 설치하여 그 동안의 부분이 제 1 가스 확산 공간(42c)이 되도록 하고, 이 전열 기둥(42e)에 의해 탑재대(5)로부터 받는 복사열을 샤워 헤드(40)의 두께 방향으로 전달한다.

Description

가스 처리 장치 및 처리 가스 토출 구조체{GAS TREATMENT DEVICE AND PROCESS GAS DISCHARGING STRUCTURE}
본 발명은 처리 가스를 이용하여 피처리 기판의 가스 처리를 실행하는 가스 처리 장치 및 그러한 가스 처리 장치에 있어서의 처리 가스 토출 기구의 방열 방법에 관한 것이다.
반도체 제조 공정에 있어서는, 피처리체인 반도체 웨이퍼(이하, 단지 웨이퍼라고 지칭함)상에 각종 물질로 이루어지는 박막의 형성이 수행되고, 이 박막에 요구되는 물성의 다양화 등에 호응하여, 박막 형성에 사용되는 물질이나 조합의 다양화, 복잡화가 진행하고 있다.
예를 들면, 반도체 메모리 소자에 있어서, DRAM(Dynamic Random Access Memory) 소자의 리프레쉬 동작에 의한 성능 한계를 극복하기 위해서, 강유전체 박막을 강유전체 축전기에 사용하는 것에 의한 대용량 메모리 소자의 개발이 진척되어 왔다. 이러한 강유전체 박막을 사용하는 강유전체 메모리 소자(Ferroelectric Random Access Memory : FeRAM)는 비휘발성 메모리 소자의 일종으로, 원리상 리프레쉬 동작을 필요로 하지 않고, 전원이 단절된 상태에서도 받아들여진 정보를 유지 할 수 있는 이점과 더불어, 동작 속도도 DRAM에 필적하므로, 차세대 기억 소자로서 주목받고 있다.
이러한 FeRAM의 강유전체 박막에는, 주로 SrBi2Ta2O9(SBT)이나, Pb(Zr, Ti)O3(PZT)과 같은 절연 물질이 이용되고 있다. 복수의 원소로 이루어지는 복잡한 조성의 이들 박막을 미세한 두께로 정밀도 좋게 형성하는 방법으로서, 가스화시킨 유기 금속 화합물의 열분해를 이용해서 박막의 형성을 실행하는 MOCVD 기술이 적합하다. MOCVD 기술에 의한 성막에 대해서는, 예를 들면 일본 특허 공개 제 1996-291385 호 공보에 나타나 있다.
이러한 MOCVD 기술에 한하지 않고, 일반적으로 CVD 기술은, 탑재대에 탑재되어 가열된 반도체 웨이퍼에, 대향하는 샤워 헤드로부터 원료 가스를 공급하고, 원료 가스의 열분해나 환원 반응 등에 의해 반도체 웨이퍼상에 박막 형성을 실행하는 것으로, 통상, 가스의 균일한 공급을 실행하기 위해서, 샤워 헤드에서는, 내부에 반도체 웨이퍼 직경과 같은 정도의 크기의 편평한 가스 확산 공간을 설치하고, 샤워 헤드의 대향 표면에는, 이 가스 확산 공간에 연통하는 다수의 가스 분출 구멍을 분산되게 배치하는 구성으로 되어 있다.
그러나, 상기한 바와 같이 샤워 헤드내에 편평한 가스 확산 공간을 설치할 경우에는, 그 공간이 배면측으로의 전달(방열)을 방해하기 때문에, 반도체 웨이퍼를 가열하는 탑재대로부터의 복사열에 의해 뜨겁게 되고, 성막을 되풀이하는 동안에 샤워 헤드의 온도가 상승해버린다. 또한, 이와 같이 편평한 가스 확산 공간이 존재할 경우에는, 통상 행해지고 있는 상부, 즉 대기측에서 온도의 제어를 하려고 하여도, 열전도가 불충분하기 때문에, 유효한 온도 제어를 실행하는 것은 곤란하다.
특히, MOCVD에서는, 원료 가스의 열분해를 이용하기 위해서, 샤워 헤드의 온도가 상승해서 그 온도가 상기 원료 가스의 열분해 온도를 넘으면, 샤워 헤드 내부나 샤워 헤드에 가까운 쪽의 배관내 등에서 원치 않는 열분해 반응이 발생하고, 원료 가스의 농도 저하나, 석출 물질이 이물질이 되어서 반도체 웨이퍼에 부착되는 것 등에 의해, 성막 불량의 원인이 된다. 또한, 상술한 바와 같이 성막 온도가 시간 경과적으로 상승하기 때문에, 막 품질이나 막 조성의 격차의 원인이 된다.
본 발명의 목적은, 샤워 헤드 등의 처리 가스 토출 기구의 온도 상승에 기인하는 처리의 불량이나 불균일을 저감할 수 있는 가스 처리 장치 및 방열 방법을 제공하는 것이다.
또한, 본 발명의 다른 목적은, 샤워 헤드 등의 처리 가스 토출 기구로의 가스 공급 경로의 단축 및 간소화를 실현할 수 있는 가스 처리 장치를 제공하는 것이다.
본 발명의 제 1 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대와 대향하는 위치에 설치되고, 상기 처리 용기내에 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구비하며, 상기 처리 가스 토출 기구는 상기 처리 가스가 도입되는 가스 도입부와, 상기 탑재대를 향하여 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와, 상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고, 상기 가스 확산부는 상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하는 복수의 전열 기둥과, 상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 갖는 가스 처리 장치가 제공된다.
본 발명의 제 2 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대상의 피처리 기판과 대향하는 위치에 설치되고, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구비하며, 상기 처리 가스 토출 기구는, 제 1 처리 가스 및 제 2 처리 가스가 각각 도입되는 제 1 플레이트와, 상기 제 1 플레이트의 주면에 접촉하는 제 2 플레이트와, 상기 제 2 플레이트에 접촉되고, 상기 탑재대에 탑재된 피처리 기판에 대응해서 복수의 제 1 및 제 2 가스 토출 구멍이 형성된 제 3 플레이트와, 상기 제 1 플레이트와 상기 제 2 플레이트 사이에 설치된 제 1 가스 확산부와, 상기 제 2 플레이트와 상기 제 3 플레이트 사이에 설치된 제 2 가스 확산부를 갖고, 상기 제 1 가스 확산부는 상기 제 1 플레이트와 상기 제 2 플레이트에 접속된 복수의 제 1 기둥체와, 상기 제 1 가스 토출 구멍에 연통하고, 상기 복수의 제 1 기둥체 이외의 부분을 구성하는 제 1 가스 확산 공간을 갖고, 상기 제 2 가스 확산부는 상기 제 2 플레이트와 상기 제 3 플레이트에 접속된 복수의 제 2 기둥체와, 상기 제 2 가스 토출 구멍에 연통하고, 상기 복수의 제 2 기둥체 이외의 부분을 구성하는 제 2 가스 확산 공간을 갖고, 도입된 상기 제 1 처리 가스가 상기 제 1 가스 확산 공간을 거쳐서 상기 제 1 가스 토출 구멍으로부터 토출되고, 도입된 상기 제 2 처리 가스가 상기 제 2 가스 확산 공간을 거쳐서 상기 제 2 가스 토출 구멍으로부터 토출되는 가스 처리 장치가 제공된다.
본 발명의 제 3 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대상의 피처 리 기판과 대향하는 위치에 설치되고, 상기 처리 용기내로 제 1 및 제 2 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구비하며, 상기 처리 가스 토출 기구는 상기 제 1 및 제 2 처리 가스가 도입되는 가스 도입부와, 상기 탑재대를 향해서 제 1 처리 가스 및 제 2 처리 가스를 각각 토출하기 위한 복수의 제 1 및 제 2 가스 토출 구멍을 갖는 가스 토출부와, 상기 가스 도입부와 상기 가스 토출부 사이에 적층하여 형성되고, 편평 형상을 갖는 제 1 및 제 2 가스 확산부를 갖고, 상기 제 1 가스 확산부는 상기 가스 토출부와 상기 가스 도입부 사이의 열전달을 실행하기 위한 복수의 제 1 기둥체와, 상기 제 1 가스 토출 구멍에 연통하고, 상기 복수의 제 1 기둥체 이외의 부분을 구성하는 제 1 가스 확산 공간을 갖고, 상기 제 2 가스 확산부는 상기 제 1 처리 가스가 통류하는 가스 통류 구멍을 갖는 복수의 제 2 기둥체와, 상기 제 2 가스 토출 구멍에 연통하고, 상기 복수의 제 2 기둥체 이외의 부분을 구성하는 제 2 가스 확산 공간을 갖고, 도입된 상기 제 1 처리 가스가 상기 제 1 가스 확산 공간을 거쳐서 상기 제 1 가스 토출 구멍으로부터 토출되고, 도입된 상기 제 2 처리 가스가 상기 제 2 가스 확산 공간을 거쳐서 상기 제 2 가스 토출 구멍으로부터 토출되는 가스 처리 장치가 제공된다.
본 발명의 제 4 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대와 대향하는 위치에 설치되고, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구와, 상기 처리 가스 토출 기구의 온 도 제어 기구를 구비하며, 상기 처리 가스 토출 기구는 상기 처리 가스가 도입되는 가스 도입부와, 상기 탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와, 상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고, 상기 가스 확산부는 상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하는 전열 기둥과, 상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 갖고, 상기 온도 제어 기구는 상기 처리 가스 도입부의 하부로부터 상기 전열 기둥을 거쳐서 열전도된 열을 방열하는 방열 기구를 갖는 가스 처리 장치가 제공된다.
본 발명의 제 5 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대와 대향하는 위치에 설치되고, 상기 처리 용기내에 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구비하며, 상기 처리 가스 토출 기구는 상기 처리 가스가 도입되는 가스 도입부와, 상기 탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와, 상기 가스 도입부와 상기 가스 토출부 사이에 설치되고, 처리 가스를 그 중의 처리 가스 확산 공간에 확산시켜서 상기 가스 토출 구멍에 도입하는 가스 확산부를 갖는 가스 처리 장치에 있어서의 처리 가스 토출 기구의 방열 방법으로서, 상기 가스 확산부에 전열 기둥을 설치해서 상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하여, 상기 처리 가스 토출 기구의 방열을 실행하는 방열 방법이 제공된다.
본 발명의 제 6 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대상의 피처리 기판과 대향하는 위치에 설치되고, 상기 처리 용기내에 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구비하며, 상기 처리 가스 토출 기구는, 제 1 처리 가스 및 제 2 처리 가스가 각각 도입되는 제 1 플레이트와, 상기 제 1 플레이트의 주면에 접촉하는 제 2 플레이트와, 상기 제 2 플레이트에 접촉되고, 상기 탑재대에 탑재된 피처리 기판에 대응해서 복수의 제 1 및 제 2 가스 토출 구멍이 형성된 제 3 플레이트와, 상기 제 1 플레이트와 상기 제 2 플레이트 사이에 설치된 제 1 가스 확산부와, 상기 제 2 플레이트와 상기 제 2 플레이트와 상기 제 3 플레이트 사이에 설치된 제 2 가스 확산부를 갖는 가스 처리 장치에 있어서의 처리 가스 토출 기구의 방열 방법으로서, 상기 제 1 가스 확산부에 상기 제 1 플레이트와 상기 제 2 플레이트를 접속하도록 복수의 제 1 기둥체를 설치하고, 상기 제 2 가스 확산부에 상기 제 2 플레이트와 상기 제 3 플레이트를 접속하도록 복수의 제 2 기둥체를 설치하고, 상기 제 1 기둥체에 의해 상기 제 1 플레이트와 상기 제 2 플레이트 사이의 열전달을 실행하고, 상기 제 2 기둥체에 의해 상기 제 2 플레이트와 상기 제 3 플레이트 사이의 열전달을 실행하여, 상기 처리 가스 토출 기구의 방열을 실행하는 방열 방법이 제공된다.
본 발명의 제 7 관점에 따르면, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대상의 피처리 기판과 대향하는 위치에 설치되고, 상기 처리 용기내로 제 1 및 제 2 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 기구를 구 비하며, 상기 처리 가스 토출 기구는 상기 제 1 및 제 2 처리 가스가 도입되는 가스 도입부와, 상기 탑재대를 향하여 제 1 처리 가스 및 제 2 처리 가스를 각각 토출하기 위한 복수의 제 1 및 제 2 가스 토출 구멍을 갖는 가스 토출부와, 상기 가스 도입부와 상기 가스 토출부 사이에 적층하여 형성되고, 편평 형상을 갖는 제 1 및 제 2 가스 확산부를 갖는 가스 처리 장치에 있어서의 처리 가스 토출 기구의 방열 방법으로서, 상기 제 1 가스 확산부에 복수의 제 1 기둥체를 설치하고, 상기 제 2 가스 확산부에 상기 제 1 처리 가스가 통류하는 가스 통류 구멍을 갖는 복수의 제 2 기둥체를 설치하고, 상기 제 1 기둥체 및 상기 제 2 기둥체에 의해 상기 가스 토출부와 상기 가스 도입부 사이의 열전달을 가고, 상기 처리 가스 토출 기구의 방열을 실행하는 방열 방법이 제공된다.
본 발명의 제 1, 제 2, 제 3 및 제 5, 제 6, 제 7 관점에 따르면, 피처리 기판을 가열하는 탑재대로부터의 복사열을 받는 처리 가스 토출 기구에 있어서, 종래, 편평한 넓은 공간이 형성되어 있었던 가스 확산부에 열전달을 위한 전열 기둥(기둥체)을 설치했으므로, 처리 가스 토출 기구의 두께 방향에 있어서의 열전달을 충분히 실행할 수 있고, 방열 효율을 향상시킬 수 있다. 이 결과, 탑재대와 대향하는 처리 가스 토출 기구가 받는 상기 탑재대로부터의 복사열이 처리 가스 토출 기구의 두께 방향에 배면측에 효율적으로 방산되어서, 처리 가스 토출 기구 그것에 접속되는 가스 공급 배관계 등의 온도 상승을 확실하게 억제할 수 있다.
이 결과, 가스 처리가, 처리 가스 토출 기구로부터 탑재대상의 피처리 기판 에 공급되는 처리 가스의 열분해 반응에 의해 피처리 기판에 대한 성막 처리인 경우에, 처리 가스 토출 기구의 온도를 원료 가스의 열분해 온도 이하로 확실하게 유지하는 것이 가능해지고, 처리 가스 토출 기구의 과열에 의해, 원료 가스가 피처리 기판에 도달하기 전에, 상기 처리 가스 토출 기구의 내부나 접속 배관내에서 열분해 해버리는 등의 결함이 확실하게 방지되고, 예를 들면, 원료 가스의 농도의 저하나 격차 등에 의한 박막 형성 속도의 저하(소요 시간의 증대), 막두께, 막 품질(조성비)의 흐르러짐의 발생, 또한 상기 처리 가스 토출 기구의 내부에 부착된 열분해 반응의 생성 물질이 이물질이 되어서 피처리 기판에 부착하는 것에 기인하는 성막 결함의 발생 등을 확실하게 억제하는 것이 가능하게 된다.
또한, 이와 같이 전열 기둥(기둥체)을 설치하고, 처리 가스 토출 기구의 두께 방향에 있어서의 열전달을 충분히 실행할 수 있으므로, 처리 가스 토출 기구의 상부에 온도 제어 기구를 설치함으로써, 탑재대로부터의 복사열을 받아서 온도가 상승하기 쉬운 처리 가스 토출 기구의 하부의 온도 제어를 유효하게 실행할 수 있고, 상기 효과를 보다 유효하게 발휘할 수 있다.
또한, 가스 확산부에 전열 기둥(기둥체)을 설치함으로써, 격벽을 설치한 경우와 같이 가스 확산 공간이 분단되지 않고 연속하고 있으므로, 가스가 가스 확산 공간내에서 균일하게 확산되고, 균일하게 하측으로 토출시킬 수 있고, 또 가스 확산 공간에 가스를 공급하는 가스 유로를, 분단 영역마다 분기하여 접속하는 등의 번잡한 가스 배관(유로)의 설치가 불필요하게 되고, 배관 경로의 단축화, 간소화를 실현할 수 있다.
또한, 본 발명의 제 4 관점에 따르면, 처리 가스 토출 기구의 온도를 제어하는 온도 제어 기구가 상기 처리 가스 도입부의 하부로부터 상기 전열 기둥을 거쳐서 방열되는 열을 방열하는 방열 기구를 가지므로, 처리 가스 토출 기구의 열을 유효에 방산시킬 수 있고, 처리 가스 토출 기구의 온도를 균일하게 제어할 수 있는 동시에, 시간 경과적인 온도 상승을 억제하여 고밀도로 온도 제어를 실행할 수 있다.
이하, 첨부 도면을 참조해서 본 발명의 실시 형태에 대해서 구체적으로 설명한다.
[제 1 실시 형태]
우선, 제 1 실시 형태에 대해서 설명한다. 도 1은 본 발명의 제 1 실시 형태에 따른 성막 처리 장치를 도시하는 단면도이고, 도 2는 본 발명의 제 1 실시 형태에 따른 성막 장치의 하우징의 내부 구조를 도시하는 평면도이며, 도 3은 그 상부 평면도이다. 또한, 도 4 내지 도 11은 이 성막 장치를 구성하는 샤워 헤드의 구성 부품을 도시한 도면이다.
또한, 도 1에서는, 샤워 헤드의 단면은 후술하는 도 6의 X-X선의 부분에서의 절단면이 도시되어 있고, 중앙부를 경계에 좌우가 비대칭으로 되어 있다.
이 성막 장치는, 도 1에 도시하는 바와 같이, 예를 들면 알루미늄 등에 의해 구성되는 평단면이 대략 직사각형의 하우징(1)을 갖고 있고, 이 하우징(1)의 내부는 바닥이 원통형으로 형성된 처리 용기(2)로 되어 있다. 처리 용기(2)의 바닥부 에는 램프 유닛(100)이 접속되는 개구(2a)가 설치되고, 이 개구(2a)의 외측에서부터 석영으로 이루어진 투과창(2d)이 O링으로 이루어지는 밀봉 부재(2c)에 의해, 처리 용기(2)가 기밀하게 밀봉되어 있다. 처리 용기(2)의 상부에는 덮개(3)가 개폐가능하게 설치되어 있고, 이 덮개(3)에 지지되도록 가스 토출 기구인 샤워 헤드(40)가 설치된다. 이 샤워 헤드(40)의 상세한 것은 후술한다. 또한, 도 1에는 도시하지는 않았지만, 하우징(1)의 배후에 샤워 헤드(40)를 거쳐서 처리 용기내에 각종 가스를 공급하는 후술하는 가스 공급원(60)(도 18 참조)이 설치된다. 또한, 가스 공급원(60)에는 원료 가스를 공급하는 원료 가스 배관(51) 및 산화제 가스를 공급하는 산화제 가스 배관(52)이 접속되어 있다. 산화제 가스 배관(52)은 산화제 가스 분기 배관(52a, 52b)에 분기하고 있고, 원료 가스 배관(51) 및 산화제 가스 분기 배관(52a, 52b)이 샤워 헤드(40)에 접속되어 있다.
처리 용기(2)의 내부에는 원통형의 실드 베이스(shield base)(8)가 처리 용기(2)의 바닥부로부터 세워 설치되어 있다. 실드 베이스(8) 상부의 개구에는, 환형의 베이스 링(7)이 배치되어 있고, 베이스 링(7)의 내주측에는 환형의 부착 부재(6)가 지지되고, 부착 부재(6)의 내주측의 단차부에 지지되어 웨이퍼(W)를 탑재하는 탑재대(5)가 설치된다. 실드 베이스(8)의 외측에는, 후술하는 배플 플레이트(9)가 설치된다.
배플 플레이트(9)에는 복수의 배기구(9a)가 형성되어 있다. 처리 용기(2)의 외주 바닥부에 있어서, 실드 베이스(8)를 둘러싸는 위치에는, 바닥부 배기 유로(71)가 설치되어 있고, 배플 플레이트(9)의 배기구(9a)를 거쳐서 처리 용기(2)의 내부가 바닥부 배기 유로(71)에 연통함으로써, 처리 용기(2)의 배기가 균일하게 수행되는 구성으로 되어 있다. 하우징(1)의 하측에는 처리 용기(2)를 배기하는 배기 장치(101)가 배치되어 있다. 배기 장치(101)에 의한 배기의 상세에 대해서는 후술한다.
상술한 덮개(3)는 처리 용기(2) 상부의 개구 부분에 설치되어 있고, 이 덮개(3)의 탑재대(5)상에 탑재된 웨이퍼(W)와 대향하는 위치에 샤워 헤드(40)가 설치되어 있다.
탑재대(5), 부착 부재(6), 베이스 링(7) 및 실드 베이스(8)로 둘러싸인 공간내에는, 원통형의 리플렉터(4)가 처리 용기(2)의 바닥부로부터 세워 설치되어 있고, 이 리플렉터(4)는 도시하지 않는 램프 유닛으로부터 방사되는 열선을 반사하여, 탑재대(5)의 하면에 도달하는 것으로, 탑재대(5)가 효율적으로 가열되도록 작용한다. 또한, 가열원으로서는 상술한 램프에 한정하지 않고, 탑재대(5)에 저항 가열체를 매설하여 상기 탑재대(5)를 가열하도록 해도 좋다.
이 리플렉터(4)에는 예를 들면 3개소에 슬릿부가 설치되고, 이 슬릿부와 대응한 위치에 웨이퍼(W)를 탑재대(5)로부터 들어올리기 위한 리프트 핀(12)이 각각 승강 가능하게 배치되어 있다. 리프트 핀(12)은 핀 부분과 지시 부분에서 일체로 구성되고, 리플렉터(4)의 외측에 설치된 원환형의 유지 부재(13)에 지지되어 있고, 도시하지 않는 액추에이터로 유지 부재(13)를 승강시키는 것으로 상하 운동한다. 이 리프트 핀(12)은 램프 유닛으로부터 조사되는 열선을 투과하는 재료, 예컨대 석 영이나 세라믹(Al2O3, AlN, SiC)으로 구성되어 있다.
리프트 핀(12)은 웨이퍼(W)를 주고받을 때에는 리프트 핀(12)이 탑재대(5)로부터 소정 길이 돌출할 때까지 상승되고, 리프트 핀(12)상에 지지된 웨이퍼(W)를 탑재대(5)상에 탑재할 때에는, 리프트 핀(12)이 탑재대(5)에 밀어 넣어진다.
탑재대(5) 바로 아래의 처리 용기(2)의 바닥부에는, 개구(2a)를 둘러싸도록 리플렉터(4)가 설치되어 있고, 이 리플렉터(4)의 내주에는, 석영 등의 열선 투과 재료로 이루어진 가스 실드(17)가 그 전체 주위를 지지됨으로써 부착되어 있다. 가스 실드(17)에는 복수의 구멍(17a)이 형성되어 있다.
또한, 리플렉터(4)의 내주에 지지된 가스 실드(17)의 하측의 투과창(2d) 사이의 공간내에는, 퍼지 가스 공급 기구로부터의 퍼지 가스(예를 들면, N2, Ar 가스 등의 불활성 가스)가 처리 용기(2)의 바닥부에 형성된 퍼지 가스 유로(19) 및 이 퍼지 가스 유로(19)와 연통하는, 리플렉터(4)의 내측 하부의 8개소에 나란히 배치된 가스 배출 구멍(18)을 거쳐서 공급된다.
이와 같이 하여 공급된 퍼지 가스를, 가스 실드(17)의 복수의 구멍(17a)을 통하여, 탑재대(5)의 배면측에 유입시킴으로써, 후술하는 샤워 헤드(40)로부터의 처리 가스나 클리닝 가스가 탑재대(5)의 이면측의 공간에 침입하여 투과창(2d)에 박막의 퇴적이나 에칭에 의한 손상 등의 데미지(damage)를 주는 것을 방지하고 있다.
하우징(1)의 측면에는, 처리 용기(2)에 연통하는 웨이퍼 출입구(15)가 설치 되고, 이 웨이퍼 출입구(15)는 게이트 밸브(16)를 거쳐서 도시한 로드로크실에 접속되어 있다.
도 2에 예시된 바와 같이, 환형의 바닥부 배기 유로(71)는 하우징(1)의 바닥부의 대각 위치에, 처리 용기(2)를 끼어서 대칭으로 배치된 배기 합류부(72)에 연통하고, 이 배기 합류부(72)는 하우징(1)의 모서리부(角部)내에 설치된 상승 배기 유로(73), 하우징(1)의 상부에 설치된 횡방향 배기관(74)을 거쳐서, 하우징(1)의 모서리부를 관통하여 배치된 하강 배기 유로(75)에 접속되고, 하우징(1)의 하측에 배치된 배기 장치(101)(도 1 참조)에 접속되어 있다. 이와 같이, 하우징(1)의 모서리부의 빈 공간을 이용하여 상승 배기 유로(73)나 하강 배기 유로(75)를 배치함으로써, 배기 유로의 설치가 하우징(1)의 풋프린트(footprint)내에서 완결하므로, 장치의 설치 면적이 증대하지 않고, 박막 형성 장치의 설치의 공간 절약화가 가능하게 된다.
또한, 탑재대(5)에는, 복수의 열전대(80)가 예를 들면 하나는 중심 부근에, 또 다른 하나는 에지 부근에 삽입되고, 이들 열전대(80)로 탑재대(5)의 온도가 측정되고, 이 열전대(80)의 측정 결과에 근거하여 탑재대(5)의 온도가 제어되게 되어 있다.
다음에, 샤워 헤드(40)에 대해서 상세하게 설명한다.
샤워 헤드(40)는, 그 외연부가 덮개(3) 상부와 끼워맞춰지도록 형성된 통형상의 샤워 베이스(제 1 플레이트)(41)와, 이 샤워 베이스(41)의 하면에 밀착한 원반 형상의 가스 확산판(제 2 플레이트)(42)과, 이 가스 확산판(42)의 하면에 부착 되는 샤워 플레이트(제 3 플레이트)(43)를 갖고 있다. 샤워 헤드(40)를 구성하는 최상부의 샤워 베이스(41)는 샤워 헤드(40) 전체의 열이 외부에 방산되는 구성으로 되어 있다. 샤워 헤드(40)는 전체적인 형상이 원주 형상을 하고 있지만, 사각기둥 형상이어도 좋다.
샤워 베이스(41)는 베이스 고정 나사(41j)를 거쳐서 덮개(3)에 고정되어 있다. 이 샤워 베이스(41)와 덮개(3)의 접합부에는, 덮개 O링 홈(3a) 및 덮개 O링(3b)이 설치되고, 양자가 기밀하게 접합되어 있다.
도 4는 이 샤워 베이스(41)의 상부 평면도이고, 도 5는 그 하부 평면도, 도 9는 도 4에 있어서의 IX-IX선 부분의 단면도이다. 샤워 베이스(41)는 중앙에 설치되고, 원료 가스 배관(51)이 접속되는 제 1 가스 도입로(41a)와, 산화제 가스 배관(52)의 산화제 가스 분기 배관(52a, 52b)이 접속되는 복수의 제 2 가스 도입로(41b)를 구비하고 있다. 제 1 가스 도입로(41a)는 샤워 베이스(41)를 관통하도록 수직하게 연장되어 있다. 또한, 제 2 가스 도입로(41b)는 도입부로부터 샤워 베이스(41)의 도중까지의 수직하게 연장되고, 거기에서 수평으로 연장되고 다시 수직으로 연장되는 갈고리 형상을 갖고 있다. 도면에서는 산화제 가스 분기 배관(52a, 52b)은 제 1 가스 도입로(41a)를 끼어서 대칭인 위치에 배치되어 있지만, 가스를 균일하게 공급할 수 있으면 어떠한 위치여도 좋다.
샤워 베이스(41)의 하면[가스 확산판(42)에 대한 접합면]에는, 외주 O링 홈(41c) 및 내주 O링 홈(41d)이 설치되고, 외주 O링(41f) 및 내주 O링(41g)이 각각 장착됨으로써, 접합면이 기밀하게 유지되어 있다. 또한, 제 2 가스 도입로(41b)의 개구부에도, 가스 통로 O링 홈(41e) 및 가스 통로 O링(41h)이 설치된다. 이로써, 원료 가스와 산화제 가스가 혼합되는 것을 확실하게 방지하고 있다.
이 샤워 베이스(41)의 하면에는 가스 통로를 갖는 가스 확산판(42)이 배치되어 있다. 도 6은 이 가스 확산판(42)의 상측 평면도이고, 도 7은 그 하측 평면도이며, 도 10은 도 6에 있어서의 X-X선의 단면도이다. 가스 확산판(42)의 상면측 및 하면측에는 각각 제 1 가스 확산부(42a) 및 제 2 가스 확산부(42b)가 설치된다.
상측의 제 1 가스 확산부(42a)는 제 1 가스 통로(42f)의 개구 위치를 피하여, 복수의 원주 형상 돌기의 전열 기둥(42e)을 갖고 있고, 전열 기둥(42e) 이외의 공간부가 제 1 가스 확산 공간(42c)으로 되어 있다. 이 전열 기둥(42e)의 높이는 제 1 가스 확산부(42a)의 깊이와 거의 동일하게 되어 있고, 상측에 위치하는 샤워 베이스(41)에 밀착함으로써, 하측의 샤워 플레이트(43)로부터의 열을 샤워 베이스(41)에 전달하는 기능을 갖는다.
하측의 제 2 가스 확산부(42b)는 복수의 원주 형상 돌기(42h)를 갖고 있고, 원주 형상 돌기(42h) 이외의 공간부가 제 2 가스 확산 공간(42d)으로 되어 있다. 제 2 가스 확산 공간(42d)은 상기 가스 확산판(42)을 수직으로 관통하여 형성된 제 2 가스 통로(42g)를 경유하여 샤워 베이스(41)의 제 2 가스 도입로(41b)에 연통한다. 원주 형상 돌기(42h)의 일부에는, 피처리체의 영역과 동일 영역 이상, 바람직하게는 10% 이상의 영역까지, 중심부에 제 1 가스 통로(42f)가 관통하여 형성되어 있다. 이 원주 형상 돌기(42h)의 높이는 제 2 가스 확산부(42b)의 깊이와 거의 동일하게 이루어져 있고, 가스 확산판(42)의 하측에 밀착하는 샤워 플레이트(43)의 상면에 밀착하고 있다. 또한, 원주 형상 돌기(42h) 중 제 1 가스 통로(42f)가 형성된 것은, 하측에 밀착하는 샤워 플레이트(43)의 후술하는 제 1 가스 토출구(43a)와 제 1 가스 통로(42f)가 연통하도록 배치되어 있다. 또한, 원주 형상 돌기(42h) 전체에 제 1 가스 통로(42f)가 형성되어 있어도 좋다.
도 12에 확대하여 도시하는 바와 같이, 상기 전열 기둥(42e)의 직경(d0)은 예컨대 2~20㎜이며, 바람직하게는 5~12㎜이다. 또한, 인접하는 전열 기둥(42e)의 간격(d1)은 예컨대 2㎜~20㎜이고, 바람직하게는 2~10㎜이다. 또한, 복수의 전열 기둥(42e)의 단면적의 합계값(S1)의 제 1 가스 확산부(42a)의 단면적(S2)에 대한 비[면적비(R)=(S1/S2)]가 0.05~0.50이 되도록 전열 기둥(42e)이 배치되는 것이 바람직하다. 이 면적비(R)가 0.05보다 작으면 샤워 베이스(41)에 대한 열전달 효율 향상 효과가 작아져 방열성이 나빠지고, 반대로 0.50보다 크면 제 1 가스 확산 공간(42c)에 있어서의 가스의 유로 저항이 커져서 가스류의 불균일이 발생하고, 기판에 성막했을 때에 면내의 막두께의 격차(불균일성)가 커질 우려가 있다. 또한, 본 실시 형태에서는, 도 12에 도시하는 바와 같이, 인접하는 제 1 가스 통로(42f)와 전열 기둥(42e) 사이의 거리가 일정하게 되도록 되어 있다. 그러나, 이러한 형태에 한정하지 않고, 전열 기둥(42e)은 제 1 가스 통로(42f) 사이에 있으면 어떤 배치에서도 좋다.
또한, 전열 기둥(42e)의 단면 형상은, 도 12에 도시하는 원형 이외에 타원형 등의 곡면 형상이면 유로 저항이 적으므로 바람직하지만, 도 13에 도시하는 삼각형, 도 14에 도시하는 4각형, 도 15에 도시하는 팔각형 등의 다각형 기둥이어도 좋 다.
또한, 전열 기둥(42e)의 배열은 격자 형상 또는 지그재그 형상으로 배열되는 것이 바람직하고, 제 1 가스 통로(42f)는 전열 기둥(42e)의 배열의 격자 형상 또는 지그재그 형상의 중심으로 형성되는 것이 바람직하다. 예를 들면, 전열 기둥(42e)이 원주인 경우에는, 지름(d0) : 8㎜, 간격(d1) : 2㎜의 치수로 전열 기둥(42e)을 격자 형상 배치함으로써, 면적비(R)는 0.44가 된다. 이러한 전열 기둥(42e)의 치수 및 배치에 의해, 열전도 효율 및 가스류의 균일성을 어느 정도 높게 유지할 수 있다. 또한, 면적비(R)는 각종 가스에 따라 적당하게 설정해도 좋다.
또한, 제 1 가스 확산부(42a)의 주변부 근방[내주 O링 홈(41d)의 외측 근방]의 복수 개소에는, 상기 제 1 가스 확산부(42a)내의 전열 기둥(42e)의 상단부를 상측의 샤워 베이스(41)의 하면에 밀착시키기 위한 복수의 확산판 고정 나사(41k)가 설치되어 있다. 이 확산판 고정 나사(41k)에 의한 체결력에 의해, 제 1 가스 확산부(42a)내의 복수의 전열 기둥(42e)이 샤워 베이스(41)의 하면에 확실하게 밀착하고 열전도 저항이 감소하여 전열 기둥(42e)에 의한 확실한 열전도 효과를 얻을 수 있다. 고정 나사(41k)는 제 1 가스 확산부(42a)의 전열 기둥(42e)에 장착되어도 좋다.
제 1 가스 확산부(42a)내에 설치된 복수의 전열 기둥(42e)은 격벽과 같이 공간을 구획하지 않으므로, 제 1 가스 확산 공간(42c)은 분단되지 않고 연속적으로 형성되어 있고, 제 1 가스 확산 공간(42c)에 도입된 가스는, 그 전체에 걸쳐서 확산한 상태에서 하측으로 토출시킬 수 있다.
또한, 본 발명자의 검토 결과에 따르면, 가스 확산 공간에 있어서의 가스의 확산성은 샤워 헤드로 가스를 도입하는 가스 배관의 수직부의 길이에 의존하고, 수직부의 길이가 충분히 길면, 가스 도입부에서 관성이나 진행 방향의 변화에 의해 기우는 것이 방지되고, 가스 확산 공간에 있어서의 가스의 균일 확산성을 양호한 것으로 할 수 있음이 밝혀졌다. 수직부의 길이가 작으면, 가스 확산 공간으로 가스가 비스듬히 공급됨으로써, 가스의 관성이나 흐름 방향의 변화에 의한 압력 변동에 의해 가스 도입부의 내부에 있어서 가스의 압력 분포가 불균일로 된다. 특히, 원료 가스의 경우에는, 이 가스의 압력 분포의 불균일에 의해 성막 처리의 균일성이 손상된다. 그리고, 이 불균일은 이용되는 가스의 비중의 증가에 따라 현저해진다.
다음에, 가스를 도입하는 배관의 수직부의 길이와 가스 도입부로부터 도입되는 가스의 유속 분포의 시뮬레이션 결과에 대하여 설명한다. 여기에서는, 계산 모듈로서 가스 흐름의 정상 계산을 이용하고, 도 16에 도시하는 바와 같이, 배관을 수평부(PH) 및 90°굴곡시킨 수직부(PV)를 갖는 것으로 하고, 조건으로서, 배관 직경을 11㎜φ, 가스·벽면 온도를 210℃로 하고, 유입 가스를 불활성 가스인 Ar 가스 및 유기 가스인 초산 부틸을 미리 균일 혼합한 것으로 하고, 유입 단부에 있어서의 Ar 가스 유량을 300mL/분(가스), 초산 부틸 유량을 1.2mL/분(액체)의 고정값으로 하고, 배관의 유출측의 압력을 319.2Pa(2.4Torr)의 고정값으로서, 수직부(PV)의 길이(H)를 46㎜, 92㎜, 138㎜로 변화시켜서 계산했다. 또한, 유출측의 압력은 압력 손실의 식인 하겐·포아제유(Hagen-Poisuille)의 식으로부터의 추정값이다.
그 결과를 도 17에 도시한다. 도 17은 횡축에 배관내의 직경방향의 위치로 하고 종축에 유속으로 하여 이들의 관계를 도시하는 그래프이다. 이 도면에 도시하는 바와 같이, H가 46㎜로 작을 경우에는 가스의 유속 분포가 불균일로 되고 있지만, H가 92㎜, 138㎜로 증가함에 따라서, 가스의 유속 분포가 균일해지는 것을 안다. 수직부(PV)의 길이(H)가 138㎜인 경우에, 가스의 유입량을 50~500%에 변화시켜도 가스 공급량의 격차는 2%보다 작고, 가스 공급 균일성이 실현되고, 성막된 막의 면내 균일성도 높은 것이 되었다.
또한, 상술한 바와 같이 제 1 가스 확산 공간(42c)이 연속적으로 형성되어 있기 때문에, 제 1 가스 확산 공간(42c)에는 하나의 제 1 가스 도입로(41a) 및 원료 가스 배관(51)을 거쳐서 원료 가스를 도입할 수 있고, 원료 가스 배관(51)의 샤워 헤드(40)에 대한 접속 개소의 삭감 및 설치 경로의 간소(단축)화를 실현할 수 있다. 이 결과, 원료 가스 배관(51)의 경로의 단축에 의해, 가스 공급원(60)으로부터 배관 패널(61)을 거쳐서 공급되는 원료 가스의 공급/공급 정지의 제어 정밀도가 향상시키는 동시에, 장치 전체의 설치 스페이스의 삭감을 실현할 수 있다.
도 1에 도시하는 바와 같이, 원료 가스 배관(51)은 전체로서 아치형상으로 구성되고, 원료 가스가 수직하게 상승하는 수직 상승 부분(51a), 거기에 연속하는 비스듬하게 상측으로 상승하는 경사 상승 부분(51b), 거기에 연속하는 하강 부분(51c)을 갖고 있고, 수직 상승 부분(51a)과 경사 상승 부분(51b)의 접속 부분, 경사 상승 부분(51b)과 하강 부분(51c)의 접속 부분은 완만한(곡률반경이 큰) 만곡 형상으로 되어 있다. 이로써, 원료 가스 배관(51)의 도중에 압력 변동을 방지할 수 있다.
상술한 가스 확산판(42)의 하면에는, 가스 확산판(42)의 상면으로부터 삽입되고, 그 원주방향으로 배열된 복수의 고정 나사(42j, 42m, 42n)를 거쳐서 샤워 플레이트(43)가 부착되어 있다. 이와 같이 가스 확산판(42)의 상면으로부터 이들 고정 나사를 삽입하는 것은, 샤워 플레이트(40)의 표면에 나사산 또는 나사 홈을 형성하면 샤워 헤드(40)의 표면에 성막된 막이 벗겨지기 쉬워지기 때문이다. 이하, 샤워 플레이트(43)에 대해서 설명한다. 도 8은 이 샤워 플레이트(43)의 상측의 평면도이고, 도 11은 도 8에 있어서 XI-XI선으로 표시되는 부분의 단면도이다.
이 샤워 플레이트(43)에는, 복수의 제 1 가스 토출구(43a) 및 복수의 제 2 가스 토출구(43b)가 교대로 나란하도록 배치 형성되어 있다. 즉, 복수의 제 1 가스 토출구(43a) 각각은 상측의 가스 확산판(42)의 복수의 제 1 가스 통로(42f)에 연통하도록 배치되고, 복수의 제 2 가스 토출구(43b)는 상측의 가스 확산판(42)의 제 2 가스 확산부(42b)에 있어서의 제 2 가스 확산 공간(42d)에 연통하도록, 즉 복수의 원주 형상 돌기(42h)의 간격으로 배치되어 있다.
이 샤워 플레이트(43)에서는, 산화제 가스 배관(52)에 접속되는 복수의 제 2 가스 토출구(43b)가 최외주에 배치되고, 그 내측에, 제 1 가스 토출구(43a) 및 제 2 가스 토출구(43b)가 교대로 균등하게 배열된다. 이 교대로 배열된 복수의 제 1 가스 토출구(43a) 및 제 2 가스 토출구(43b)의 배열 피치(dp)는 일례로서 7㎜, 제 1 가스 토출구(43a)는 예컨대 460개, 제 2 가스 토출구(43b)는 예컨대 509개이다. 이들의 배열 피치(dp) 및 개수는 피처리체의 사이즈, 성막 특성에 따라 적절하게 설정된다.
샤워 헤드(40)를 구성하는, 샤워 플레이트(43), 가스 확산판(42) 및 샤워 베이스(41)는 주변부에 배열된 적층 고정 나사(43d)를 거쳐서 체결되어 있다.
또한, 적층된 샤워 베이스(41), 가스 확산판(42), 샤워 플레이트(43)에는, 열전대(10)를 장착하기 위한 열전대 삽입 구멍(41i), 열전대 삽입 구멍(42i), 열전대 삽입 구멍(43c)이 두께 방향에 겹치는 위치에 설치되고, 샤워 플레이트(43)의 하면이나, 샤워 헤드(40)의 내부의 온도를 측정하는 것이 가능하게 되어 있다. 열전대(10)를 중심과 외주부에 설치하고, 샤워 플레이트(43)의 하면의 온도를 더욱 균일하게 정밀도 좋게 제어할 수도 있다. 이로써 기판을 균일하게 가열할 수 있으므로, 면내 균일한 성막이 가능하다.
샤워 헤드(40)의 상면에는, 외측과 내측으로 분할된 환형의 복수의 히터(91)와, 히터(91) 사이에 설치되고, 냉각수 등의 냉매가 유통하는 냉매 유로(92)로 이루어지는 온도 제어 기구(90)가 배치되어 있다. 열전대(10)의 검출 신호는 온도 제어기(110)에 입력되고, 온도 제어기(110)는 이 검출 신호에 근거하여, 히터 전원 출력 유닛(93) 및 냉매원 출력 유닛(94)에 제어 신호를 출력하고, 온도 제어 기구(90)에 피드백하여, 샤워 헤드(40)의 온도를 제어하는 것이 가능하게 되어 있다.
다음에, 도 18을 참조하여 샤워 헤드(40)를 거쳐서 처리 용기(2)내에 각종 가스를 공급하기 위한 가스 공급원(60)에 대해서 설명한다.
가스 공급원(60)은 원료 가스를 생성하기 위한 기화기(60h)와, 이 기화기(60h)에 액체 원료(유기 금속 화합물)를 공급하는 복수의 원료 탱크(60a 내지 60c) 및 용매 탱크(60d)를 구비하고 있다. 그리고, PZT의 박막을 형성할 경우에는, 예를 들면, 유기 용매에 소정 온도로 조정된 액체 원료로서, 원료 탱크(60a)에는 Pb(thd)2가 저류되고, 원료 탱크(60b)에는 Zr(OiPr)(thd)3이 저류되고, 원료 탱크(60c)에는 Ti(OiPr)2(thd)2가 저류되어 있다.
또한, 용매 탱크(60d)에는 CH3COO(CH2)3CH3이 저류되어 있다.
복수의 원료 탱크(60a 내지 60c)는 유량계(60f), 원료 공급 제어 밸브(60g)를 거쳐서 기화기(60h)에 접속되어 있다. 이 기화기(60h)에는, 퍼지 가스 공급 제어 밸브(60j), 유량 제어부(60n) 및 혼합 제어 밸브(60p)를 거쳐서 캐리어(퍼지) 가스원(60i)이 접속되고, 이로써 각각의 액체 원료 가스가 기화기(60h)에 도입된다.
용매 탱크(60d)는 유체 유량계(60f), 원료 공급 제어 밸브(60g)를 거쳐서 기화기(60h)에 접속되어 있다. 그리고, 압송용 가스원의 He 가스를 복수의 원료 탱크(60a 내지 60c) 및 용매 탱크(60d)에 도입하고, He 가스의 압력에 의해 각각의 탱크로부터 공급되는 각 액체 원료 및 용매는 소정의 혼합비로 기화기(60h)에 공급되고, 기화되어서 원료 가스로서 원료 가스 배관(51)에 송출되고, 밸브 블록(61)에 설치된 밸브(62a)를 거쳐서 샤워 헤드(40)에 도입된다.
또한, 가스 공급원(60)에는, 퍼지 가스 유로(53, 19) 등에, 퍼지 가스 공급 제어 밸브(60j), 밸브(60s, 60x), 유량 제어부(60k, 60y), 밸브(60t, 60z)를 거쳐서, 예컨대 Ar, He, N2 등의 불활성 가스를 공급하는 캐리어(퍼지) 가스원(60i) 및 산화제 가스 배관(52)에, 산화제 가스 공급 제어 밸브(60r), 밸브(60v), 유량 제어부(60u), 밸브 블록(61)에 설치된 밸브(62b)를 거쳐서, 예컨대 NO2, N2O, O2, O3, NO 등의 산화제(가스)를 공급하는 산화제 가스원(60q)이 설치된다.
또한, 캐리어(퍼지) 가스원(60i)은 원료 공급 제어 밸브(60g)가 닫힌 상태에서, 밸브(60w), 유량 제어부(60n) 및 혼합 제어 밸브(60p)를 통하여 캐리어 가스를 기화기(60h)내에 공급함으로써, 필요에 따라서, 기화기(60h)내의 불필요한 원료 가스를 Ar 등으로 이루어지는 캐리어 가스에 의해 원료 가스 배관(51)의 배관내를 포함하여 퍼지 가능하게 되어 있다. 마찬가지로, 캐리어(퍼지) 가스원(60i)은 혼합 제어 밸브(60m)를 거쳐서 산화제 가스 배관(52)에 접속되고, 필요에 따라서, 배관내 등의 산화제 가스나 캐리어 가스를 Ar 등의 퍼지 가스로 퍼지 가능한 구성으로 되어 있다. 또한, 캐리어(퍼지) 가스원(60i)은 밸브(60s), 유량 제어부(60k), 밸브(60t), 밸브 블록(61)에 설치된 밸브(62c)를 거쳐서, 원료 가스 배관(51)의 밸브(62a)의 하류측에 접속되고, 밸브(62)를 닫은 상태에 있어서의 원료 가스 배관(51)의 하류측을 Ar 등의 퍼지 가스로 퍼지 가능한 구성으로 되어 있다.
다음에, 이와 같이 구성되는 성막 장치의 동작에 대해서 설명한다.
우선, 처리 용기(2)내는, 바닥부 배기 유로(71), 배기 합류부(72), 상승 배기 유로(73), 횡방향 배기관(74) 및 하강 배기 유로(75)를 경유한 배기 경로에서 도시하지 않는 진공 펌프에 의해 배기됨으로써, 예컨대 100~550Pa 정도의 진공도로 된다.
이 때, 캐리어(퍼지) 가스원(60i)으로부터 퍼지 가스 유로(19)를 경유하여 복수의 가스 배출 구멍(19)으로부터 가스 실드(17)의 배면(하면)측에는 Ar 등의 퍼지 가스가 공급되고, 이 퍼지 가스는 가스 실드(17)의 구멍(17a)을 통과하여 탑재대(5)의 배면측에 유입하고, 실드 베이스(8)의 간극을 경유하여, 바닥부 배기 유로(71)에 흘러 들어오고, 가스 실드(17)의 하측으로 위치하는 투과창(2d)으로의 박막의 퇴적이나 에칭 등의 데미지를 방지하기 위한 정상적인 퍼지 가스류가 형성되어 있다.
이 상태의 처리 용기(2)에 있어서, 도시하지 않은 로봇 핸드 기구 등에 의해, 리프트 핀(12)을 탑재대(5)상에 돌출하도록 상승시키고, 게이트 밸브(16), 웨이퍼 출입구(15)를 경유하여 웨이퍼(W)를 반입하고, 도시하지 않은 로봇 핸드 기구 등에 의해, 리프트 핀(12)에 탑재되어 게이트 밸브(16)를 닫는다.
다음에, 리프트 핀(12)을 강하시켜서 웨이퍼(W)를 탑재대(5)상에 탑재하는 동시에, 하측의 도시하지 않는 램프 유닛을 점등시켜서 열선을 투과창(2d)을 거쳐서 탑재대(5)의 하면(배면)측에 조사하고, 탑재대(5)에 탑재된 웨이퍼(W)를, 예컨대 450℃~700℃ 사이에서, 예컨대 500℃의 온도가 되도록 가열한다.
그리고, 이와 같이 가열된 웨이퍼(W)에 대하여, 샤워 헤드(40)의 하면의 샤워 플레이트(43)의 복수의 제 1 가스 토출구(43a) 및 제 2 가스 토출구(43b)로부 터, 예컨대 Pb(thd)2, Zr(OiPr)(thd)3, Ti(OiPr)2(thd)2가 소정 비율(예컨대 PZT를 구성하는 Pb, Zr, Ti, O 등의 원소가 소정의 화학량 이론비가 되도록 한 비율)로 혼합된 원료 가스 및 NO2 등의 산화제(가스)를, 가스 공급원(60)에 의해 토출 공급하고, 이들 원료 가스나 산화제 가스의 각각의 열분해 반응이나 상호간의 화학 반응으로, 웨이퍼(W)의 표면에는, PZT로 이루어지는 박막이 형성된다.
즉, 가스 공급원(60)의 기화기(60h)로부터 도래하는 기화된 원료 가스는, 캐리어 가스와 함께 원료 가스 배관(51)으로부터 가스 확산판(42)의 제 1 가스 확산 공간(42c), 제 1 가스 통로(42f), 샤워 플레이트(43)의 제 1 가스 토출구(43a)를 경유하여, 웨이퍼(W)의 상부 공간에 토출 공급된다. 마찬가지로, 산화제 가스원(60q)으로부터 공급되는 산화제 가스는, 산화제 가스 배관(52), 산화제 가스 분기 배관(52a), 샤워 베이스(41)의 제 2 가스 도입로(41b), 가스 확산판(42)의 제 2 가스 통로(42g)를 경유하여 제 2 가스 확산 공간(42d)에 도달하고, 샤워 플레이트(43)의 제 2 가스 토출구(43b)를 경유하여 웨이퍼(W)의 상부 공간에 토출 공급된다. 원료 가스와 산화성 가스는 각각 샤워 헤드(40)내로 혼합하지 않도록 처리 용기(2)내에 공급된다. 그리고, 이 원료 가스 및 산화제 가스의 공급 시간의 제어에 의해, 웨이퍼(W)상에 형성되는 박막의 막두께가 제어된다.
종래의 샤워 헤드에서는, 탑재대로부터 열 복사를 받았을 때에, 외주측 부분에서는 비교적 양호한 열전달을 실행하기 위해서 온도를 억제할 수 있는 것중, 그 제 1 가스 확산부(42a)에 해당하는 부분은 대략 모두 공간으로 되어 있어서 공간 영역이 크기 때문에, 단열 효과에 의해 열전달이 충분히 행하여지지 않고 온도가 높아지고, 샤워 헤드 표면에 온도차가 형성되는 동시에, 성막 처리를 연속적으로 실행함으로써, 샤워 헤드 중앙부의 온도 상승이 현저해지는 것이 문제가 되고 있었다.
이에 대하여, 본 실시 형태의 경우에는, 탑재대(5)로부터의 복사열은 샤워 플레이트(43)에 전달하지만, 그 열은 가스 확산판(42)의 제 2 가스 확산부(42b)에 설치된 복수의 원주 형상 돌기(42h)에 전달되어 가스 확산판(42)에 도달하고, 또한 제 1 가스 확산부(42a)에 설치된 복수의 전열 기둥(42e)에 전달되어 샤워 베이스(41)에 도달한다. 즉, 샤워 플레이트(43)로부터의 열전달 효율이 양호하게 되어서 방열 효과가 향상하고, 대기측의 최상부의 샤워 베이스(41)의 중앙부에 효율적으로 전달되어, 이 샤워 베이스(41)로부터 대기 중에 방산된다. 이 때문에, 본 실시 형태의 경우에는, 탑재대(5)를 상술한 바와 같은 300℃~700℃의 고온으로 가열할 경우에도, 샤워 헤드(40)의 표면(하면)의 온도를 종래에 비해 낮게 하는 것이 가능하다. 또한, 전열 기둥이 존재하지 않을 경우에는, 샤워 헤드 상면에 온도 제어 기구를 설치해도, 샤워 헤드(40)의 내부측에 유효하게 열전도할 수 있지 않기 때문에, 균일한 온도 제어가 실질적으로 곤란했지만, 본 실시 형태에서는 전열 기둥(42e)의 존재에 의해 샤워 헤드(40)의 상면에 설치된 온도 제어 기구(90)에 의해 샤워 헤드(40)의 균일한 온도 제어가 가능해진다.
도 19에, 전열 기둥을 갖는 본 실시 형태의 샤워 헤드와 종래의 공동 상태의 가스 확산 공간을 갖는 샤워 헤드의 온도 분포의 시뮬레이션 결과의 일예를 도시한 다. 여기에서는 기판으로서 200㎜ 웨이퍼를 이용한 경우에 대해서 도시하고, 종래의 공동 상태의 가스 확산 공간을 갖는 샤워 헤드와, 5×5㎜에서 높이 10㎜의 4각 기둥의 전열 기둥을 설치했을 경우(본 실시 형태의 시뮬레이션 모델 1)와, 12×12㎜에서 높이 10㎜의 4각 기둥의 전열 기둥을 설치했을 경우(본 실시 형태의 시뮬레이션 모델 2)에 대해서 시뮬레이션을 수행했다. 이 도면에 도시하는 바와 같이, 종래의 샤워 헤드에서는, 샤워 헤드 전체의 온도가 높아지고, 더구나 웨이퍼의 평면방향에 있어서의 온도 분포도 불균일해진다. 이에 대하여, 본 실시 형태의 시뮬레이션 모델 1에서는, 종래 기술의 경우보다도 샤워 헤드의 온도는 낮아지고, 온도 분포의 균일성도 향상했다. 또한, 본 실시 형태의 시뮬레이션 모델 2에서는, 더욱 샤워 헤드의 온도가 낮아지는 동시에, 온도 분포의 균일성도 보다 양호하게 되었다. 이 결과로, 전열 기둥을 갖는 본 실시 형태의 샤워 헤드를 이용함으로써, 원료 가스의 열분해 반응으로 웨이퍼(W)상에 형성되는 박막의 막두께나 막 품질 분포의 웨이퍼(W)에 있어서의 면내 균일성이 향상하는 것을 알았다. 또한, 본 시뮬레이션에서는 전열 기둥으로서 4각 기둥의 것을 이용했지만, 가스의 흐름의 컨덕턴스를 고려하면 원주 형상이 바람직하다.
또한, 탑재대(5)를 실제로 상술한 바와 같은 300℃~700℃의 고온으로 가열할 경우에도, 샤워 헤드(40)의 표면(하면)의 온도는, 종래에 비해, 예컨대 약 10℃ 낮게 억제 가능한 것이 확인되었다. 예를 들면, 탑재대(5)의 온도를 524℃, 653℃로 설정하고, 샤워 헤드(40)의 온도를 160℃로 설정한 경우, 종래의 실제의 샤워 헤드에서는, 탑재대로부터의 복사열에 의해, 그 온도가 각각 174℃ 정도 및 182℃ 정도 까지 상승한 것에 대해, 본 실시 형태의 경우에는, 각각 164℃ 정도, 172℃ 정도까지의 상승으로 억제하는 것이 가능했다.
도 20은 탑재대의 온도를 653℃로 설정하고, 샤워 헤드의 온도를 160℃로 설정한 경우에 있어서의, 본 실시 형태의 샤워 헤드(40)와 종래의 샤워 헤드의 온도를, 도 21에 도시하는 각 측정 지점마다 비교하여 도시하는 선도이지만, 이 도면에 도시하는 바와 같이, 본 실시 형태에서는, 전열 기둥(42e)의 효과에 의해, 샤워 헤드의 하면[샤워 플레이트(43)]의 온도가 종래 샤워 헤드보다 낮을 뿐만 아니라, 중앙부와 주변부의 온도 분포의 균일화도 달성할 수 있는 것이 확인되었다. 구체적으로는, 전열 기둥 형성 영역(웨이퍼 배치 영역내)의 측정 지점 2~6에서 비교하면, 탑재대로부터의 복사열에 의해 상승한 샤워 헤드 하면의 온도가 종래 샤워 헤드로 180.1~191.1℃로 높고, 더구나 ΔT가 11℃이던 것에 대해, 본 실시 형태의 샤워 헤드에서는 172.2~175.8℃로 종래보다도 저하하고, ΔT가 3.6℃와 샤워 헤드 하면의 중앙부와 주변부의 온도 분포도 5℃ 이내로 종래 샤워 헤드보다도 균일하게 제어되어 있는 것이 확인되었다.
여기서, 원료 가스를 구성하는 Pb(thd)2, Zr(OiPr)(thd)3, Ti(OiPr)2(thd)2의 분해 온도는, 각각, 230℃, 230℃, 235℃ 정도이지만, 실제는, 샤워 헤드(40)의 내부의 온도가 220℃ 이상인 경우, 원료 가스의 샤워 헤드(40)내의 유통 경로의 고온부에서 불균일한 상기 원료 가스(성막 원료)의 열분해가 일어나고, 웨이퍼(W)에 형성되는 박막의 막 조성의 제어성, 균일성에 악영향을 주는 것이 우려된다. 또한, 이 샤워 헤드(40)내에서의 원료 가스의 열분해에 의해 생성된 고형물은 이물질이 되어서 웨이퍼(W)에 부착되고, 성막 결함의 한가지 원인이 된다. 특히, Pb(thd)2는, 최종적인 열분해 온도는 220~240℃이기 때문에, 샤워 헤드(40)의 온도는 220℃ 미만으로 한다. 또한, 부분적인 열분해는 150℃로부터 개시되는 것이 알려져 있기 때문에 샤워 헤드(40)의 온도는 150℃ 이상으로 한다. 따라서, 샤워 헤드(40)의 온도는, 바람직하게는 160~180℃, 예컨대 170℃로 한다. 상술한 도 20으로부터 명확한 바와 같이, 전열 기둥(42e)을 구비한 본 실시 형태의 샤워 헤드(40)에 있어서는, 상술한 바와 같이 거의 전역에서 종래의 샤워 헤드의 경우보다도 측정 온도가 낮고, 상술한 바람직한 170℃ 전후로 제어되고 있고, 전열 기둥(42e)의 방열 효과에 의한 샤워 헤드(40)내에 있어서의 원료 가스의 열분해 반응의 억제 효과를 기대할 수 있다.
본 실시 형태의 경우, 상술한 바와 같이 샤워 헤드(40)의 온도는 170℃ 정도 이하로 유지되기 때문에, 샤워 헤드(40)의 내부에 있어서의 원료 가스의 유통 경로에서 바람직하지 않은 열분해가 발생하는 것이 확실하게 회피되고, 웨이퍼(W)에는, 원하는 조성을 갖고, 균일한 막두께의 PZT 등의 박막이 형성된다.
[제 2 실시 형태]
다음에, 본 발명의 제 2 실시 형태에 대해서 설명한다.
상기 제 1 실시 형태에 있어서는, 전열 기둥(42e)을 설치한 샤워 헤드(40)를 채용함으로써, 전열 기둥(42e)을 거쳐서 샤워 헤드(40)의 이면측에서 방열가능한 구조로 하고, 또 온도 제어 기구(90)에 의해 샤워 헤드(40)의 온도 제어를 가능하게 하고 있지만, 샤워 헤드(40)의 표면에 막 형성되었을 경우에는, 막 형성 이전에는 반사하고 있었던 열이 흡수되고, 전열 기둥(42e) 및 온도 제어 기구(90)만으로는 시간 경과적인 온도 상승을 충분히 억제할 수 없는 경우가 생기고, 막 품질이나 막 조성의 면간 불균일의 원인이 된다.
여기에서, 본 실시 형태에서는, 이러한 결함을 해소할 수 있는 구성을 채용한다. 도 22는 본 발명의 제 2 실시 형태에 따른 성막 장치를 도시하는 단면도이고, 도 23은 그 평면도이다. 이 성막 장치의 기본 구성은 제 1 실시 형태의 성막 장치와 동일하기 때문에 기본적으로 도 1과 동일한 것에는 동일 부호를 붙여서 설명을 생략한다. 또한, O링, O링 홈, 나사 등에 대해서는, 도 1과 완전히 같기 때문에, 부호도 생략하고 있다.
본 실시 형태에 있어서는, 제 1 실시 형태에 있어서의 히터(91) 및 냉매 유로(92) 이외에, 내측의 히터(91)의 또한 내측 부분에 설치된 방열 부재(121)를 갖는 샤워 헤드(40)의 온도 제어 기구(120)를 갖고 있다. 방열 부재(121)는 알루미늄, 동, 알루미늄 합금, 동 합금 등의 열전도성의 양호한 재료로 구성되어 있고, 샤워 헤드(40)의 상면의 내측 부분(전열 기둥 형성 영역)에 부착되고, 샤워 헤드(40)에 접속된 접속부(122)와, 접속부(122)의 상단에 설치되고 외측으로 넓어지도록 부채 형상을 하는 히트 싱크 플레이트(열방산부)(123)를 갖고 있다. 또한, 히터(91)로의 통전 및 냉매 유로(92)에 통류시키는 냉매의 온도나 유량 등은 제 1 실시 형태와 같이 제어된다.
이 방열 부재(121)에 있어서는, 샤워 헤드(40)의 열이 접속부(122)를 거쳐서 히트 싱크 플레이트(123)에 도달하고, 히트 싱크 플레이트(123)의 면으로부터 방산된다. 즉, 방열 부재(121)는 샤워 헤드(40)의 중심부의 열을 외기에 방산시키는 기능을 갖고 있다. 또한, 방열 부재(121)의 히트 싱크 플레이트(123)는 온도 제어 기구(120)의 냉매 유로(92)에 접촉하고 있고, 이로써 더욱 냉각 효율을 높이고 있다.
이와 같이, 방열 부재(121)를 설치함으로써, 성막시에 있어서 샤워 헤드(40)의 표면에 막 형성되어 샤워 헤드(40)의 반사율이 저하하고, 샤워 헤드(40)가 탑재대(5)측으로부터의 열을 흡수해도, 샤워 헤드(40)의 중앙부의 열이 전열 기둥(42e) 및 방열 부재(121)를 거쳐서 방산되므로, 샤워 헤드(40)의 열을 유효하게 방산시킬 수 있고, 샤워 헤드(40)의 온도를 균일하게 제어할 수 있는 동시에, 시간 경과적인 온도 상승을 억제하여 고밀도로 온도 제어를 실행할 수 있다.
이와 같이 샤워 헤드(40)의 내부의 열방산을 유효하게 실행할 수 있으므로, 성막시에 샤워 헤드(40)의 온도가 시간 경과적으로 상승하는 것을 방지하고, 또한 샤워 헤드의 균열성을 향상시킬 수 있고, 샤워 헤드(40)의 온도 제어를 안정하게 실행할 수 있다. 또한, 방열 부재의 형상은 한정되지 않고, 요구되는 방열 능력에 따라 적절하게 설정하면 좋다.
다음에, 실제로 이러한 온도 제어를 수행한 경우의 효과에 대해서 설명한다.
도 24는 (a) 전열 기둥을 설치하지 않는 종래의 샤워 헤드, (b) 전열 기둥을 설치한 제 1 실시 형태의 샤워 헤드, (c) 전열 기둥 및 방열 부재를 설치한 제 2 실시 형태의 샤워 헤드를 이용하여 PZT막을 연속적으로 성막 처리했을 때의 처리 매수와 샤워 헤드의 온도의 관계를 도시한 도면이다. 이 도면으로부터 명확한 바와 같이, (a)의 전열 기둥을 설치하지 않는 종래의 샤워 헤드의 경우, 성막 처리 매수가 증가함에 따라서 샤워 헤드 온도가 급격하게 상승하고 있지만, (b)와 같이 전열 기둥을 이용함으로써 샤워 헤드의 온도 상승이 대폭 억제되고, (c)와 같이 전열 기둥에 추가하여 또다른 방열 부재를 이용함으로써, 샤워 헤드의 온도 상승이 거의 발생되지 않고, 고밀도로 샤워 헤드의 온도 제어가 이루어지고 있는 것이 확인되었다. 이와 같이, 전열 기둥+방열 부재로 한 (c)는 샤워 헤드의 온도가 시간 경과적으로 안정하고 있기 때문에, 300장 성막 후에도 PZT막의 Pb/(Zr+Ti)비 및 막두께의 면간 불균일이 각각 ±1.9% 및 ±2.0%이고, (b)의 경우가 각각 ±2.7% 및 ±2.1%인 것에 비해 프로세스의 균일성이 양호했다. 이에 대하여, 전열 기둥을 설치하지 않는 (a)은 샤워 헤드의 온도 변화가 크기 때문에, PZT막의 Pb/(Zr+Ti)비 및 막두께의 면간 불균일이 각각 ±7.3% 및 ±4.6%로 극히 높았다.
[제 3 실시 형태]
다음에, 제 3 실시 형태에 대해서 설명한다.
도 22 및 도 23의 예와 동등한 샤워 헤드의 고밀도의 온도 제어를 실현하기 위해서는, 가열 및 냉각이 샤워 헤드(40)의 상면의 넓은 범위에서 수행되도록 가열 수단 및 냉각 수단을 배치하는 것이 바람직하다. 본 실시 형태에서는 그러한 관점으로부터, 도 25에 도시하는 바와 같이, 샤워 헤드(40)의 상면에 환형의 히터(131a, 131b, 131c)와 환형의 냉매 유로(132a, 132b, 132c)를 교대로 설치해서 온도 제어 기구(130)를 구성하고, 이로써 샤워 헤드(40)의 상면의 대략 전면을 가열 냉각 가능하게 하고 있다. 이들 히터(131a, 131b, 131c)로의 급전 제어 및 냉매 유로(132a, 132b, 132c)를 통류하는 냉매의 온도나 유량의 제어는, 도시하지 않는 열전대의 검출 신호에 근거하여, 제 1 실시 형태에 있어서의 제어기(110)에 의한 제어와 같은 피드백 제어에 의해 수행된다. 이 경우에, 히터(131a, 131b, 131c)의 통전, 냉매 유로(132a, 132b, 132c)에 흘리는 냉매의 온도 및/또는 유량을 일괄해서 제어하도록 해도 좋지만, 이들을 독립으로 제어해서 존 제어하도록 함으로써, 보다 고밀도의 제어를 실행할 수 있다.
또한, 도 26과 같이, 냉매 유로(132a, 132b, 132c) 대신에, 냉각 가스를 샤워 헤드(40)의 상면에 공급하는 냉각 가스 공급 장치(133a, 133b, 133c)를 설치한 온도 제어 기구(130')를 설치해도 좋다. 이로써, 샤워 헤드(40) 상면의 적당한 부분에 냉각 가스를 공급해서 샤워 헤드(40)를 냉각하도록 할 수 있다. 이 경우에는, 가스의 공급량을 제어함으로써, 샤워 헤드(40)의 냉각을 제어할 수 있다. 이 경우에도, 일괄 제어 및 존 제어의 어느 것을 채용할 수 있다. 냉각 가스 공급 장치(133a, 133b, 133c)는 복수의 냉각 가스 토출구를 원주에 따라 배치한 구조나, 환형의 냉각 가스 토출구를 갖는 구조 등, 각종 구조를 갖는 것을 채용할 수 있다. 이 경우에도 히터(131a, 131b, 131b)로의 급전 제어 및 냉각 가스 공급 장치(133a, 133b, 133c)의 가스 공급량의 제어는, 도시하지 않는 열전대의 검출 신호에 근거하여, 제 1 실시 형태에 있어서의 제어기(110)에 의한 제어와 같은 피드백 제어에 의해 실행할 수 있고, 또한 상술한 바와 같은 존 제어도 가능하다.
또한, 도 27에 도시하는 바와 같이, 복수의 펠티에 소자(Peltier device) 등의 열전 소자(141)를 샤워 헤드(40)의 상면의 대략 전면에 걸쳐서 설치하도록 한 온도 제어 기구(140)를 설치함으로써도 고밀도의 온도 제어를 실현할 수 있다. 열전 소자(141)는 전압을 가함으로써 발열하고, 그 열에 의해 샤워 헤드(40)를 가열할 수 있고, 또한 발열시의 전압과 역전압을 인가함으로써 흡열하고, 샤워 헤드(40)를 냉각할 수 있다. 이 때에, 열전 소자(141)가 흡열된 열을 놓아주기 위한 냉각 수단, 예컨대 냉매 유로를 설치하는 것이 바람직하다. 복수의 열전 소자(141)의 급전 제어도, 도시하지 않는 열전대의 검출 신호에 근거하여, 제 1 실시 형태에 있어서의 제어기(110)에 의한 제어와 같은 피드백 제어에 의해 실행할 수 있다. 또한, 열전 소자(141)의 급전 제어는 일괄로 수행해도 좋지만, 복수의 존으로 나누어 각각 급전 제어하도록 해도 좋다. 예를 들면, 도 28에 도시하는 바와 같이, 샤워 헤드(40)의 상면을, 그 중앙부에 대응하는 중앙 존(142), 그 외측의 중간 존(143), 또 최외측의 외측 존(144)의 동심원 형상의 3개의 존으로 나누고, 이들 3개의 존의 열전 소자(141)로의 급전 제어가 각각 독립해서 수행되도록 해도 좋다. 이로써, 보다 고밀도의 제어를 실시할 수 있다.
또한, 제 3 실시 형태에 있어서, 상기 히터 및 장치 구성의 형편상, 온도 제어 기구를 샤워 헤드(40)의 상면의 대략 전면에 설치할 수 없을 경우에는, 샤워 헤드(40)의 충분히 냉각하고 싶은 부분에 대응하는 표면 위치에 상기 제 2 실시 형태에 있어서의 방열 부재(121)를 설치하는 것이 유효하다.
[제 4 실시 형태]
다음에, 제 4 실시 형태에 대해서 설명한다. 도 29는 본 발명의 제 4 실시 형태에 따른 성막 장치의 샤워 헤드 부분을 도시하는 단면도이다. 이 성막 장치의 기본 구성은 제 1 실시 형태의 성막 장치와 같기 때문에 기본적으로 도 1과 같은 것에는 동일 부호를 붙여서 설명을 생략한다. 또한, 0링, O링 홈, 나사 등에 대해서는, 도 1과 완전히 같기 때문에, 부호도 생략하고 있다.
본 실시 형태에서는, 샤워 헤드(40)의 온도 제어 기구(150)로서, 상기의 제 2 실시 형태에 있어서의 온도 제어 기구(120)의 구성요소에, 또 히트 싱크 블록(151) 및 모터 팬(152)을 추가한 것이 설치된다. 또한, 도 29에 있어서, 제 2 실시 형태의 온도 제어 기구(120)의 구성요소에 해당하는 것에 대해서는, 제 2 실시 형태와 동일 부호를 부여하고 있다.
히트 싱크 블록(151)은 방열 부재(121)의 히트 싱크 플레이트(123)상에 설치되어 있고, 모터 팬(152)은 히트 싱크 블록(151)상에 설치되어 있다. 그리고, 샤워 헤드(40)의 열이 접속부(122)를 거쳐서 히트 싱크 플레이트(123)에 도달하고, 히트 싱크 플레이트(123)의 면으로부터 히트 싱크 블록(151)에 전열되고, 모터 팬(152)에 의해 강제적으로 방열된다. 이로써, 제 2 실시 형태에 있어서의 방열 방식보다도 더욱 양호한 방열성을 얻을 수 있고, 샤워 헤드(40)의 내부의 열방산을 한층 유효하게 실행할 수 있으므로, 성막시에 샤워 헤드(40)의 균열성을 더욱 향상시키고, 또 시간 경과적으로 온도가 상승하는 것을 방지할 수 있고, 샤워 헤드(40)의 온도 제어를 보다 안정하게 실행할 수 있다. 또한, 모터 팬(152)은 히트 싱크 블록(151)의 측쪽에 설치해도 좋다.
[제 5 실시 형태]
다음에, 제 5 실시 형태에 대해서 설명한다. 도 30은 본 발명의 제 5 실시 형태에 따른 성막 장치의 샤워 헤드 부분을 도시하는 단면도, 도 31은 그 평면도이다. 이 성막 장치의 기본 구성은 제 1 실시 형태의 성막 장치와 같기 때문에 기본적으로 도 1과 같은 것에는 동일 부호를 붙여서 설명을 생략한다. 또한, O링, 0링 홈, 나사 등에 대해서는, 도 1과 완전히 같기 때문에, 부호도 생략하고 있다.
본 실시형태에서는, 샤워 헤드(40)의 온도 제어 기구(160)로서, 상기의 제 2 실시 형태에 있어서의 온도 제어 기구(120)의 구성요소에, 또 히트 싱크 부재(161), 히트 싱크 부재(161)에 열교환 매체로서의 건조 공기를 공급하는 건조 공기 공급 기구(162) 및 샤워 헤드(40)의 온도에 근거하여 건조 공기의 온도를 제어하는 온도 제어부(163)를 추가한 것이 설치된다. 또한, 도 30 및 도 31에 있어서, 제 2 실시 형태의 온도 제어 기구(120)의 구성요소에 해당하는 것에 대해서는, 제 2 실시 형태와 동일 부호를 붙이고 있다.
히트 싱크 부재(161)는 방열 부재(121)의 히트 싱크 플레이트(123)상에 설치되어 있고, 이 히트 싱크 부재(161)내에는 다수의 핀(fin)(64)이 설치되어 있다. 히트 싱크 부재(161)에는, 건조 공기를 도입하는 도입부(165a) 및 건조 공기를 배출하는 배출부(165b)가 설치되어 있다. 그리고, 건조 공기 공급 기구(162)와 도입부(165a)는 배관(166)으로 접속되어 있고, 배출부(165b)에는 배관(16)7이 접속되어 있다. 이로써, 히트 싱크 부재(161)내에 건조 공기가 흐르게 되어 있다.
배관(166)에는, 상류측에서 순차로, 수동 밸브(168)와, 레귤레이터(169)와, 온도 제어부(163)의 구성요소이기도 한 매스플로우 제어기(170)가 설치되어 있다.
온도 제어부(163)는 온도 제어기(171)를 갖고 있고, 이 온도 제어기(171)는 샤워 헤드(40)의 온도를 검출하는 열전대(10)의 검출 신호를 받아들이고, 이 신호에 근거하여 매스플로우 제어기(170)에 유량 제어 신호를 출력하고, 건조 공기 공급 기구(162)로부터 히트 싱크 부재(161)에 공급하는 건조 공기의 유량을 제어함으로써 샤워 헤드(40)의 온도를 일정하게 제어하는 것이 가능해지고 있다. 이 온도 제어기(171)는 상기 제 1 실시 형태의 온도 제어기(110)와 같이 히터(91)의 온·오프 및 냉매 유로(92)를 통류하는 냉매의 온도 혹은 유량의 제어도 실행하게 되어 있다. 또한, 온도 제어기(171) 및 매스플로우 제어기(170)에는 AC/DC 전원(173)으로부터 급전되게 되어 있다. 또한, 매스플로우 제어기(170)에는 디스플레이(172)가 접속되어 있어, 유량 정보 등이 표시되게 되어 있다.
이러한 구성에 따르면, 샤워 헤드(40)의 열이 접속부(122)를 거쳐서 히트 싱크 플레이트(123)에 도달하고, 히트 싱크 플레이트(123)의 면으로부터 히트 싱크 부재(161)에 전열되고, 그 내부에서 건조 공기 공급 기구(162)로부터 히트 싱크 부재(161)내에 공급된 건조 공기에 의해 조속히 열교환이 수행되어, 방열된다. 특히, 히트 싱크 부재(161)내에는 다수의 핀(164)이 설치되므로, 이로써 지극히 신속한 열교환이 수행된다. 이 때문에, 샤워 헤드(40)의 열방산을 극히 유효하게 실행할 수 있고, 또 공급하는 건조 공기의 유량을 제어함으로써 방열성을 고밀도로 제어할 수 있다. 이 때문에, 성막시에 있어서의 샤워 헤드(40)의 균열성을 더욱 향상시키고, 또 시간 경과적으로 온도가 상승하는 것을 한층 유효하게 방지할 수 있 고, 샤워 헤드(40)의 온도 제어를 제 4 실시 형태보다도 고 레벨로 안정하게 실행할 수 있다.
또한, 열교환 매체로서는 건조 공기에 한정하지 않고 다른 가스를 공급해도 좋다. 또한, 건조 공기 등의 열교환 매체의 유량의 제어로 충분히 샤워 헤드(40)의 온도 제어를 할 수 있으면, 히터(91) 및 냉매 유로(92)는 설치하지 않아도 좋다.
상기 설명에서는, 히트 싱크 부재(161)를 히트 싱크 플레이트(123)상에 설치했지만, 도 32a 및 도 32b에 도시하는 바와 같이, 히트 싱크 플레이트(123)를 설치하지 않고 접속부(122)상에 직접 히트 싱크 플레이트(123)와 같은 부채형을 갖는 히트 싱크 부재(161')를 설치할 수도 있다. 히트 싱크 부재(161')는 내부에 다수의 핀(164')이 설치되고, 또 건조 공기를 도입하는 도입부(165a') 및 건조 공기를 배출하는 배출부(165b')가 설치되고, 내부에 건조 공기를 통류시킴으로써, 히트 싱크 부재(161)와 완전히 동일하게 열교환을 실행할 수 있다. 또한, 히트 싱크 부재(161')의 형상은 부채형에 한정하는 것은 아니다.
[제 6 실시 형태]
다음에, 제 6 실시 형태에 대해서 설명한다. 도 33은 본 발명의 제 6 실시 형태에 따른 성막 장치의 샤워 헤드 부분을 도시하는 단면도이다. 이 성막 장치의 기본 구성은 제 1 실시 형태의 성막 장치와 같기 때문에 기본적으로 도 1과 같은 것에는 동일 부호를 붙여서 설명을 생략한다. 또한, O링, 0링 홈, 나사 등에 대해서는, 도 1과 완전히 같기 때문에, 부호도 생략하고 있다.
본 실시 형태에서는, 샤워 헤드(40)의 온도 제어 기구(180)로서, 제 1 실시 형태에 있어서의 히터(91) 및 냉매 유로(92) 이외에, 샤워 헤드(40)의 상면, 즉 덮개(3)의 상면의 중앙부를 덮는 밀폐된 덮개(181)를 갖는 것이 설치되어 있고, 덮개(181)는 열교환 매체인 건조 공기를 도입하는 도입구(182a), 건조 공기를 배출하는 배출구(182b)를 갖고 있다. 커버(181)내에는 도시하지 않는 건조 공기 공급 기구로부터 도입구(182a)를 거쳐서 건조 공기가 도입되고, 배출구(182b)로부터 배출되어서 건조 공기의 흐름이 형성된다. 즉, 덮개(181)는 열교환 부재로서 기능한다. 또한, 히터(91)로의 통전 및 냉매 유로(92)에 통류하는 냉매의 온도나 유량 등은 제 1 실시 형태와 같이 제어된다.
이와 같이, 밀폐된 덮개(181)내에 건조 공기의 흐름을 형성함으로써 샤워 헤드(40)의 덮개(3) 상면과 건조 공기 사이에서 열교환이 생기고, 샤워 헤드(40)의 열을 유효하게 방산시킬 수 있고, 샤워 헤드(40)의 온도를 균일하게 제어할 수 있는 동시에, 시간 경과적인 온도 상승을 억제하여 고밀도로 온도 제어를 실행할 수 있다. 이 때에, 제 5 실시 형태와 같이 건조 공기의 유량을 제어함으로써, 샤워 헤드(40)의 온도를 극히 정밀도 좋게 제어할 수 있다. 또한, 이러한 건조 공기에 의한 열교환에 의한 충분한 온도 제어가 수행되는 경우에는, 히터(91) 및 냉매 유로(92)는 반드시 필요는 없다.
[제 7 실시 형태]
다음에, 제 7 실시 형태에 대해서 설명한다. 도 34는 본 발명의 제 7 실시 형태에 따른 성막 장치의 샤워 헤드 부분을 도시하는 단면도이다. 이 성막 장치의 기본 구성은 제 1 실시 형태의 성막 장치와 같기 때문에 기본적으로 도 1과 같은 것에는 동일 부호를 붙여서 설명을 생략한다. 또한, 0링, 0링 홈, 나사 등에 대해서는, 도 1과 완전히 같기 때문에, 부호도 생략하고 있다.
본 실시형태에서는, 샤워 헤드(40)의 온도 제어 기구(190)로서, 제 1 실시 형태에 있어서의 히터(91) 및 냉매 유로(92) 이외에, 방열 부재로서 복수의 히트 싱크 핀(191)을 갖는 것이 설치된다. 히트 싱크 핀(191)은 내측의 히터(91)의 또한 내측 부분에 덮개(3)와 일체로 또 덮개(3)의 상면으로부터 돌출하도록 설치된다. 또, 히터(91)로의 통전 및 냉매 유로(92)에 통류하는 냉매의 온도나 유량 등은 제 1 실시 형태와 같이 제어된다.
이 히트 싱크 핀(191)은 핀 형상이기 때문에 방열 면적이 크고, 샤워 헤드(40)의 열은 이 히트 싱크 핀(191)의 면으로부터 유효하게 방산된다. 이와 같이 히트 싱크 핀(191)을 설치함으로써, 샤워 헤드(40)가 탑재대(5)측으로부터의 열을 흡수하여도, 샤워 헤드(40)의 중앙부의 열이 전열 기둥(42e) 및 히트 싱크 핀(191)을 거쳐서 방산되므로, 샤워 헤드(40)의 열을 유효하게 방산시킬 수 있고, 샤워 헤드(40)의 온도를 균일하게 제어할 수 있는 동시에, 시간 경과적인 온도 상승을 억제해서 고밀도로 온도 제어를 실행할 수 있다.
이와 같이 샤워 헤드(40)의 내부의 열방산을 유효하게 실행할 수 있으므로, 성막시에 샤워 헤드(40)의 온도가 시간 경과적으로 상승하는 것을 방지하고, 또한 샤워 헤드의 균열성을 향상시킬 수 있고, 샤워 헤드(40)의 온도 제어를 안정하게 실행할 수 있다.
이 히트 싱크 핀(91)은 가장 중앙측의 것의 높이가 가장 높고, 주변측을 향함에 따라서 높이가 낮아져 있다. 이로써, 가장 방열이 필요한 중앙부에 있어서 가장 방열성을 높일 수 있다. 이 히트 싱크 핀(191)은 요구되는 방열성에 따라 적당하게 그 높이, 형상, 두께, 개구가 설정된다.
더욱이 방열성 및 온도 제어성을 양호하게 하는 관점으로부터는, 도 35에 도시하는 바와 같이, 샤워 헤드(40)에 있어서의 덮개(3) 표면의 히트 싱크 핀(191)이 설치되는 부분을 덮개(192)로 덮고, 덮개(192)에 설치된 도입구(193a)로부터 열교환 매체로서 예를 들면 건조 공기를 도입하고, 배출구(193b)로부터 배출하도록 하고, 건조 공기의 흐름을 형성함으로써 열교환을 촉진하는 것이 바람직하다. 이로써, 샤워 헤드(40)의 온도 제어를 한층 고밀도로 실행할 수 있다. 이 때에, 제 5 실시 형태와 같이 건조 공기의 유량을 제어함으로써, 샤워 헤드(40)의 온도를 극히 정밀도 좋게 제어할 수 있다. 또한, 이와 같이 덮개(192)를 설치하고, 그 중에 열교환 매체의 흐름을 형성할 경우에는, 히터(91) 및 냉매 유로(92)는 반드시 필요는 없다.
또한, 본 발명은 상기 실시 형태에 한정하지 않고 본 발명의 사상의 범위내에서 여러 가지 변형이 가능하다. 예를 들면, 상기 실시 형태에서는, PZT 박막의 성막 처리를 예로 들어서 설명했지만, 이에 한정하지 않고, W막이나 Ti막 등의 다른 막을 성막할 경우에도 적용할 수 있다. 또한, 본 발명은 성막 장치에 한정하지 않고, 열처리 장치, 플라즈마 처리 장치 등의 다른 가스 처리 장치에 적용가능하다. 또한, 샤워 헤드의 구조도 상기 실시 형태에 한정하는 것은 아니다. 더욱이, 샤워 헤드의 온도 제어 기구를 샤워 헤드의 상면에 설치한 예를 도시했지만, 샤워 헤드의 내부에 설치해도 좋다. 또한, 피처리 기판으로서 반도체 웨이퍼를 예로 들어서 설명했지만, 이에 한정하는 것은 아니고, 액정 표시 장치(LCD)용 유리 기판에 대표되는 플랫 디스플레이 패널(FPD) 등, 다른 기판에 대한 처리에도 적용할 수 있다.
본 발명은, 처리 용기내에 있어서, 탑재대에 탑재되어 가열된 기판에 대향해서 설치된 샤워 헤드로부터 원료 가스를 공급하여 원하는 가스 처리를 실행하는 가스 처리 장치에 널리 적용할 수 있다.
도 1은 본 발명의 제 1 실시 형태에 따른 성막 장치를 도시하는 단면도,
도 2는 본 발명의 제 1 실시 형태에 따른 성막 장치의 하우징의 바닥부의 구조의 일예를 도시하는 투시 평면도,
도 3은 본 발명의 제 1 실시 형태에 따른 성막 장치의 하우징을 도시하는 평면도,
도 4는 본 발명의 제 1 실시 형태에 따른 성막 장치를 구성하는 샤워 헤드의 샤워 베이스를 도시하는 평면도,
도 5는 본 발명의 제 1 실시 형태에 따른 성막 장치를 구성하는 샤워 헤드의 샤워 베이스를 도시하는 저면도,
도 6은 본 발명의 제 1 실시 형태에 따른 성막 장치를 구성하는 샤워 헤드의 가스 확산판을 도시하는 평면도,
도 7은 본 발명의 제 1 실시 형태에 따른 성막 장치를 구성하는 샤워 헤드의 가스 확산판을 도시하는 저면도,
도 8은 본 발명의 제 1 실시 형태에 따른 성막 장치를 구성하는 샤워 헤드의 샤워 플레이트를 도시하는 평면도,
도 9는 샤워 베이스를 IX-IX선으로 절단하여 도시하는 단면도,
도 10은 도 6의 확산판을 X-X선으로 절단하여 도시하는 단면도,
도 11은 도 8의 샤워 플레이트를 XI-XI선으로 절단하여 도시하는 단면도,
도 12는 전열 기둥의 배치를 확대하여 도시하는 도면,
도 13은 전열 기둥의 다른 예를 도시하는 도면,
도 14는 전열 기둥의 또 다른 예를 도시하는 도면,
도 15는 전열 기둥의 또 다른 예를 도시하는 도면,
도 16은 가스 배관의 수직부의 높이가 가스의 확산 균일성에 미치는 영향을 확인하기 위한 시뮬레이션을 설명하기 위한 도면,
도 17은 가스 배관의 수직부의 높이가 가스의 확산 균일성에 미치는 영향을 확인하기 위한 시뮬레이션의 결과를 도시하는 그래프,
도 18은 본 발명의 제 1 실시 형태에 따른 성막 장치에 있어서의 가스 공급원인의 구성을 도시하는 개념도,
도 19는 본 발명의 제 1 실시 형태에 따른 성막 장치의 효과의 일예를 도시하는 선도,
도 20은 본 발명의 제 1 실시 형태에 따른 성막 장치의 효과의 일예를 도시하는 선도,
도 21은 본 발명의 제 1 실시 형태에 따른 성막 장치에 있어서의 샤워 헤드의 온도 측정 개소를 도시하는 설명도,
도 22는 본 발명의 제 2 실시 형태에 따른 성막 장치를 도시하는 단면도,
도 23은 본 발명의 제 2 실시 형태에 따른 성막 장치를 도시하는 평면도,
도 24는 본 발명의 제 2 실시 형태의 효과를 설명하기 위한 도면,
도 25는 본 발명의 제 3 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 단면도,
도 26은 본 발명의 제 3 실시 형태에 따른 성막 장치의 샤워 헤드의 변형예를 도시하는 단면도,
도 27은 본 발명의 제 3 실시 형태에 따른 성막 장치의 샤워 헤드의 다른 변형예를 도시하는 단면도,
도 28은 도 25의 온도 제어 부분을 존(zone) 제어할 때의 존 분할의 예를 도시하는 평면도,
도 29는 본 발명의 제 4 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 단면도,
도 30은 본 발명의 제 5 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 단면도,
도 31은 본 발명의 제 5 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 평면도,
도 32a는 본 발명의 제 5 실시 형태에 따른 성막 장치의 샤워 헤드에 이용하는 온도 제어 기구의 변형예를 도시하는 평면도,
도 32b는 본 발명의 제 5 실시 형태에 따른 성막 장치의 샤워 헤드에 이용하는 온도 제어 기구의 변형예를 도시하는 단면도,
도 33은 본 발명의 제 6 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 단면도,
도 34는 본 발명의 제 7 실시 형태에 따른 성막 장치의 샤워 헤드를 도시하는 단면도,
도 35는 본 발명의 제 7 실시 형태에 따른 성막 장치의 샤워 헤드에 이용하는 온도 제어 기구의 변형예를 도시하는 도면.
<도면의 주요부분에 대한 부호의 설명>
2 : 처리 용기 5 : 탑재대
40 : 샤워 헤드 41a : 제 1 가스 확산부
41b : 제 2 가스 확산부 60 : 가스 공급원
74 : 배기관 91 : 히터
120 : 온도 제어 기구

Claims (56)

  1. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와,
    상기 탑재대와 대향하는 위치에 설치되고, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 기구를 구비하며,
    상기 처리 가스 토출 기구는,
    상기 처리 가스가 도입되는 가스 도입로를 형성하는 가스 도입부와,
    상기 탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와,
    상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고,
    상기 가스 확산부는,
    상기 가스 도입부와 상기 가스 토출부를 접속하고, 그 사이의 열전달을 실행하는 복수의 전열 기둥과,
    상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 구성하고,
    상기 전열 기둥이 상기 가스 확산 공간내에 균등하게 배치되는
    가스 처리 장치.
  2. 제 1 항에 있어서,
    복수의 상기 전열 기둥은 원주 형상을 갖는
    가스 처리 장치.
  3. 제 1 항에 있어서,
    상기 전열 기둥의 단면적의 합계값(S1)의 상기 가스 확산부의 단면적(S2)에 대한 비(S1/S2)는 0.05~0.50인
    가스 처리 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 전열 기둥의 직경은 2~12㎜인
    가스 처리 장치.
  5. 처리 가스 토출 구조체에 있어서,
    제 1 처리 가스 및 제 2 처리 가스가 각각 도입되는 가스 도입로를 갖는 제 1 플레이트와,
    상기 제 1 플레이트의 주면에 접촉하는 제 2 플레이트와,
    상기 제 2 플레이트에 접촉되고, 복수의 제 1 및 제 2 가스 토출 구멍이 형성된 제 3 플레이트와,
    상기 제 1 플레이트와 상기 제 2 플레이트 사이에 설치된 제 1 가스 확산부 와,
    상기 제 2 플레이트와 상기 제 3 플레이트 사이에 설치된 제 2 가스 확산부를 갖고,
    상기 제 1 가스 확산부는,
    상기 제 1 플레이트와 상기 제 2 플레이트에 접속된 복수의 제 1 기둥체와,
    상기 제 1 가스 토출 구멍에 연통하고, 상기 복수의 제 1 기둥체 이외의 부분을 구성하는 제 1 가스 확산 공간을 갖고,
    상기 제 2 가스 확산부는,
    상기 제 2 플레이트와 상기 제 3 플레이트에 접속된 복수의 제 2 기둥체와,
    상기 제 2 가스 토출 구멍에 연통하고, 상기 복수의 제 2 기둥체 이외의 부분을 구성하는 제 2 가스 확산 공간을 갖고,
    도입된 상기 제 1 처리 가스가 상기 제 1 가스 확산 공간을 거쳐서 상기 제 1 가스 토출 구멍으로부터 토출되고, 도입된 상기 제 2 처리 가스가 상기 제 2 가스 확산 공간을 거쳐서 상기 제 2 가스 토출 구멍으로부터 토출되는
    처리 가스 토출 구조체.
  6. 제 5 항에 있어서,
    복수의 상기 제 2 기둥체는 상기 제 1 가스 확산 공간과 상기 제 1 가스 토출 구멍을 연통시키는 가스 통로가 축방향으로 형성되어 있는
    처리 가스 토출 구조체.
  7. 처리 가스 토출 구조체에 있어서,
    제 1 및 제 2 처리 가스가 도입되는 가스 도입로를 갖는 가스 도입부와,
    탑재대를 향하여 제 1 처리 가스 및 제 2 처리 가스를 각각 토출하기 위한 복수의 제 1 및 제 2 가스 토출 구멍을 갖는 가스 토출부와,
    상기 가스 도입부와 상기 가스 토출부 사이에 적층하여 형성되고, 편평한 형상을 갖는 제 1 및 제 2 가스 확산부를 갖고,
    상기 제 1 가스 확산부는,
    상기 가스 토출부와 상기 가스 도입부 사이의 열전달을 실행하기 위한 복수의 제 1 기둥체와,
    상기 제 1 가스 토출 구멍에 연통하고, 상기 복수의 제 1 기둥체 이외의 부분을 구성하는 제 1 가스 확산 공간을 갖고,
    상기 제 2 가스 확산부는,
    상기 제 1 처리 가스가 통류하는 가스 통류 구멍을 갖는 복수의 제 2 기둥체와,
    상기 제 2 가스 토출 구멍에 연통하고, 상기 복수의 제 2 기둥체 이외의 부분을 구성하는 제 2 가스 확산 공간을 갖고,
    도입된 상기 제 1 처리 가스가 상기 제 1 가스 확산 공간을 거쳐서 상기 제 1 가스 토출 구멍으로부터 토출되고, 도입된 상기 제 2 처리 가스가 상기 제 2 가스 확산 공간을 거쳐서 상기 제 2 가스 토출 구멍으로부터 토출되는
    처리 가스 토출 구조체.
  8. 제 5 항 내지 제 7 항 중 어느 한 항에 있어서,
    복수의 상기 제 1 기둥체는 원주 형상을 갖는
    처리 가스 토출 구조체.
  9. 제 5 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 기둥체의 단면적의 합계값(S1)의 상기 제 2 가스 확산부의 단면적(S2)에 대한 비(S1/S2)는 0.05~0.50인
    처리 가스 토출 구조체.
  10. 제 5 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 기둥체의 직경은 2~12㎜인
    처리 가스 토출 구조체.
  11. 제 5 항 또는 제 7 항에 있어서,
    상기 처리 가스 토출 구조체의 상부에 설치되고, 상기 처리 가스 토출 구조체의 온도를 제어하는 온도 제어 기구를 더 구비하는
    처리 가스 토출 구조체.
  12. 제 11 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 구조체를 가열하는 히터를 갖는
    처리 가스 토출 구조체.
  13. 제 11 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 구조체에 냉각 가스를 공급하는 냉각 가스 공급 장치를 갖는
    처리 가스 토출 구조체.
  14. 제 11 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 구조체의 상면에 설치된 복수의 열전 소자를 갖는
    처리 가스 토출 구조체.
  15. 제 11 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 구조체의 열을 대기(atmosphere) 중에 방산하는 방열 부재를 더 갖는
    처리 가스 토출 구조체.
  16. 제 15 항에 있어서,
    상기 방열 부재는 대면적의 열확산부를 갖는
    처리 가스 토출 구조체.
  17. 제 15 항에 있어서,
    상기 방열 부재는 핀 형상을 갖고 있는
    처리 가스 토출 구조체.
  18. 처리 가스 토출 구조체에 있어서,
    처리 가스가 도입되는 가스 도입부와,
    탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와,
    상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고,
    상기 가스 확산부는,
    상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하는 전열 기둥과,
    상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 갖고,
    온도 제어 기구는 상기 가스 도입부의 하부로부터 상기 전열 기둥을 거쳐서 전열된 열을 방열하는 방열 기구를 갖는
    처리 가스 토출 구조체.
  19. 제 18 항에 있어서,
    상기 방열 기구는 상기 처리 가스 토출 기구의 열을 대기 중에 방산하는 방열 부재를 갖는
    처리 가스 토출 구조체.
  20. 제 19 항에 있어서,
    상기 방열 부재는 대면적의 열확산부를 갖는
    처리 가스 토출 구조체.
  21. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와,
    상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 가스를 상기 처리 가스 토출 기구에 공급하는 처리 가스 공급원과,
    상기 처리 용기내를 배기하는 배기 기구와,
    일단이 상기 처리 가스 토출 기구에 접속되고, 타단이 상기 처리 가스 공급원에 접속되는 처리 가스 배관을 구비하며,
    상기 처리 가스 배관은,
    상기 처리 가스 토출 기구보다 수직으로 연장되는 수직 배관부와,
    상기 수직 배관부보다 경사진 하강 배관부를 구비하는
    가스 처리 장치.
  22. 제 21 항에 있어서,
    상기 수직 배관부와 상기 경사진 하강 배관부의 접속 부분은 만곡 형상을 갖고 있는
    가스 처리 장치.
  23. 제 21 항에 있어서,
    상기 수직 배관부는 충분한 길이인
    가스 처리 장치.
  24. 제 23 항에 있어서,
    상기 수직 배관부의 길이는 46㎜를 초과하는 길이인
    가스 처리 장치.
  25. 제 21 항에 있어서,
    상기 처리 가스는 비중이 무거운 가스로 이뤄지는
    가스 처리 장치.
  26. 제 21 항에 있어서,
    상기 처리 가스 토출 기구는,
    상기 처리 가스가 도입되는 가스 도입부와,
    상기 탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와,
    상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고,
    상기 가스 확산부는,
    상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하는 복수의 전열 기둥과,
    상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 갖는
    가스 처리 장치.
  27. 제 21 항에 있어서,
    상기 처리 가스 토출 기구는,
    제 1 처리 가스 및 제 2 처리 가스가 각각 도입되는 가스 도입로를 갖는 제 1 플레이트와,
    상기 제 1 플레이트의 주면에 접촉하는 제 2 플레이트와,
    상기 제 2 플레이트에 접촉되고, 상기 탑재대에 탑재된 피처리 기판에 대응하여 복수의 제 1 및 제 2 가스 토출 구멍이 형성된 제 3 플레이트와,
    상기 제 1 플레이트와 상기 제 2 플레이트 사이에 설치된 제 1 가스 확산부와,
    상기 제 2 플레이트와 상기 제 3 플레이트 사이에 설치된 제 2 가스 확산부를 갖고,
    상기 제 1 가스 확산부는,
    상기 제 1 플레이트와 상기 제 2 플레이트에 접속된 복수의 제 1 기둥체와,
    상기 제 1 가스 토출 구멍에 연통하고, 상기 복수의 제 1 기둥체 이외의 부분을 구성하는 제 1 가스 확산 공간을 갖고,
    상기 제 2 가스 확산부는,
    상기 제 2 플레이트와 상기 제 3 플레이트에 접속된 복수의 제 2 기둥체와,
    상기 제 2 가스 토출 구멍에 연통하고, 상기 복수의 제 2 기둥체 이외의 부분을 구성하는 제 2 가스 확산 공간을 갖고,
    도입된 상기 제 1 처리 가스가 상기 제 1 가스 확산 공간을 거쳐서 상기 제 1 가스 토출 구멍으로부터 토출되고, 도입된 상기 제 2 처리 가스가 상기 제 2 가스 확산 공간을 거쳐서 상기 제 2 가스 토출 구멍으로부터 토출되는
    가스 처리 장치.
  28. 제 27 항에 있어서,
    복수의 상기 제 2 기둥체는 상기 제 1 가스 확산 공간과 상기 제 1 가스 토출 구멍을 연통시키는 가스 통로가 축방향으로 형성되어 있는
    가스 처리 장치.
  29. 제 21 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 처리 용기는 다각형의 하우징내에 원주 형상의 처리 공간을 배치한 형상을 갖고, 상기 배기 기구는, 상기 하우징의 바닥부에, 상기 처리 공간에 연통하고 상기 처리 공간을 둘러싸도록 형성된 제 1 배기 유로와, 상기 하우징의 마주 대하는 복수의 모서리부의 각각에 높이방향으로 배치되고, 상기 제 1 배기 유로에 연통하는 제 2 배기 유로를 구비한
    가스 처리 장치.
  30. 제 21 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 처리 가스 토출 기구의 상부에 설치되고, 상기 처리 가스 토출 기구의 온도를 제어하는 온도 제어 기구를 더 구비하는
    가스 처리 장치.
  31. 제 30 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구를 가열하는 히터를 갖는
    가스 처리 장치.
  32. 제 30 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 상면의 소정 위치에 냉각 가스를 공급하는 냉각 가스 공급 장치를 갖는
    가스 처리 장치.
  33. 제 30 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 상면에 설치된 복수의 열전 소자를 갖는
    가스 처리 장치.
  34. 제 30 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 열을 대기 중에 방산하는 방열 부재를 더 갖는
    가스 처리 장치.
  35. 제 34 항에 있어서,
    상기 방열 부재는 대면적의 열확산부를 갖는
    가스 처리 장치.
  36. 제 34 항에 있어서,
    상기 방열 부재는 핀 형상을 갖고 있는
    가스 처리 장치.
  37. 제 30 항에 있어서,
    상기 온도 제어 기구는, 상기 가스 토출 기구와의 사이에서 열교환을 실행하는 열교환 부재와, 상기 열교환 부재에 열교환 매체를 공급하여 그 안에 열교환 매체의 흐름을 형성하는 열교환 매체 공급 기구를 갖는
    가스 처리 장치.
  38. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되고, 피처리 기판이 탑재되는 탑재대와,
    상기 탑재대와 대향하는 위치에 설치되고, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 기구를 구비하며,
    상기 처리 가스 토출 기구는,
    상기 처리 가스가 도입되는 가스 도입부와,
    상기 탑재대를 향해서 처리 가스를 토출하기 위한 복수의 가스 토출 구멍을 갖는 가스 토출부와,
    상기 가스 도입부와 상기 가스 토출부 사이에 설치된 가스 확산부를 갖고,
    상기 가스 확산부는,
    상기 가스 도입부와 상기 가스 토출부 사이의 열전달을 실행하는 복수의 전 열 기둥과,
    상기 가스 토출 구멍에 연통하고, 상기 전열 기둥 이외의 부분을 구성하는 가스 확산 공간을 갖고,
    상기 처리 가스 토출 기구의 상부에 설치되고, 상기 처리 가스 토출 기구의 온도를 제어하는 온도 제어 기구를 더 구비하는
    가스 처리 장치.
  39. 제 38 항에 있어서,
    복수의 상기 전열 기둥은 원주 형상을 갖는
    가스 처리 장치.
  40. 제 38 항 또는 제 39 항에 있어서,
    상기 전열 기둥의 단면적의 합계값(S1)의 상기 가스 확산부의 단면적(S2)에 대한 비(S1/S2)는 0.05~0.50인
    가스 처리 장치.
  41. 제 38 항에 있어서,
    상기 전열 기둥의 직경은 2~12㎜인
    가스 처리 장치.
  42. 제 38 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구를 가열하는 히터를 갖는
    가스 처리 장치.
  43. 제 38 항 또는 제 42 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 상면의 소정 위치에 냉각 가스를 공급하는 냉각 가스 공급 장치를 갖는
    가스 처리 장치.
  44. 제 38 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 상면에 설치된 복수의 열전 소자를 갖는
    가스 처리 장치.
  45. 제 38 항, 제 42 항 또는 제 44 항 중 어느 한 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 열을 대기 중에 방산하는 방열 부재를 더 갖는
    가스 처리 장치.
  46. 제 45 항에 있어서,
    상기 방열 부재는 대면적의 열확산부를 갖는
    가스 처리 장치.
  47. 제 45 항에 있어서,
    상기 방열 부재는 핀 형상을 갖고 있는
    가스 처리 장치.
  48. 제 38 항에 있어서,
    상기 온도 제어 기구는, 상기 가스 토출 기구와의 사이에서 열교환을 실행하는 열교환 부재와, 상기 열교환 부재에 열교환 매체를 공급하여 그 안에 열교환 매체의 흐름을 형성하는 열교환 매체 공급 기구를 갖는
    가스 처리 장치.
  49. 제 38 항, 제 42 항, 제 44 항 또는 제 48 항 중 어느 한 항에 있어서,
    상기 처리 용기는 다각형의 하우징내에 원주 형상의 처리 공간을 배치한 형상을 갖고, 상기 배기 기구는, 상기 하우징의 바닥부에, 상기 처리 공간에 연통하고 상기 처리 공간을 둘러싸도록 형성된 제 1 배기 유로와, 상기 하우징의 마주 대하는 복수의 모서리부의 각각에 높이방향으로 배치되고, 상기 제 1 배기 유로에 연통하는 제 2 배기 유로를 구비한
    가스 처리 장치.
  50. 제 1 항에 있어서,
    상기 처리 가스 토출 기구의 상부에 설치되고, 상기 처리 가스 토출 기구의 온도를 제어하는 온도 제어 기구를 더 구비하는
    가스 처리 장치.
  51. 제 50 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구를 가열하는 히터를 갖는
    가스 처리 장치.
  52. 제 50 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구에 냉각 가스를 공급하는 냉각 가스 공급 장치를 갖는
    가스 처리 장치.
  53. 제 50 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 상면에 설치된 복수의 열전 소자를 갖는
    가스 처리 장치.
  54. 제 50 항에 있어서,
    상기 온도 제어 기구는 상기 처리 가스 토출 기구의 열을 대기 중에 방산하는 방열 부재를 더 갖는
    가스 처리 장치.
  55. 제 54 항에 있어서,
    상기 방열 부재는 대면적의 열확산부를 갖는
    가스 처리 장치.
  56. 제 54 항에 있어서,
    상기 방열 부재는 핀 형상을 갖고 있는
    가스 처리 장치.
KR1020077029435A 2003-09-03 2004-08-30 가스 처리 장치 및 처리 가스 토출 구조체 KR100901892B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00311903 2003-09-03
JP2003311903 2003-09-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067004393A Division KR20060064067A (ko) 2003-09-03 2004-08-30 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법

Publications (2)

Publication Number Publication Date
KR20080003940A true KR20080003940A (ko) 2008-01-08
KR100901892B1 KR100901892B1 (ko) 2009-06-10

Family

ID=34269715

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077029435A KR100901892B1 (ko) 2003-09-03 2004-08-30 가스 처리 장치 및 처리 가스 토출 구조체
KR1020067004393A KR20060064067A (ko) 2003-09-03 2004-08-30 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067004393A KR20060064067A (ko) 2003-09-03 2004-08-30 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법

Country Status (7)

Country Link
US (1) US20070022954A1 (ko)
EP (1) EP1667217A1 (ko)
JP (1) JP4536662B2 (ko)
KR (2) KR100901892B1 (ko)
CN (1) CN100495655C (ko)
TW (1) TW200527508A (ko)
WO (1) WO2005024928A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101056242B1 (ko) * 2008-03-19 2011-08-11 도쿄엘렉트론가부시키가이샤 샤워헤드 및 기판 처리 장치
KR20200010180A (ko) * 2017-06-19 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 반도체 프로세싱 챔버 온도 장치

Families Citing this family (452)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP5045000B2 (ja) 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
KR101125086B1 (ko) * 2007-04-17 2012-03-21 가부시키가이샤 알박 성막장치
KR100866912B1 (ko) * 2007-05-31 2008-11-04 주식회사 마이크로텍 화학기상증착장비
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
KR101170006B1 (ko) * 2008-07-04 2012-07-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 유전체창의 온도 조절 기구
CN101339895B (zh) * 2008-08-22 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的等离子体处理设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FI123769B (fi) * 2009-02-13 2013-10-31 Beneq Oy Kaasukasvatusreaktori
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
WO2011086096A1 (en) * 2010-01-14 2011-07-21 Oerlikon Solar Ag, Trübbach Mounting for fixing a reactor in a vacuum chamber
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI568319B (zh) * 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US20130164948A1 (en) * 2011-12-22 2013-06-27 Intermolecular, Inc. Methods for improving wafer temperature uniformity
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2014150191A (ja) * 2013-02-01 2014-08-21 Ulvac Japan Ltd Pzt膜の製造方法及び成膜装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103305809B (zh) * 2013-06-26 2016-08-10 中国科学院苏州纳米技术与纳米仿生研究所 一种温度连续可调的喷淋头
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR101466816B1 (ko) * 2013-09-23 2014-12-10 국제엘렉트릭코리아 주식회사 히터 부재 및 그것을 갖는 기판 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10510511B2 (en) * 2013-10-31 2019-12-17 Semes Co., Ltd. Apparatus for treating substrate
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101490450B1 (ko) * 2014-08-29 2015-02-09 주성엔지니어링(주) 가스분배판 고정용 결합부재
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
KR102323363B1 (ko) * 2015-06-05 2021-11-09 어플라이드 머티어리얼스, 인코포레이티드 기판 온도 불균일성을 감소시키기 위한 개선된 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106816352B (zh) * 2015-12-01 2019-03-12 北京北方华创微电子装备有限公司 下电极组件及半导体加工设备
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN109023301B (zh) * 2018-10-24 2023-10-13 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
DE102018126617A1 (de) * 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230074554A (ko) * 2020-09-25 2023-05-30 램 리써치 코포레이션 고온 프로세스들을 위한 축방향으로 냉각된 금속 샤워헤드들
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3210051B2 (ja) * 1992-01-16 2001-09-17 株式会社東芝 気相成長装置
JPH06338458A (ja) * 1993-05-28 1994-12-06 Kokusai Electric Co Ltd プラズマcvd装置
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101056242B1 (ko) * 2008-03-19 2011-08-11 도쿄엘렉트론가부시키가이샤 샤워헤드 및 기판 처리 장치
KR20200010180A (ko) * 2017-06-19 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 반도체 프로세싱 챔버 온도 장치

Also Published As

Publication number Publication date
US20070022954A1 (en) 2007-02-01
EP1667217A1 (en) 2006-06-07
TW200527508A (en) 2005-08-16
WO2005024928A1 (ja) 2005-03-17
KR20060064067A (ko) 2006-06-12
JP4536662B2 (ja) 2010-09-01
CN100495655C (zh) 2009-06-03
KR100901892B1 (ko) 2009-06-10
CN1830072A (zh) 2006-09-06
JPWO2005024928A1 (ja) 2007-11-08

Similar Documents

Publication Publication Date Title
KR100901892B1 (ko) 가스 처리 장치 및 처리 가스 토출 구조체
KR100964042B1 (ko) 기판 처리장치 및 처리가스 토출기구
KR101027845B1 (ko) 기판 처리 장치 및 기판 탑재대
JP5484650B2 (ja) 基板支持体の能動的冷却
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
EP1371751B1 (en) Film forming device
US7216496B2 (en) Heating medium circulating device and thermal, treatment equipment using the device
US20090211707A1 (en) Apparatus for gas distribution and its applications
KR20090017622A (ko) 성막 장치 및 성막 방법, 가스 공급 장치 및 기억매체
WO2009085992A2 (en) Thermal reactor with improved gas flow distribution
US8262798B2 (en) Shower head, device and method for manufacturing thin films
US8623173B2 (en) Substrate processing apparatus having electrode member
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
US6759633B2 (en) Heat treating device
JP2005072424A (ja) シャワーヘッド及び成膜装置
US20140116339A1 (en) Process gas diffuser assembly for vapor deposition system
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130524

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 11